KR102247537B1 - 다중 패터닝을 위해 ahm 갭 충진을 이용하는 이미지 전환 - Google Patents

다중 패터닝을 위해 ahm 갭 충진을 이용하는 이미지 전환 Download PDF

Info

Publication number
KR102247537B1
KR102247537B1 KR1020130156795A KR20130156795A KR102247537B1 KR 102247537 B1 KR102247537 B1 KR 102247537B1 KR 1020130156795 A KR1020130156795 A KR 1020130156795A KR 20130156795 A KR20130156795 A KR 20130156795A KR 102247537 B1 KR102247537 B1 KR 102247537B1
Authority
KR
South Korea
Prior art keywords
layer
ahm
gap
etching
conformal film
Prior art date
Application number
KR1020130156795A
Other languages
English (en)
Other versions
KR20140077865A (ko
Inventor
네이더 샴마
슈라벤디크 바트 제이. 반
시리쉬 케이. 래디
천하이 지
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20140077865A publication Critical patent/KR20140077865A/ko
Priority to KR1020210054373A priority Critical patent/KR102335247B1/ko
Application granted granted Critical
Publication of KR102247537B1 publication Critical patent/KR102247537B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0272Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers for lift-off processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

이미지 전환을 이용하여 다중 패터닝하는 방법 및 장치가 제공된다. 방법은 반도체 기판의 패턴 내에 갭을 충진하기 위해 증착-에칭-에싱 방법을 이용하여 갭-충진 에싱 가능한 하드 마스크를 증착하는 것 그리고 단일-에칭 평탄화 방법을 이용하여 스페이서 에칭 단계를 제거하는 것을 포함할 수 있다. 이러한 방법은 더블 패터닝, 다중 패터닝 및 반도체 제조에서의 이차원 패터닝 기술을 위해 수행될 수 있다.

Description

다중 패터닝을 위해 AHM 갭 충진을 이용하는 이미지 전환 {IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING}
패터닝 방법은 반도체 프로세싱에서 중요하다. 특히, 더블 패터닝은 광학적 한계를 넘어 리소그래피 기술을 확장하는데 이용되었다. 이미지 전환 (reversal) 방법은 더블 패터닝을 수행하여 반도체 디바이스 제조에 포함되는 마스킹 (masking) 단계의 수를 감소시키는데 이용되었다. 양각 및 음각 더블 패터닝 프로세스 모두에서, 더블 패터닝 방법, 특히 라인 패터닝은 스페이서 및 마스크의 이요을 포함한다. 현재 방법은, 높은 종횡비의 피처를 반도체에 효율적으로 형성할 수 없는 열악한, 불안정한 그리고 약한 마스크를 제공한다. 증가된 효율성 및 생산량을 위해서도 프로세스 동작을 감소시킬 수 있는 방법이 바람직하다.
일 양태에서, 반도체 기판을 프로세싱하기 위한 방법이 제공된다. 방법은 상부의 포토레지스트로부터의 패턴을 코어 에싱 가능한 하드 마스크 (AHM) 층에 전사하는 단계; 기판 상의 패터닝된 코어 AHM 층 위에 등각 필름을 증착하는 단계; 등각 필름 위에 갭-충진 AHM 층을 증착하는 단계; 코어 AHM 층 상부의 등각 필름을 제거하기 위해 등각 필름 및 갭-충진 AHM 층 모두를 에칭하는 프로세스를 이용하여, 기판을 평탄화하는 단계; 및 마스크를 형성하기 위해 등각 필름을 선택적으로 에칭하는 단계를 포함한다.
일부 실시예에서, 30초 동안 산소 및 헬륨을 각각 약 20 sccm 및 약 200 sccm으로 유동시키는 단계에 의해 기판이 평탄화된다. 후속하여, 부피 단위로 기판 1000 부분의 탈이온 (DI) 수 대 1 부분의 수용성 HF를 포함하는 불화 수소산 수용액에 노출될 수 있다. 30초 동안, CF4 및 Ar을 각각 약 5 sccm 및 약 100 sccm으로 유동시킴으로써, 그리고 CHF3 및 CF4을 각각 약 15 sccm 및 약 80 sccm으로 유동시킴으로써 기판은 또한 2-단계 플라즈마 에칭 프로세스를 경험할 수 있다. 다양한 실시예에서, 기판은 약 10℃ 내지 50℃의 온도에서, 그리고 약 2 Torr 내지 약 20 Torr의 압력에서 평탄화된다. 많은 실시예에서, 기판은 플라즈마 에칭을 이용하여 평탄화된다.
일부 실시예에서, 패턴은 약 1:1 내지 약 5:1의 종횡비를 가지는 피처를 더 포함한다. 다양한 실시예에서, 타겟 층은 마스크를 이용하여 패터닝된다. 일부 실시예에서, 코어 AHM 층에 상부의 포토레지스트로부터의 패턴을 전사하기 이전에, 펄스 전력에 의해 동시에 하부 반사 방지층 및 캡 층에 상부 포토레지스트로부터의 패턴을 전사한다.
일부 실시예에서, (a) 패턴 내의 피처들 사이의 갭 입구 너비가 감소될 때까지 플라즈마 강화 화학 기상 증착법을 이용하여 탄화 수소를 유동시키는 단계; (b) 기판에 실질적으로 수직인 지배적 (dominant) 이방성 축을 이용하여 기판을 이방성 에칭하는 단계; (c) 양의 정수인 X 사이클로, (a) 및 (b)를 반복하는 단계; 및 (d) 표면 상의 탄소 필름의 국부적인 축적을 제거하기 위해 기판을 에싱하는 단계에 의해, 갭-충진 AHM 층이 증착된다. 일부 실시예에서, 탄화 수소는 메탄, 아세틸렌 또는 프로필렌이다.
다른 양태에서, 상부의 제1 포토레지스트로부터의 패턴을 제1 코어 에싱 가능한 하드 마스크 (AHM) 층에 제1 치수로 전사하는 단계; 기판 상의 패터닝된 제1 코어 AHM 층 위에 제1 등각 필름을 증착하는 단계; 제1 등각 필름 위에 제2 AHM 층을 증착하는 단계; 제2 AHM 층 및 제1 코어 AHM 층을 선택적으로 에칭하여 패터닝된 제1 등각 필름을 노출하는 단계; 패터닝된 제1 등각 필름을 이용하여 하부의 에칭 스톱 층을 에칭하는 단계; 제3 갭-충진 AHM 층을 증착하는 단계; 제2 포토레지스트를 제2 치수로 증착하고 리소그래피로 정의하는 단계; 패터닝된 제2 포토레지스트 위에 제2 등각 필름을 증착하는 단계; 패터닝된 제2 포토레지스트에 제2 등각 필름을 선택적으로 에칭하는 단계; 패터닝된 제2 포토레지스트를 선택적으로 에칭하는 단계; 갭-충진 제3 AHM 층을 선택적으로 에칭하는 단계; 및 하부의 캡 층을 선택적으로 에칭하여 2-차원 (two-dimensional) 마스크를 형성하는 단계를 포함하는, 반도체 기판을 프로세싱하기 위한 방법이 제공될 수 있다.
일부 실시예에서, 방법은 블록 마스크를 형성하기 위해 제2 AHM 층 상에 제3 포토레지스트층을 증착하고 리소그래피로 정의하는 단계; 및 블록 마스크를 이용하여 제2 AHM 층을 선택적으로 에칭하는 단계를 더 포함한다. 일부 실시예에서, 방법은 2-차원 마스크를 이용하여 타겟 층을 패터닝하는 단계를 더 포함한다.
일부 실시예에서, 제3 갭-충진 AHM 층을 증착시키는 단계는, (a) 패턴 내의 피처들 사이의 갭 입구 너비가 감소될 때까지 플라즈마 강화 화학 기상 증착법을 이용하여 탄화 수소를 유동시키는 단계; (b) 기판에 실질적으로 수직인 지배적 이방성 축을 이용하여 기판을 이방성 에칭하는 단계; (c) 양의 정수인 X 사이클로, (a) 및 (b)를 반복하는 단계; 및 (d) 표면 상의 탄소 필름의 국부적인 축적을 제거하기 위해 기판을 에싱하는 단계를 더 포함한다. 일부 실시예에서, 탄화 수소는 메탄, 아세틸렌, 또는 프로필렌인, 반도체 기판이다.
다양한 실시예에서, 제2 패턴은 약 1:1 내지 약 5:1의 종횡비를 가지는 피처를 더 포함한다. 일부 실시예에서, 제2 등각 필름은 약 80℃ 미만의 온도로 증착된다.
다른 양태는 하나 이상의 프로세스 챔버; 유동-제어 하드웨어와 관련된 그리고 하나 이상의 프로세스 챔버 내로의 하나 이상의 가스 유입부; 저주파수 무선 주파수 (LFRF) 생성기: 고주파수 무선 주파수 (HFRF) 생성기; 및 적어도 하나의 프로세서 및 메모리를 가지는 제어부를 포함한다. 다양한 실시예에서, 적어도 하나의 프로세서 및 메모리는 서로 통신적으로 연결되며, 적어도 하나의 프로세스는 유동-제어 하드웨어, LFRF 생성기 및 HFRF 생성기와 작동적으로 연결되고, 그리고, 메모리는, 유동-제어 하드웨어, LFRF 생성기 및 HFRF 생성기를 적어도 제어하여, 상부의 포토레지스트로부터의 패턴을 코어 에싱 가능한 하드 마스크 (AHM) 층으로 전사하기 위해 기판을 에칭하고; 기판 상의 패터닝된 코어 AHM 층 위에 등각 필름을 증착하고; 기판 상의 패터닝된 코어 AHM 층 위에 등각 필름을 증착하고; 등각 필름 위에 갭-충진 AHM 층을 증착하고; 코어 AHM 층 상부의 등각 필름을 제거하기 위해 등각 필름 및 갭-충진 AHM 층 모두를 에칭하는 평탄화 프로세스를 이용하여 기판을 평탄화하고; 그리고 마스크를 이용하여 선택적으로 등각 필름을 에칭하기 위해, 적어도 하나의 프로세서를 제어하기 위한 컴퓨터-실행가능한 명령어를 저장하는, 반도체 프로세스 툴을 포함한다.
일부 실시예에서, 컴퓨터-실행가능한 명령어는 또한, 평탄화 프로세스에서 산소 (O2) 및 헬륨 (He) 을 각각 약 20 sccm 및 약 200 sccm으로 유동시키기 위한 명령어를 더 포함한다. 다양한 실시예에서, 갭-충진 AHM 층을 증착하기 위해 컴퓨터-실행가능한 명령어는, (a) 패턴 내의 피처들 사이의 갭 입구 너비가 감소될 때까지 플라즈마 강화 화학 기상법을 이용하여 탄화 수소를 유동시키고, (b) 기판에 실질적으로 수직인 지배적 이방성 축을 이용하여 기판을 이방성 에칭하고, (c) 양의 정수인 X 사이클로, (a) 및 (b)를 반복하고, 그리고 (d) 표면 상의 탄소 필름의 국부적인 축적을 제거하기 위해 기판을 에싱하기 위한, 명령어를 더 포함한다.
다른 양태는, 하나 이상의 프로세스 챔버; 유동-제어 하드웨어와 관련된 그리고 프로세스 챔버 내로의 하나 이상의 유입부; 저주파수 무선 주파수 (LFRF) 생성기; 고주파수 무선 주파수 (HFRF) 생성기; 및 적어도 하나의 프로세서 및 메모리를 가지는 제어부를 포함하는 반도체 프로세싱 툴을 포함한다. 다양한 실시예에서, 적어도 하나의 프로세서 및 메모리는 서로 통신적으로 연결되며, 메모리는, 유동-제어 하드웨어, LFRF 생성기 및 HFRF 생성기를 적어도 제어하여, 제1 치수로 상부의 제1 포토레지스트로부터의 패턴을 제1 코어 에싱 가능한 하드 마스크 (AHM) 층으로 전사하기 위해 기판을 에칭하고; 패터닝된 제1 코어 AHM 층 위에 제1 등각 필름을 형성하기 위해 기판 상에 제1 등각 필름 물질을 유동시키고; 제1 등각 필름 위에 제2 갭-충진 AHM 층을 증착하기 위해 제1 탄화 수소를 유동시키고;상기 제2 AHM 층 및 제1 AHM 층을 에칭하여 패터닝된 제1 등각 필름을 노출하고; 하부의 에칭 스톱 층을 에칭하고; 에칭 스톱 층 위에 제3 AHM 층을 증착시키기 위해 제2 탄화 수소를 유동시키고; 제3 AHM 층 상에 제2 치수로 제2 포토레지스트를 증착하고 리소그래피로 정의하고; 패터닝된 제2 포토레지스트 상에 제2 등각 필름을 형성하기 위해 제2 등각 필름 물질을 유동시키고; 제2 등각 필름을 에칭하여 패터닝된 제2 포토레지스트를 노출하고; 제3 AHM 층을 선택적으로 에칭하고; 그리고 하부의 캡 층을 에칭하여 2-차원 마스크를 형성하기 위해, 적어도 하나의 프로세서를 제어하기 위한 컴퓨터-실행가능한 명령어를 저장한다.
다양한 실시예에서, 컴퓨터-실행가능한 명령어는 또한, 유동-제어 하드웨어, HFRF 생성기 및 LFRF 생성기를 적어도 제어하여, 제2 AHM 층 상에 제3 포토레지스트를 증착하고 리소그래피로 정의하여 블록 마스크를 형성하고 블록 마스크를 이용하여 선택적으로 제2 AHM 층을 에칭하기 위해, 적어도 하나의 프로세서를 제어하기 위한 명령어를 더 포함한다.
많은 실시예에서, 탄화 수소를 유동시켜 제3 AHM 층을 증착하기 위해, 컴퓨터-실행가능한 명령어는, (a) 패턴 내의 피처들 사이의 갭 입구 너비가 감소될 때까지 플라즈마 강화 화학 기상 증착법을 이용하여 탄화 수소를 유동시키고, (b) 기판에 실질적으로 수직인 지배적 이방성 축을 이용하여 기판을 이방성 에칭하고, (c) 양의 정수인 X 사이클로, (a) 및 (b)를 반복하고, 그리고 (d) 표면 상의 탄소 필름의 국부적인 축적을 제거하기 위해 기판을 에싱하기 위한, 명령어를 더 포함한다.
이러한 그리고 다른 양태가 본 도면을 참고하여 아래에서 더 상세하게 개시된다.
도 1a 내지 1f는 개시된 실시예들에 따른 다중-층 스택의 패터닝 프로세스를 개략적으로 도시하는 도면이다.
도 1g는 다양한 실시예에 따른 반도체 기판을 프로세싱하기 위한 프로세스 흐름도이다.
도 2a 내지 15a는 개시된 실시예들에 따른 프로세스에 의해 패터닝된 다중-층 스택의 정면을 개략적으로 그리고 예시적으로 도시하는 도면이다.
도 2b 내지 15b는 개시된 실시예들에 따른 프로세스에 의해 패터닝된 다중-층 스택의 측면을 개략적으로 도시하는 도면이다.
도 7c 내지 9c 및 14c 내지 15c는 개시된 실시예들에 따른 프로세스에 의해 패터닝된 다중-층 스택의 정면을 개략적으로 그리고 예시적으로 도시하는 도면이다.
도 16은 다양한 실시예들에 따른 반도체 기판을 프로세싱하기 위한 프로세스 흐름도이다.
도 17은 개시된 실시예들에 따른 기술을 구현하기 위해 배열된 다양한 반응기 부품들을 도시하는 단순한 흐름도이다.
도 18은 개시된 실시예들에 따른 기술을 구현하기 위한 시스템을 도시하는 개략적인 단면도이다.
도 19는 개시된 실시예들에 따른 멀티-스테이션 클러스터 툴의 실시예를 도시한다.
이하의 실시예에서, 본 실시예의 충분한 이해를 제공하기 위해 수많은 구체적인 상세한 설명이 이루어진다. 개시된 실시예들은 이러한 구체적인 설명의 전부 또는 일부 없이도 수행될 수 있다. 다른 예에서, 본 발명을 불필요하게 모호하게 만들지 않기 위해, 익히 공지된 프로세스 동작은 개시되지 않았다. 개시된 실시예들이 특정한 실시예와 관련하여 설명된다고 하더라도, 본 발명이 개시된 실시예에 제한되지 아니함을 이해하여야 한다.
더블 패터닝은 광학적 한계를 넘어 임의의 리소그래피 기술을 확장시키는 핵심 기술이며, 현재 약 80 nm 미만의 임의의 피치를 위한 산업에서 널리 이용되고 있다. 현재의 더블 패터닝 기술은 트렌치를 패터닝하기 위한 두 개의 마스킹 단계와 함께 종종 측벽 스페이서를 이용한다. 그러나, 라인 패터닝에서, 라인 마스크는 종종 넓은 피처를 동시에 패터닝하기에는 그릇된 극성 (polarity) 을 갖는다. 그 결과, 넓은 피처를 패터닝하기 위해 세 번째 마스킹 단계가 종종 필요하게 되며, 이는 추가적인 마스킹 단계를 야기시킨다. 더 많은 마스킹 단계의 이용은 전반적으로 비용 소모적이고 그리고 덜 효율적인 패터닝 방법을 야기한다.
이미지 전환은 세 번째 마스킹 단계를 피하기 위해 제안되었다. 그러나, 종래의 이미지 전환 스킴 (schemes) 에는 다양한 문제점들이 존재한다. 예를 들어, 일부 스핀-온 필름이 제안되었으나, 이는 더 약하며, 안정적인, 프리-스탠딩 (free-standing) 구조물을 유지할 수 없다. 이러한 필름은 또한, 모세관 작용 및 다른 기계적 효과에 기인하여, 측벽 스페이서 같은 작은 피처를 파괴할 수도 있다. 이러한 물질은 또한 종종 개선된 노드에 대한, 필수 (required) 패터닝 선택성을 가지지 않는다. 더욱이, 일부 종래의 방법은 증착된 갭-충진 층 내에 갭 또는 보이드를 가지는 구조물을 야기할 수 있고, 이는 패터닝 단계에서의 이용을 위한 또는 마스크로서 이용될 수 있는 능력을 약화시킨다.
본 명세서에, 증착-에칭-에싱 방법에 의해 증착되는 에싱 가능한 (ashable) 하드 마스크 (AHMs) 를 이용하는 단계를 제거하여 더블 패터닝 및 이미지 전환 패터닝을 수행하는 방법이 제공된다. 이러한 방법은 더블 패터닝 프로세스의 단계를 제거시키고, 이에 따라 반도체 디바이스를 패터닝의 비용을 감소시키고, 효율성을 증가시킨다. 더욱이, 이러한 방법은, 특히 임의의 라인 임계 치수에 맞추어지는, 작고 높은 종횡비 피처를 위한 내구성 있는, 견고한 마스크를 산출하며, 3 개의 또는 4 개의 패터닝 프로세싱뿐만 아니라, 작은 치수 및 2-차원 패터닝 모두에 효율적으로 이용될 수 있다.
견고한 마스크 및 감소된 에칭 단계를 가지는 이미지 전환 더블 패터닝
본 명세서에 제공된 방법은 패터닝을 위해 준비된 다중-층 스택을 이용하여 시작된다. 이러한 스택은 반도체 프로세싱에 적합한 웨이퍼일 수 있다. 도 1a는 다중-층 스택에 포함될 수 있는 다양한 층의 예를 개략적으로 도시한다. 도 1a의 다중-층 스택은 궁극적으로 패터닝될 층일 수 있는 타겟 층 (101) 을 포함한다. 타겟 층은 반도체, 유전체 또는 다른 층일 수 있고, 예를 들어, 실리콘 (Si), 실리콘 산화물 (SiO2), 실리콘 질화물 (SiN), 티타늄 질화물 (TiN) 로 형성될 수 있으며, 원자층 증착법 (ALD), 화학 기상 증착법 (CVD) 또는 다른 적절한 증착 기술에 의해 증착될 수 있다.
타겟 층 (101) 의 상부의 층은 선택적인 에칭 스톱 층 (103) 이다. 에칭 스톱 층은 마스크가 위의 층에 형성되는 동안 타켓 층을 보호하는데 이용될 수 있다. 에칭 스톱 층은 실리콘 질화물 또는 비정질 실리콘 같은 실리콘을 포함할 수 있다.
에칭 스톱 층 (103) 의 상부의 층은 코어 층 (105) 이다. 코어 층 (105) 은 AHM일 수 있다. AHM은 비정질 탄소 물질일 수 있으며, 이는 예를 들어, 실리콘 및/또는 실리콘-기반의 산화물 또는 질화물 같이, 스택 내의 다른 물질에 대해 매우 에칭 선택적일 수 있고, 투명할 수 있다. 탄화수소 전구체를 포함하는 증착 가스로부터 증착 챔버 내에 플라즈마를 생성하는 단계를 포함하는 플라즈마 강화 CVD (PECVD) 에 의해, AHM은 에칭 스톱 층 (103) 상에 증착될 수 있다. 다양한 실시예에서, 탄화수소 전구체는 화학식 CxHy에 의해 정의될 수 있는데, 여기서 x는 2 내지 10의 정수이며, y는 2 내지 24의 정수이다. 예는 메탄, 아세틸렌 (C2H2), 에틸렌 (C2H4), 프로필렌 (C3H6), 부탄 (C4H10), 시클로헥산 (C6H12), 벤젠 (C6H6), and 톨루엔 (C7H8) 을 포함한다. 높은 주파수 (HF) 전력 및 낮은 주파수 (LF) 전력을 포함하는 듀얼 무선 주파수 (RF) 플라즈마 소스가 이용될 수 있다.
코어 (105) 의 상부에는 선택적인 캡 층 (107) 이 있다. 캡 층 (107) 은 실리콘-풍부 (rich) 산화물 (SiO2, SiOx 등), 실리콘산화질화물 (SiOxNy), 실리콘 질화물 (SiN), 비정질 실리콘 (a-Si), 또는 테트라에틸 오르도실리케이트(Si(OC2H5)4 또는 TEOS) 같은 유전체 물질로 형성될 수 있다. 캡 층 (107) 은 (SiN 같은) 질소-함유 화합물로 형성될 수 있고, 또는 (SiO2 같이) 질소-프리일 수 있다. 일부 실시예에서, 캡 층 (107) 질소-프리 무-반사 코팅 층 (NFARL) 일 수 있다.
캡 층 (107) 의 상부에는 선택적인 하부 무-반사 코팅 층 (BARC) 층 (109) 이 있다. BARC 층은 폴리머 물질 (CxHyOz) 로 형성될 수 있고 스핀-온 방법으로 증착될 수 있다.
BARC 층 (109) 의 상부에는 리소그래피 패터닝된 포토레지스트 층 (111) 이 있다.
본 명세서에 제공된 방법은 등각 필름 에칭 단계를 제거하며, 따라서 소정의 단계를 제거하고, 패터닝 스킴의 효율성을 증가시킨다. 도 1a 내지 1f에 개략적으로 도시된 프로세스는 실시예의 일반적인 모습을 제공한다.
도 1a에서, 다층 필름 스택의 포토레지스트 (111) 가 패터닝된다. 트랙 시스템, 예를 들어 DAINIPPON SCREEN으로부터 구입가능한 Sokudo RF3 같은 임의의 리소그래피 장치에서 종래 임의의 리소그래피 방법에 의해 포토레지스트에 대한 패터닝이 이루어진다.
도 1b에서 패턴이 트리밍 되면서 (trimmed), 동시에 BARC 층 (109) 이 에칭되도록, 포토레지스트 (111) 패턴이 BARC 층 (109) 으로 전사된다. 이러한 일-단계 프로세스에서, 이방성 (anisotropic) 에칭이 BARC 층 (109) 에 패턴을 에칭하기 위해 수행되면서, 일부 실시예에서 전력 펄스를 이용하여 패턴을 트리밍하기 위해 등방성 건조 에칭이 수행된다. 예를 들어, 일부 실시예에서, CF4 같은 CFx 화합물 및 전력이 약 200 Hz의 주파수로 교번적으로 펄싱될 수 있다. CF4의 유속은 약 50 sccm 내지 약 200 sccm일 수 있다. 이러한 단계에서 펄싱될 수 있는 다른 가스는 헬륨 (He) 을 포함한다.
도 1c에서, 패턴이 코어 층 (105) 으로 또한 전사될 수 있고, 포토레지스트 (111) 및 BARC 층 (109) 모두가 제거된다. 이러한 프로세스에서, 패터닝 되었던 선택적인 캡 (107) 이 감소된 두께를 가질 수 있다는 점에 대해 주목할 수 있다. 예를 들어, 약 10 mTorr의 압력 및 약 30℃의 온도에서 육불화항 (sulfur hexafluoride; SF6) 및 CxFyHz를 이용하는 플라즈마 에칭에 의해, 이러한 패턴 전사는 에칭될 수 있다. 포토레지스트 (111) 및 BARC 층 (109) 은 코어 AHM 에칭 동안 산소 (O2) 및 헬륨 (He) 에 의해 제거될 수 있다.
도 1d에서, 등각 층 (113) 이 캡 층 (107) 의 상부에 증착된다. 등각 층 (113) 은 또한 "스페이서"로 지칭될 수도 있고, 다중-층 스택 상의 패턴 형상을 따르도록 증착되어 패턴 위에 균일하게 분배된 층을 형성할 수 있다.
일부 실시예에서, 등각 층 (113) 은 SiO2 같은 유전체 물질로 형성된다. 등각 층 (113) 은 산화물 또는 실리콘 질화물 (SiN) 일 수 있다. 스페이서는 증착 장치에서 ALD, PEALD, PECVD 또는 다른 적절한 증착 방법에 의해 증착될 수 있다. 이하의 조건이, PEALD 프로세스에 의해 실리콘 산화물 등각 층을 증착하는데 적절한 조건의 예이다: 온도는 약 50℃ 내지 400℃, 예를 들어 약 50℃일 수 있다; 압력은 약 0.5 Torr 내지 약 10 Torr, 예를 들어 약 2 내지 3 Torr일 수 있다; 기판에 손상을 가하지 않기 위해, 네 개의 300mm 웨이퍼에 대해, RF 전력은 약 100 내지 2500W, 예를 들어 약 500 내지 600W일 수 있다. 이용될 수 있는 프로세스 가스에는, 불활성 가스, 예를 들어 아르곤 또는 질소로 희석된, DIPAS (di-isopropyl aminosilane) 및 산소 소스로서의, 산소 또는 질소 산화물 또는 실리콘 소스로서의, 실리콘 아미드 (예를 들어, BTBAS-bis-t-butyl aminosilane, BDEAS (bis-di-ethyl aminosilane) 이 함께 또는 개별적으로 포함된다. 프로세스 가스 유속은 다음과 같을 수 있다: (액체) 실리콘 전구체 (BTBAS, BDEAS, DIPAS) 에 대해 약 1 내지 3 ccm, 예를 들어 BTBAS 약 2.5 ccm; 산소 전구체 (O2, N2O) 에 대해 약 5000 sccm 내지 10,000 sccm, 예를 들어 N2O 5000 sccm; 및 캐리어 가스 (Ar 또는 N2) 에 대해, 약 0 내지 10,000, 예를 들어 Ar 5000. 등각 층은 코어에 대해 높은 에칭 선택성을 가진다.
또한, 이러한 단계 동안, 도 1d에서, 갭-충진 AHM 층 (150) 이 등각 층 (113) 의 상부 상에 증착된다. 갭-충진 AHM 층 (150) 이 증착-에칭-에싱 기술을 이용하여 증착될 수 있다.
이러한 기술은, 높은 종횡비, 예를 들어 12:1까지 종횡비 및 30 nm 이하의 갭 너비를 갖는 피처에 대한 충진을 가능하게 하는 PECVD 플랫폼 상에서, PECVD 기술과 비교하여 감소된 또는 최소 보이드를 갖는 비정질 탄소 물질에 의해 수행될 수 있다.
등각 층 (113) 상의 패턴에 의해 형성된 갭 피처를 갖는 기판 상으로 갭-충진 AHM 150를 증착하기 위해, 교번적인, ["("["(증착 + 에칭)"]_"X" "+ 에싱)"]_"Y" 프로세스가 PECVD 플랫폼 상에서 실행될 수 있다. 탄화수소 변수에 대한 정수로서 도 1a 및 등각 층 (105) 과 관련하여 이용되는 "x" 및 "y"가 아니라, 본 명세서에 이용되는 변수 "X" 및 "Y" 는 수행되는 반복 프로세스 사이클의 수인 프로세스 사이클 반복을 지칭할 수 있다. 트렌치 개구가 감소될 때까지, 예를 들어 상부 증착 때문에 트렌치 내의 연속 증착이 너무 느려지는 지점으로 폐쇄 또는 협소해질 때까지, 트렌치 내로의 증착이 수행될 수 있다. 이후에, 프로세스는 이방성 에칭 프로세스로, 및 이후에 에싱 프로세스로 변환될 수 있다. 이러한 기술의 일반적인 프로세스 동작은, 예를 들어, (1) 갭 상부에 증착된 탄소에 의한 갭 피처로의 입구의 폐쇄 때문에 갭 내의 증착이 너무 느려지거나 중단될 때까지, 탄소 필름이 갭 피처 내로 충진되는 PECVD 증착 단계; (2) 추가 필름 증착을 위해 갭을 재개방하기 위해 H2 및 Ar을 포함하는 에칭 화학물질에 의해 탄소 필름이 갭 입구로부터 제거되는, 높은 이온 스퍼터링 단계, 및 (3) 일반적으로 "상부 햇"으로 지칭되는, 갭 입구에 인접한 갭 기판 표면의 상부 상의 탄소 축적물을 우선적으로 제거하는데 이용되는 에싱 프로세스에 영향을 미치기 위해, 에칭 프로세스 조건이 조절되는 세 번째 단계를 포함할 수 있다. 에칭 단계 이전에, 증착 및 고 이온 스퍼터링 단계 모두 여러 사이클 동안 반복될 수 있고, 에칭 단계 이후에, 증착, 및 고 이온 스퍼터링 단계가 등각 층 (113) 의 패턴에 의해 정의되는 갭을 점차적으로 (incrementally) 충진하기 위해 다시 반복될 수 있다. 이러한 교번 단계는 진공을 깨는 일 없이 하나의 프로세스 경로 내에서 수행될 수 있다. 화학-물리 결합된 에칭은, 트렌치 내에 증착된 필름을 더 적게 에칭하면서, 트렌치 상부에 증착된 필름을 우선적으로 제거하는데 이용될 수 있다.
PECVD 프로세스를 이용하여 갭-충진 AHM이 증착될 수 있다. 이와 관련하여, 증착 단계는 챔버 내로 전구체와 함께 프로세스 가스를 유동시켜 갭-충진 AHM 층 (150) 을 증착하는 단계를 포함할 수 있다. 비정질 탄소 및 AHM 증착 프로세스에 이용되는 바와 같은, 아세틸렌 (C2H2) 같은 임의의 적절한 탄화수소 전구체가 이용될 수 있다. 프로세스 가스는 일 형태의 탄화수소 전구체만을 포함할 수 있다. 다른 프로세스 가스는 수소, 질소, 헬륨, 아르곤 또는 다른 불활성 가스를 포함할 수 있다. 플라즈마는 무선 주파수 (RF) 소스를 이용하여 이러한 프로세스 가스 내에서 점화될 수 있고, 탄소 필름 (150) 은 이후에 최종 PECVD 프로세스에 의해 갭 내에 증착될 수 있다.
상부 증착으로 인해 트렌치 내의 연속 증착이 매우 느려지는 시점으로 트렌치 개구가 폐쇄되거나 협소해질 때까지, 증착 프로세스는 수행될 수 있다. 예를 들어, 증착 사이클의 시작 시에 대략 40% 내지 60%의 증착률로 증착률이 감소될 때까지, 증착 사이클에 대한 증착률이 매우 느려질 수 있다.
다른 구현 예에서, 증착률 면에서 더 많은 또는 더 적은 감소가 있을 수 있다. 갭 입구에 증착된 탄소 필름을 제거하기 위해 이방성 에칭이 수행될 수 있다. 이방성 에칭 동작은 높은 이온 스퍼터링 레짐 (regime) 을 특징으로 하는 플라즈마 에칭일 수 있으며, 여기서 탄소 필름은 수소 (H2) 및 아르곤 (Ar) 을 포함하는 에칭 화학 물질에 의해 갭 입구로부터 제거되고, 이에 따라 추가 필름 증착을 위해 갭이 재개방된다. 재개방된 갭은 보이드-프리 갭-충진 AHM 층 (150) 의 형성을 위한 갭 피처의 추가 갭 충진을 가능하게 한다. 에칭 동작은 주어진 구간, 예를 들어 갭이 갭 입구가 약간 언더컷 (undercut) 인 지점으로 대개 재개방되도록 하기 위한 충분한 탄소 필름 제거와 관련된 구간 동안, 수행될 수 있다. 일부 구현 예에서, 에칭 동작은 상부 표면 상의 탄소 필름이 완전히 또는 거의 완전히 제거될 때까지 수행될 수 있고, 이후에 중단될 수 있다. 이는 에칭 프로세스가 위에 놓인 (overlying) 탄소 필름 (150) 에 추가하여 다중-층 스택 내의 물질을 제거하는 것을 방지할 수 있다.
높은 이온 스퍼터링 레짐은, 예를 들어, 아르곤 (Ar) 가스 유동, 낮은 레벨의 LFRF 전력 및 낮은 압력을 특징으로 하는, 낮은 이온 스퍼터링 레짐과 비교하여, 아르곤 (Ar) 가스의 높은 유동, 높은 레벨의 LFRF 전력 및 높은 압력을 포함하는 프로세스 환경으로 제공될 수 있다.
이후에, 갭-충진 AHM 층 (150) 을 증착하기 위한 증착 및 에칭 동작이 복수 회로 반복되어, 패턴 내에 갭을 충진하는 것을 계속할 수 있다. 다양한 실시예에서, 이러한 단계는 1 내지 100회 반복될 수 있다. 다수의 증착 및 에칭 사이클 이후에, 일반적으로 "상부 햇" 피처로 지칭되는 갭 입구에 인접한 에칭 갭의 상부에의 축적이 형성되고, 이는 각 갭 입구에 인접한 기판의 표면의 상부 햇 탄소 축적을 제거하기 위해 우선적으로 조절되는 프로세스 조건으로 에싱 동작을 수행함으로써 제거될 수 있다.
갭-충진 AHM 층 (150) 을 증착하기 위한 증착, 에칭 및 에싱 단계가 갭이 충진될 때까지 동일한 또는 유사한 사이클로 y 회 반복될 수 있다. 이러한 단계는, 패턴에 의해 생성되는 갭의 높이 및 다른 고려 사항에 따라, 적어도 1 사이클 또는 2 내지 1000 사이클 동안 반복될 수 있다. 따라서, 프로세스는 공식 ((증착-에칭)X + 에싱)Y으로 설명될 수 있다.
도 1d 내의 갭-충진 AHM 층 (150) 의 증착을 위한 적절한 프로세스 파라미터가 이하의 표에 제공된다. 표 1은 개시된 실시예의 구현에서 이용될 수 있는 탄소 증착-에칭-에싱 갭 충진 프로세스의 실시예를 실행하기에 적절한 일반적인 범위의 프로세스 파라미터를 제공한다.
갭-충진 AHM 층의 증착을 위한 일반적인 프로세스 파라미터
파라미터 증착 에칭 에싱
시간s) 0.5 - 30 0.5 - 30 0.5 - 30
압력 (Torr) 0.025 - 8 0.025 - 8 0.025 - 8
C x H y 유동 (sccm) 100 - 9500 0 0
H2 유동 (sccm) 100 - 9500 100 - 9500 0- 9500
He 유동 (sccm) 0 - 9500 0 - 9500 0 - 9500
N2 유동 (sccm) 0 - 9500 0 - 9500 0 - 9500
Ar 유동 (sccm) 0 - 19,500 0 - 19,500 0 - 19,500
HF RF 생성기 세트 포인트 (W) 100 - 3000 100 - 3000 100 - 3000
LF RF 생성기 세트 포인트 (W) 200 - 5000 0 - 5000 0 - 5000
표 2는 갭-충진 AHM 층 (150) 을 증착하기 위한 갭-충진 프로세스의 실시예와 관련하여, 적절한 증착-에칭-에싱 프로세스 조건의 구체적인 예에 대한 파라미터를 제공한다.
갭-충진 AHM 층의 증착을 위한 예시적인 프로세스 파라미터
파라미터 증착 에칭 에싱
시간 (s) 3 9 15
압력 (Torr) 0.5 0.3 6
C2H2 유동 (sccm) 300 0 0
H2 유동 (sccm) 200 400 0
He 유동 (sccm) 0 0 0
N2 유동 (sccm) 0 0 0
Ar 유동 (sccm) 2000 5600 5000
HF RF 생성기 세트 포인트 (W) 400 1000 3000
LF RF 생성기 세트 포인트 (W) 2400 2000 0
다른 구현 예에서, 프로세스는 이하의 공식에 의해 설명될 수 있다: ((증착 + 에싱)X + 에칭)Y. 구체적으로, 본 실시예에 따르면, 증착된 탄소 필름을 에칭하기 이전에 에싱이 수행된다. 에칭/에싱 및 에싱/에칭의 순서는 패턴 및 생산량을 고려하여 형성되는 갭의 종횡비 같은 요인들에 의해 결정될 수 있다. AHM을 갭에 증착하기 위한 증착-에칭 기술에 관한 상세한 사항은 미국특허출원 제13/896,729호에 논의되며, 상기 특허출원의 내용은 그 전체로서 본 명세서 편입된다.
도 1e를 참조하면, 산출되는 스택이 실질적으로 평면이 되도록 하는 비율로 코어 AH 층 (150) 위에 놓이는 등각 필름을 제거하기 위해 등각 층 (113) 및 갭-충진 AHM 층 모두를 에칭하는 하나의, 강화된 (consolidated) 에칭 단계에서, 기판은 코어 층 (105) 에 대해 다시 에칭되거나 평탄화된다. 예를 들어, 기판은 코어 AHM 층 (150) 이 노출될 때까지 다시 에칭될 수 있다. 대안적으로, 코어 AHM 영역 위에 놓이는 모든 등각 층 물질이 제거되고, 예를 들어, 캡 층 (107) 이 AHM 층 영역 (150) 위에 남아 있다면, 평탄화 에칭이 중단될 수 있다. 이러한 강화된-에칭 평탄화 단계는 화학-기계적 평탄화 또는 폴리싱을 이용할 수 있다. 30초 동안 약 20 sccm 및 약 200 sccm으로 각각 산소 (O2) 및 헬륨 (He) 을 유동시킴으로써, 기판이 평탄화될 수 있다. 후속하여, 기판은 불화 수소산 수용액에 노출될 수 있다. 약 30초 동안, 불화 수소산 수용액은 약 1000의 탈이온 (DI) 수에 대해 부피 단위로 약 1의 무수 (anhydrous) HF일 수 있다. 약 10초 동안 약 5 sccm 및 약 100 sccm로 각각 CF4 및 Ar을 유동시키고, 약 30초 동안 약 15 sccm 및 약 80 sccm로 CHF3 및 CF4를 유동시킴으로써, 기판에 두 단계 플라즈마 에칭 프로세스가 수행될 수 있다.
코어 층 (105) 에 대한 평탄화가 약 10℃ 내지 약 20℃의 온도에서 그리고 약 2 mTorr 내지 약 20 mTorr의 압력에서 수행될 수 있다. 이용되는 등각 필름이 SiO2 and SiN 화학물질을 포함하는 경우에, 기판은 약 10초 내지 약 60초의 시간 동안 에칭될 수 있다.
이러한 단계에서의 에칭 방법은 플라즈마 에칭을 포함할 수 있다. 이러한 단계에서, 도 1e에 도시된 바와 같이, 종래의 더블 패터닝 기술과 다르게, 코어 층 (105) 에 대한 에칭 이전에 등각 필름 (113) 은 에칭되지 않는다.
도 1f에서, 등각 층 (113) 은, 패터닝된 마스크가 갭-충진 AHM (150) 및 코어 AHM (105) 의 프리-스탠딩 구조물에 의해 정의되도록, 이방성으로 마스크를 형성하여 에칭된다. 상술한 것과 같은 증착의 방법에 기인한 갭-충진 AHM의 견고함은, 이어지는 층들을 에칭하기 위해 마스크로서의 층의 효율적이고 생산적인 사용을 가능하게 한다. 그 후 이 마스크는 층들을 에칭하고, 결국 타겟 층 (101) 을 에칭하는데 사용된다. 이 단계에서의 에칭은, 약 30℃ 내지 약 70℃ 의 온도에서, 그리고 약 5mTorr 및 약 10mTorr 의 압력에서 수행될 수도 있다. 기판은, 플라즈마 에칭을 사용하여 약 20초 내지 약 60초의 시간 동안 에칭될 수도 있다.
도 1g는 다양한 실시예들에 따른 반도체 기판을 처리하기 위한 방법 (100) 을 나타내는 프로세스 순서도이다. 동작 (162) 에서, 패턴은 포토레지스트로부터 코어 AHM 층으로 전사된다. 동작 (164) 에서, 등각 층은 패터닝된 코어 AHM 층 위로 증착된다. 동작 (166) 에서, 갭-충진 AHM 층은 등각 필름 위로 증착된다. 동작 (168) 에서, 기판은, 코어 AHM 층 상부의 등각 필름을 제거하기 위해 등각 필름과 갭-충진 AHM 층 모두를 에칭하는 프로세스로 평탄화된다. 동작 (170) 에서, 등각 필름은 마스크를 형성하도록 선택적으로 에칭된다. 선택적으로, 마스크는 타겟 층에 패터닝될 수도 있다.
이러한 프로세스 스킴 (scheme) 에서의 증착된 갭-충진 AHM 의 사용은 견고한 탄소 막들을 제공하여, 약 2nm (3시그마) 보다 작은 낮은 에지 거칠기 (roughness) 를 가진 정교한 (fine) 패턴들을 생성한다. 갭-충진 층을 위한 증착 방법의 결과로서의 AHM은 매우 안정적이고, 프리-스탠딩한 구조물을 마지막 마스크 패턴으로 형성하고, 이것은 버클링 (buckling) 이나 크래킹 (cracking) 을 견뎌낼 수 있다. 이러한 AHM들은 또한 대부분의 다른 막들에 매우 선택적이다. 게다가, 단일-에칭 평탄화 (single-etch planarization) 를 위한 프로세스 스킴은 등각 필름 또는 패터닝 시의 스페이서 에칭 스텝을 제거함으로써, 효율성을 증대시키고 비용을 감소시킨다.
이미지 반전 ( Image Reversal ) 및 견고한 마스크를 가진 2-차원적인 패터닝
본 명세서에 제공되는 것은 개시된 실시예들에 인용된 방법의 다른 어플리케이션의 예시이다. 본 출원에서, 리소그래픽 피치 (pitch) 아래에 홀을 형성하기 위한 2-차원적인 패터닝은 상술한 방법들로 증착되는 견고한 갭-충진 AHM들을 이용하여 달성된다. 도 2a 내지 15c 는 이러한 2-차원적인 패터닝 예시에서의 다양한 프로세스 단계들의 개략적인 도면들을 도시한다. 이하의 설명에서, "a"로 라벨링된 도면들은 패터닝용 기판의 3-차원적이고 다중-층 스택의 정면도를 도시한다. "b"로 라벨링된 도면들은 동일한 스택의 측면도를 도시하고, "c"로 라벨링된 도면들은 스택의 상면도를 나타내고, 여기서 상면도의 하부 측은 스택의 정면도와 대응한다. 이하의 설명 및 대응하는 도면들은 단지 예시에 불과하는 점에 주의하라.
기판의 다중-층 스택이 도 2a에 도시된 바와 같이 제공된다. 스택의 하부 층은 타겟 층이고, 이것은 종국적으로 에칭될 층일 수도 있다. 타겟 층 위에는 마스크 층이 있고, 이것은 AHM 층일 수도 있다. 이러한 층은 DLC (diamond-like carbon) 의 층일 수도 있고, 또는 매우 선택적이고 투명한 탄소-베이스 층일 수도 있다. 이 층은 이하에서 "마스크 AHM 층"으로 지칭된다. 마스크 AHM 층 위에 캡 층 (cap layer) 이 있고, 이것은 선택적으로 에칭 스톱 층 (etch stop layer) 일 수도 있다. 에칭 스톱 층 또는 캡 층은 도 1a의 층 (103 또는 107) 을 참조로 하여 위에 리스트된 것들 중 임의의 것일 수도 있다. 본 설명의 목적들을 위해, 이 층은 이하에서 "캡" 층으로 지칭된다. 캡 층 위에 에칭 스톱 층이 있고, 이것은 도 1a의 층 (103) 을 참조로 하여 위에 리스트된 것들 중 임의의 것일 수 있다. 에칭 스톱 층의 상부에 코어 AHM 층이 있고, 이것은 도 1a에 관하여 상술된 코어 AHM 층 (105) 의 속성들 중 임의의 것을 가질 수도 있다. 코어 AHM 층의 상부에 또다른 "캡" 층이 있고, 이것은 도 1a의 캡 층 (107) 을 참조로 하여 위에 리스팅된 재료들 중 임의의 것으로 비슷하게 만들어질 수도 있다. 캡 층의 상부에 BARC 층이 있고, 이것은 도 1a의 BARC 층을 참조로 하여 위에 리스팅된 재료들 중 임의의 것일 수도 있다. 스택의 상부에는 리소그래피로 패터닝된 포토레지스트 (PR) 가 있고, 이것은 도 1a의 포토레지스트 층 (111) 을 참조로 하여 위에 리스팅된 재료들 중 임의의 것으로 만들어질 수도 있다.
이 단계에서, 패터닝된 PR은 다중-층 필름 스택 상에 제공된다. 도 2b에서, PR 층은 스택의 앞쪽으로부터 스택의 뒤쪽까지 연장하고, PR 패턴은 도 2a에 의해 나타내는 스택의 뒤쪽으로부터 스택의 앞쪽까지 이어지는 PR의 스트립들을 포함하는 것을 나타낸다는 점에 유의하여야 한다.
도 3a에서, 패턴은, 패턴이 동시에 BARC 층이 트리밍되는 (trimmed) 동안 에칭되도록 BARC 층으로 전사된다. 이 단계는 상술된 바와 같이 도 1b에 도시된 단계와 유사하다. 도 1b를 참조로 하는 조건들 중 임의의 것은 에칭 장치에서 수행되는 것과 같이 이 단계에서 사용될 수도 있다. 여기서, 측면도인 도 3b는, BARC가 스택의 뒤쪽으로부터 앞쪽으로 이어지는 스트립들로서 노출되도록 1-차원으로 패턴이 에칭되었다는 것을 보여준다는 점에 유의하여야 한다.
도 4a에서, 패턴은 캡 층을 통해 코어 층으로 더 전사되고, PR 및 BARC 층 모두는 제거된다. 이 단계는 위의 도 1c를 참조로 하여 설명된 단계와 유사하고, 도 1c의 1-차원적인 에칭 단계를 위한 조건들 및 방법들 중 임의의 것이 이 단계에서 실행될 수도 있다는 점에 유의하라. 도 4b의 측면도는 PR 및 BARC 모두가 스트립되었다는 점을 보여준다는 점에 유의하라.
도 5a에서, 등각 층, 또는 스페이서는 캡 층의 상부에 증착된다. 상술된 바와 같이 도 1d와 유사하게, 등각 층은 여기에서 스페이서로 지칭될 수도 있다. 스페이서는 산화물 (oxide) 또는 실리콘 질화물 (silicon nitride) 일 수도 있고, 패턴 위에 균등하게 분배된 층을 형성하도록 증착된다. 스페이서는 ALD, PEALD, PECVD 또는 증착 장치에서의 여타의 적합한 증착 방법들에 의해 증착될 수도 있다. 이하의 조건들은 PEALD 프로세스에 의해 실리콘 산화물 등각 필름을 증착하기에 적합한 조건들의 예시들이다. 온도는 약 50℃ 내지 약 400℃, 예를 들어 약 50℃일 수도 있고; 압력은 약 0.5Torr 내지 약 10Torr, 예를 들어 약 2-3Torr일 수도 있고; 4 개의 300mm 웨이퍼들에 대한 RF 전력은, 기판에 손상을 입히지 않도록, 약 100 내지 2500W, 예를 들어 약 500-600W 일 수도 있다. 사용될 수도 있는 프로세스 가스들은, 실리콘 소스로서, 실리콘 아미드 (amide) (예컨대, BTBAS - 비스-t-부틸 아미노실레인 (bis-t-butyl aminosilane), BDEAS (비스-디-에틸 아미노실레인 (bis-di-ethyl aminosilane)) 또는 DIPAS (디-이소프로필 아미노실레인 (di-isopropyl aminosilane))),및 산소 소스로서, 산소 또는 아산화질소 (nitrous oxide) 를, 개별적으로 또는 같이, 예를 들어 아르곤 또는 질소와 같은 비활성 캐리어 가스 (inert carrier gas) 로 희석되어 포함한다. 프로세스 가스 유속들은 아래와 같을 수도 있다: (액체) 실리콘 전구체 (BTBAS, BDEAS, DIPAS) 에 대해 약 1 내지 3ccm, 예를 들어 BTBAS는 약 2.5ccm; 산소 전구체 (O2, N2O) 에 대해 약 5000sccm 내지 약 10,000sccm, 예를 들어 N20 는 5000sccm; 그리고 캐리어 가스 (Ar 또는 N2) 에 대해 약 0 내지 10,000, 예를 들어 약 5000Ar. 도 5에서의 측면도는 단면도로서 캡 층 위에 증착된 스페이서 층을 보여준다.
도 6a에서, 갭-충진 AHM 층은 패턴에 의해 생성된 갭들 안을 채우기 위해 등각 필름 위에 증착된다. 이 단계에서의 AHM 층의 증착은 도 1d를 참조로 하여 위에 설명된 바와 같은 증착과 유사하고, 상술된 증착-에칭-에싱 (deposition-etch-ash) 방법 및 조건들을 사용할 수도 있다. PECVD 반사 방지층 (ARL) (미도시) 은 또한, ARL이 밑에 있는 AHM 층에 대한 에칭 선택성 (etch selectivity) 을 가지도록 AHM 층의 상부에 증착될 수도 있다.
대안적으로, 이 탄소-베이스 층은 스핀-온 (spin-on) 방법들에 의해 증착될 수도 있다. 탄소 층이 스핀-온 방법들에 의해 증착되면, 매우 얇은 실리콘-베이스 반사 방지 코팅 (Si-ARC) 층 (미도시) 은, 얇은 층이 밑에 있는 탄소-베이스 갭-충진 층에 대한 에칭 선택성을 가지도록 탄소 층의 상부에 증착될 수도 있다.
이 동일한 도면에서, PECVD ARL 상부에, 포토레지스트는 증착되고, 2차원적인 패터닝 스택 내에 홀들이 원치 않는 영역들의 모양을 정의하도록 패터닝된다. 이 패턴은 그 후 블록 마스크 (block mask) 로서 사용될 수도 있다. 이 케이스에서, 도 6a에서 도시된 것과 같은 블록 마스크는, 스택의 왼쪽 에지 바로 안쪽으로부터 스택의 중간인 대략 절반까지의 짧은 거리에 걸쳐 있고, 스택의 앞쪽 에지 방향으로 측면도인 도 6b에서 보여지는 바와 같이 상대적으로 얇다. 포토레지스트는 탄소-베이스될 수도 있고, 일부 실시예에서는 반사 방지적일 수도 있다.
도 7a에서, 갭-충진 AHM 층은, PECVD ARL, 갭-충진 AHM 및 PR이 개방되도록 포토레지스트 블록 마스크를 사용하여 에칭된다. 스페이서는 에칭 스톱 층까지 더 에칭되고, 그 결과 코어 AHM 및 스페이서의 다양한 패터닝된 블록들을 야기하여 형성될 홀의 모양을 유지한다. 하기는 포토레지스트 블록 마스크에 의해 만들어진 패턴을 따르기 위해 갭-충진 AHM 층을 에칭하고 갭-충진 AHM 층을 제거하기 위한 적합한 프로세스 조건들일 수 있다. 온도는 약 30℃ 내지 약 50℃일 수도 있고, 압력은 약 2mTorr 내지 약 10mTorr 일 수도 있으며, 사용될 수도 있는 프로세스 가스들은 O2, SO2 및 He 일 수도 있고, 이것들은 각각 약 10sccm 내지 약 70sccm, 약 100sccm 내지 약 200sccm, 및 약 100sccm 내지 약 300sccm의 유속들로 유동될 수도 있다. 이 단계는 적합한 에칭 장치에서 수행될 수도 있다.
측면도인 도 7b는 3층 (tri-layer) 및 그것 아래의 코어 AHM 층의 부분으로서 남아 있는 스페이서 및 AHM 을 나타낸다. 상면도는 도 7c에 제공되고, 이것은 스택의 오른쪽 에지보다, 도 7a에 의해 보여지는 스택의 앞쪽에 더 근접하도록 그리고 도 7b에 의해 보여지는 스택의 왼쪽에 더 근접하도록 AHM이 스택의 하부의 왼쪽 코너에서 위치되어 증착된 홀의 패턴을 나타낸다. 2-차원적인 패턴의 점진적인 형성은 이 단계에서 보여진다.
도 8a에서, 코어 AHM 층은 에싱 (ashing) 방법을 사용하여 에싱 아웃 (ashing out) 되고, 남아 있는 스페이서 패턴을 두고, 블록 마스크가 만들어질 홀을 정의했던 스페이서 아래의 캡 층 및 코어 층을 포함한 채로, 등각 층의 상부의 AHM 층은 또한 제거된다. 남아 있는 에칭 스톱 층, 캡, 마스크 AHM 및 아래의 타겟 층들과 함께, 정의된 홀 위치 내에 스페이서가 그것 아래에 있는 캡 층과 코어 AHM 층을 커버하기 때문에, 도 8b에서의 측면도는 단지 스페이서는 측면으로부터 상부 층으로 보여질 수 있다. 도 8c에서의 상면도는 단지 스페이서의 스트립들과 정의된 홀 패턴 사이의 에칭 스톱 층이 또한 스페이서로 커버된다는 점을 유사하게 보여준다.
에싱 프로세스가 AHM 층의 에칭 시와 같은 활동적인 이온들의 방향성 움직임보다는 물질 제거를 위한 화학적 상호작용들에 크게 의존적이기 때문에, 에싱 프로세스는 자연에서 더 이방성 (isotropic) 일 수도 있다. 예를 들어, 에싱 동작에서 사용되는 프로세스 가스에 노출되는 임의의 표면은 노출에 기인하는 물질 제거를 경험할 수도 있고, 그래서 코어에 사용되고 블록 마스크 아래에 있는 AHM 물질은, AHM 층들이 에싱되는 동안 스페이서가 에칭되지 않도록 스페이서에 높은 에칭 선택성을 가질 수도 있다. 부가적으로, 일부 화학적 에칭 프로세스들과는 대조적으로, 에싱 작동들은 완전하게 가스 상 (gas phase) 에 있는 반응 생성물 (reaction product) 을 만들 수도 있다. 따라서, 에싱 동작들은, 다른 종류들의 화학적 물질 제거 프로세스들을 가진 케이스일 수도 있는 것과 같이 웨이퍼를 오염시킬 수도 있는 미립자의 부산물을 만들어내지 않을 수도 있다. 예를 들어, 탄소 막들에 대한 에싱 동작들은 프로세스 가스들로서 해리된 (dissociated) H2 또 O2를 이용할 수도 있고, 이것은 그러한 가스-상 반응 부산물들을 형성하도록 탄소 막들과 반응할 수도 있다. "에싱" 칼럼 내의 표 1 내의 위에서 리스트된 조건들 중 임의의 것은 스페이서의 상부 상에 코어 AHM 및 AHM 층을 에싱하도록 이 단계에 이용될 수도 있다.
도 9a에서, 스페이서는 캡 층에 에칭 스톱 층을 에칭하기 위해 마스크로서 이용되고, 이에 따라 에칭 스톱 층으로 패턴을 전사한다. 그러면, 스페이서는 에칭 방법들에 의해 제거되고, 정의된 홀을 가진 패터닝된 에칭 스톱 층이 남게 된다. 도 9b 에서의 측면도에서, 왼쪽 에지 상의 에칭 스톱 층은, 스트립이 스택의 뒤쪽으로부터 앞쪽으로 이어지도록 (run) 단일 스트립이다. 도 9c 에서의 상면도는 에칭 스톱 층 아래의 결과적인 캡 층 스페이서로부터 정의되는 바와 같은 에칭 스톱 층의 스트립들을 보여준다.
스페이서로부터 에칭 스톱 층으로 패턴을 전사하기 위해서, 하기의 프로세스 조건들이 이용된다. 온도는 약 50℃ 내지 약 70℃일 수도 있고, 압력은 약 5mTorr 내지 약 10mTorr 일 수도 있으며, 이용될 수도 있는 프로세스 가스들은 Cl2, 및 HBr을 포함하고, 이것은 각각 약 20sccm 내지 40sccm, 그리고 100sccm 내지 300sccm의 유속으로 유동될 수도 있다.
스페이서는 하기의 프로세스 조건들을 이용하여 제거될 수도 있다. 온도는 약 50℃ 내지 약 70℃일 수도 있고, 압력은 약 2mTorr 내지 약 20mTorr일 수도 있으며, 이용될 수도 있는 프로세스 가스들은 CHF3 및 CF4를 포함하고, 이것은 각각 약 30sccm 내지 약 50sccm, 및 약 50sccm 내지 약 100sccm의 유속으로 유동될 수도 있다.
도 10a에서, 갭-충진 AHM 층은 증착되고, 얇은 PECVD ARL로 이어지고, 포토레지스트 층이 증착되어 패터닝된다. 이러한 단계들에서, 갭-충진 AHM 층은 도 1d에 관하여 위에서 설명된 증착-에칭-에싱 방법에 의해 증착될 수도 있고, ARL 및 포토레지스트 층 증착 및 패터닝은 도 6a 내지 6b에 관하여 위에서 설명된 것들과 같은 프로세스 조건들을 가질 수도 있다. 이러한 층들은 증착 장치에서 증착될 수도 있다. 정의된 홀이 스택의 앞쪽 에지로부터 떨어져 세팅되기 때문에, 도 10a에서의 정면도로부터의 패턴은 에칭 스톱 층의 개별적인 스트립들을 그린다. 이에 따라, 갭-충진 AHM이 에칭 스톱 층 스트립들 사이에 증착되는 경우, 그것은 또한 정의된 홀의 앞의 에칭 스톱 층 스트립들 사이의 갭들을 채운다.
대안적으로, 새로운 (novel) 캡 층, 에칭 스톱 층, 또는 비-실리콘-베이스 반사 방지층 (non-silicon-based anti-reflective layer) 는 ARL 대신에 증착될 수도 있다. 또한 이 단계에서, 포토레지스트는 다른 방향으로 패터닝되고, 이에 따라 스택의 왼쪽으로부터 스택의 오른쪽으로 이어지는 스트립들을 형성한다는 점에 주의하라. 이것은 도 10b에 나타내어지는 측면도에 의해 더 잘 도시되고, 여기서 포토레지스트는 ARL 및 갭-충진 AHM 층의 상부에 스트립들로 증착된 것과 같이 보여진다.
또한 PECVD에 의한 증착-에칭-에싱 방법에 의해 증착된 갭-충진 AHM 층은, 에칭 스톱 층 마스크에 의해 정의되는 바와 같은 정교한 피쳐들을 채우는 특별히 견고하고 강한 층을 제공한다는 점에 유의하라. 이러한 안정된 구조들은 패터닝 단계들에서는 필수적이며, 특히 특별히 정교한 패턴들에게는 필수적이다.
선택적으로, 포토레지스트는 증착 장치에서 잘려질 (trim) 수도 있다. 포토레지스트가 원하는 임계치수 (critical dimension) 으로 리소그래피로 패턴되었다면, 그러면 선택적인 트리밍 (trimming) 단계가 생략될 수도 있다. 증착 장치에서의 트리밍에 적합한 조건들은 하기의 조합 중 임의의 것일 수도 있다. 온도는 약 40℃ 내지 60℃ 일 수도 있고, 압력은 약 500mTorr 내지 약 1Torr일 수도 있고, 이용될 수도 있는 프로세스 가스들은 산소 (O2) 및 아르곤 (Ar) 을 포함하고, 이것은 각각 약 50sccm 내지 100sccm, 그리고 약 200sccm 내지 400sccm의 유속으로 유동될 수도 있다.
도 11a에서, 등각 층 또는 스페이서는 포토레지스트 패턴의 상부 위에 증착된다. 패턴의 스트립들은 왼쪽에서 오른쪽으로 이어지기 때문에, 도 11a에서 보여지는 바와 같은 정면도는 PECVD ARL 의 상부 위에 솔리드 스페이서 층을 그린다. 도 11b에서 보여지는 바와 같은 측면도는 포토레지스트 패턴의 모양에 등각성으로 (conformally) 증착된 스페이서를 보여준다. 스페이서는 ALD에 의해 증착될 수도 있고, SiO2로 만들어지는 것과 같은 실리콘-베이스일 수도 있다. 특히 포토레지스트 재료가 약 100℃ 이상의 온도에서의 변화에 민감하다면, 스페이서는 약 80℃ 아래의 온도에서 증착될 수도 있다.
도 12a 및 도 12b에서, 스페이서는, 포토레지스트가 노출될 때까지 밑으로 에칭되고, PECVD ARL이 또한 노출된다. 도 12a에서 보여지는 바와 같이, 정면도는 단지 스페이서의 두께에 대한 일부 에칭을 보여주지만, 도 12b에서의 측면도는 스페이서가 PECVD ARL 으로 밑으로 에칭되는 것을 보여주고, 그에 따라 포토레지스트는 노출된다. 이러한 에칭은 하기의 조건들을 가진 에칭 장치에서 일어날 수도 있다. 온도는 약 40℃ 내지 60℃일 수도 있고, 압력은 약 5mTorr 내지 약 20mTorr 일 수도 있으며, 이용될 수도 있는 프로세스 가스들은 CF4 및 HBr일 수도 있고, 이것은 각각 약 50sccm 내지 200sccm, 그리고 약 50sccm 내지 200sccm의 유속들로 유동될 수도 있다.
도 13a 및 도 13b에서, 포토레지스트는 PECVD ARL으로 밑으로 에칭되어 제거되고, 잔여 스페이서 패턴이 남는다. 이 포토레지스트 에칭 단계는 하기의 조건들을 가진 에칭 장치에서 수행될 수도 있다. 온도는 약 40℃ 내지 약 60℃일 수도 있고, 압력은 약 5mTorr 내지 약 20mTorr일 수도 있으며, 사용될 수도 있는 프로세스 가스들은 O2를 포함하고, 이것은 약 100sccm 내지 약 200sccm의 유속으로 유동될 수도 있다.
도 14a, 14b 및 14c에서, 스페이서 아래의 PECVD ARL 및 갭-충진 AHM 층은 마스크로서의 스페이서를 사용하여 캡 층으로 아래로 에칭된다. 도 14a의 정면도에서 작은 양의 스페이서가 PECVD ARL의 상부 상에 남을 수도 있다. 도 14b에서 보여지는 측면도는 아래로의 에칭 및 캡 층에서의 중지에 의해 형성되는 패턴을 명확하게 보여주지만, 스택의 패터닝, 정의된 에칭 스톱 층의 부분들에 기인하여 패턴의 제1 치수 (dimension) 는 스페이서 층들 아래에 남아있는 갭-충진 AHM 사이의 갭들을 통해 보여진다. 도 14c에서의 상면도로부터 볼 수 있는 것은, 정의된 홀을 가진, 이전 단계들에서 패터닝된 에칭 스톱 층과 함께, 에칭이 중지된 하부 상의 캡 층이고, 에칭 스톱 층과 얽혀 있는 것 (interwined) 은, 각각의 패턴 라인들의 상부 위의 작은 잔여 스페이서 재료 때문에 수평인 스트립들에 걸쳐있는 스페이서 패턴에 의해 나타내어지는 패터닝된 갭-충진 AHM 층이다.
이 단계는 하기의 조건들을 가진 에칭 장치에서 일어날 수도 있다. 온도는 약 30℃ 내지 50℃ 일 수도 있고, 압력은 약 2mTorr 내지 약 10mTorr 일 수도 있으며, 사용될 수도 있는 프로세스 가스들은 O2, SO2, 및 He를 포함하고, 이것은 각각 약 10sccm 내지 70sccm, 약 10sccm 내지 200sccm, 및 약 100sccm 내지 300sccm 의 유량들로 유동될 수도 있다.
갭-충진 AHM 층은, 낮은 라인 거칠기 (roughness) 를 가지되 버클링이나 크랙킹이 없는, 이러한 라인들을 정의하는, 필수적인 안정적이고 견고한 구조를 가지기 때문에, 증착-에칭-에싱 방법으로 증착된 갭-충진 AHM의 사용은 이 단계에서 특별히 중요하다.
마지막으로, 도 15a 내지 도 15c에서, 패턴은 마스크로서의 갭-충진 AHM을 사용하여 캡 층으로 전사된다. 에칭은 마스크 AHM 층에서 중지되고, 이에 따라 캡 층에 정교한 피쳐들을 형성한다. 그 후, 스페이서, PECVD ARL 및 갭-충진 AHM 층은 에싱되어 제거되어, 결과적인 2-차원적인 패턴이 스택 상에 남는다. 측면도인 도 15b에서, 이러한 에칭 동안 캡 층이 에칭되면서 에칭 스톱 층이 미세하게 에칭될 수도 있기 때문에, 상부 표면이 미세하게 스태거된다 (staggered). 그럼에도 불구하고, 도 15c에서 보여지는 바와 같이 정의된 홀을 가진 2-차원적인 패터닝은 이 단계에서 형성된다. 2-차원적인 패턴은 이제 사용될 수 있어, 패턴이 마스크로 전사되고 마스크 층을 사용하여 타겟 층이 패터닝됨으로써, 패터닝 프로세스를 완성한다.
이 단계에서, 하기의 조건들 및 방법들이 사용될 수도 있다. 온도는 약 50℃ 내지 90℃일 수도 있고, 압력은 약 5mTorr 내지 약 100mTorr일 수도 있으며, 사용될 수도 있는 프로세스 가스들은 Cl2, HBr 및 He를 포함하고, 이것은 각각 약 10sccm 내지 100sccm, 약 10sccm 내지 100sccm, 및 약 100sccm 내지 200sccm의 유속들로 유동될 수도 있다.
도 16은 개시된 실시예들에 따른 방법 (1600) 의 선택된 동작들의 프로세스 순서도이다. 동작 (1662) 에서, 패턴이 제1 치수로 제1 포토레지스트에서 코어 제1 AHM 층으로 전사된다. 동작 (1664) 에서, 제1 등각 필름이 패터닝된 제1 코어 AHM 층 상에 증착된다. 동작 (1666) 에서, 제2 AHM 층은 제1 등각 필름 상에 증착된다. 동작 (1668) 에서, 제1 및 제2 AHM 층들은 선택적으로 에칭되어 패터닝된 제1 등각 필름을 노출시킨다. 동작 (1670) 에서, 에칭 스톱 층은 패터닝된 제1 등각 필름을 사용하여 에칭된다. 동작 (1672) 에서, 갭-충진 제3 AHM 층이 증착된다.
동작 (1674) 에서, 제2 포토레지스트가 증착되고, 제2 치수로 리소그래피로 정의된다. 동작 (1676) 에서, 제2 등각 필름이 패터닝된 제2 포토레지스트 위에 증착된다. 동작 (1678) 에서, 제2 등각 필름이 선택적으로 에칭되어 패터닝된 제2 포토레지스트를 노출시킨다. 동작 (1680) 에서, 패터닝된 제2 포토레지스트는 선택적으로 에칭된다. 동작 (1682) 에서, 갭-충진 제3 AHM 층은 선택적으로 에칭된다. 동작 (1684) 에서, 캡 층이 선택적으로 에칭되어 2-차원적인 마스크를 형성한다. 선택적으로, 2-차원적인 마스크는 그 후 타겟 층을 패터닝하는데 이용될 수도 있다.
장 치
본 명세서에 제공된 증착 기술들은 플라즈마 강화 화학 기상 증착 (PECVD; plasma enhanced chemical vapor deposition) 반응기에서 실행될 수도 있다. 그러한 반응기는 많은 형태들을 가질 수도 있고, 하나 이상의 웨이퍼들을 각각 수용할 수 있고 다양한 웨이퍼 프로세싱 동작들을 수행하도록 구성될 수도 있는 하나 이상의 챔버들 (chambers) 또는 "반응기들" (reactors) (때때로 멀티 스테이션들을 포함한다) 을 포함하는 장치의 일부일 수도 있다. 하나 이상의 챔버들은 정의된 위치 혹은 위치들에서 (예컨대, 회전, 진동, 또는 여타의 운동 (agitation) 과 같은 위치 내에서의 모션을 가지거나 가지지 않고) 웨이퍼를 유지할 수도 있다. 일 구현 예에서, 필름 증착을 겪은 웨이퍼는, 에칭 동작들 또는 리소그래피 동작들과 같은 상이한 동작들을 수행하기 위해 프로세스 동안 반응기 챔버 내부에서 일 스테이션으로부터 다른 스테이션으로 전사될 수도 있다. 다른 구현 예에서, 웨이퍼는 에칭 동작 또는 리소그래피 동작과 같은 다른 동작을 수행하기 위해 장치 내에서 챔버에서 챔버로 이송될 수 있다. 전체 (full) 필름 증착이 단일 스테이션에서 전체로서 일어날 수도 있고, 또한 등각 필름 증착 또는 갭-충진 AHM 층 증착을 위한 증착 단계들과 같은 임의의 증착 단계들을 위해 전체 필름 두께의 임의의 부분 (fraction) 이 임의의 수의 스테이션들 또는 챔버들에서 증착될 수도 있다.
프로세스에 있는 동안, 각각의 웨이퍼는 페데스탈 (pedestal), 웨이퍼 척, 및/또는 웨이퍼-홀딩 장치에 의해 제자리에 유지될 수도 있다. 웨이퍼가 가열되는 특정 동작들을 위해, 장치는 가열 플레이트와 같은 히터를 포함할 수도 있다. 프레몬트 (Fremont) 소재의 램 리써치 코퍼레이션 (Lam Research Corp.) 에 의해 제도된 VectorTM (예컨대, C3 Vector) 또는 SequelTM (예컨대, C2 Sequel) 은 양자 모두 본 명세서에서 설명된 기술들을 실행하는데 이용될 수도 있는 적합한 반응기들의 예시들이다.
도 17은 본 명세서에서 설명된 방법들을 실행하기 위해 배열된 다양한 반응기 컴포넌트들을 도시한 간단한 블록도를 제공한다. 보여지는 바와 같이, 반응기 (1700) 는, 반응기의 여타의 컴포넌트들을 둘러싸고, 그라운드된 (grounded) 히터 블록 (1720) 과 함께 작동하는 샤워헤드 (showerhead) (1714) 를 포함하는 용량성-방전 타입 시스템 (capacitive-discharge type system) 에 의해 생성되는 플라즈마를 포함하도록 기여하는 프로세스 챔버 (1724) 를 포함한다. 고주파수 (HF; high frequency) 무선 주파수 (RF; radio frequency) 발생기 (1704) 및 저주파수 (LF; low frequency) RF 생성기 (1702) 는 매칭 네트워크 (1706) 에 그리고 샤워헤드 (1714) 에 연결될 수도 있다. 매칭 네트워크 (1706) 에 의해 공급되는 전력 및 주파수는 프로세스 챔버 (1724) 에 공급되는 프로세스 가스들로부터 플라즈마를 생성하기에 충분할 수도 있다. 예를 들어, 매칭 네트워크 (1706) 는 100W 또는 5000W의 HFRF 전력 및 100W 내지 5000W의 LFRF 전력 총 에너지를 공급할 수도 있다. 통상적인 프로세스에서, HFRF 컴포넌트는 일반적으로 5MHz 내지 60MHz, 예컨대 13.56MHz 일 수도 있다. LF 컴포넌트가 존재하는 동작들에서, LF 컴포넌트는 약 100kHz 내지 2MHz, 예컨대 430kHz 일 수도 있다.
반응기 내에서, 웨이퍼 페데스탈 (1718) 은 기판 (1716) 을 지지할 수도 있다. 웨이퍼 페데스탈 (1718) 은 증착 및/또는 플라즈마 처리 반응들 동안 및 그 사이에 기판을 유지하고 이송하기 위해, 척, 포크 (fork), 또는 리프트 핀들 (미도시) 을 포함한다. 척은 정전 척 (electrostatic chuck), 기계적인 척 (mechanical chuck), 또는 산업에서 및/또는 연구용으로 사용될 수 있는 다양한 여타의 종류들의 척일 수도 있다.
다양한 프로세스 가스들이 유입부 (1712) 을 통해 도입될 수도 있다. 복수의 소스 가스 라인들 (1710) 이 매니폴드 (1708) 에 연결된다. 가스들은 사전에 혼합되거나 그렇지 않을 수도 있다. 프로세스의 증착 및 플라즈마 처리 페이즈 (phase) 들 동안 정확한 프로세스 가스들이 전달되는 것을 보장하기 위해, 적절한 밸빙 (valving) 및 매스 플로우 (mass flow) 제어 매커니즘들이 채용될 수도 있다. 화학적 전구체 (들) 이 액체 형태로 전달되는 케이스에서, 액체 유동 제어 매커니즘들이 채용될 수도 있다. 그렇다면 그러한 액체들은, 증착 챔버에 도달하기 전 액체 형태로 공급되는 화학적 전구체의 기화점 위로 가열된 매니폴드 내에서의 이송 동안, 기화되어 프로세스 가스들과 함께 섞일 수도 있다.
프로세스 가스들은 유출부 (1722) 를 통해 챔버 (1724) 에서 나간다. 진공 펌프, 예컨대 1 또는 2 단계의 기계적 드라이 펌프 (one or two stage mechanical dry pump) 및/또는 터보 몰레큘러 펌프 (turbomolecular pump) (1740) 가 프로세스 챔버 (1724) 밖으로 프로세스 가스들을 끌어내고, 스로틀 밸브 (throttle valve) 또는 진자 밸브 (pendulum valve) 와 같은 폐-루프-제어된 (closed-loop-controlled) 유동 제한 장치를 사용함으로써 프로세스 챔버 (1724) 내에서 적절하게 낮은 압력을 유지하도록 사용될 수도 있다.
상술한 바와 같이, 본 명세서에서 논의된 증착을 위한 기술들은 멀티-스테이션 또는 단일 스테이션 툴에서 실행될 수도 있다. 구체적인 구현 예들에서, 4-스테이션 증착 스킴을 가진 300mm Lam VectorTM 툴 또는 6-스테이션 증착 스킴을 가진 200mm SequelTM 이 사용될 수도 있다. 일부 구현 예들에서, 450mm 웨이퍼들을 처리하기 위한 툴들이 사용될 수도 있다. 다양한 구현 예들에서, 웨이퍼들은 모든 증착 및/또는 후-증착 (post-deposition) 플라즈마 처리 후에 인덱싱될 (indexed) 수도 있고, 또는 에칭 챔버들 혹은 스테이션들이 또한 동일한 툴의 일부라면 에칭 단계들 후에 인덱싱될 수도 있고, 또는 복수의 증착들 및 처리들이 웨이퍼를 인덱싱하기 전에 단일 스테이션에서 수행될 수도 있다.
일부 실시예들에서, 본 명세서에서 설명된 기술들을 수행하도록 구성되는 장치가 제공될 수도 있다. 적절한 장치는 다양한 프로세스 동작들을 수행하기 위한 하드웨어 뿐만 아니라 개시된 실시예들에 따른 프로세스 동작들을 제어하기 위한 명령어 (instruction) 들을 가지는 시스템 제어부 (1730) 를 포함할 수도 있다. 시스템 제어부 (1730) 는 하나 이상의 메모리 장치들과, 예컨대 밸브들, RF 생성기들, 웨이퍼 홀딩 시스템들 등과 같은 다양한 프로세스 제어 장비와 통신적으로 (communicatively) 연결되고, 예컨대 도 1의 증착 단계들에 제공된 것과 같은 기술과 같은, 개시된 실시예들에서의 기술을 장치가 수행하도록 명령어들을 실행하도록 구성되는 하나 이상의 프로세서들을 포함할 것이다. 본 개시에 따른 프로세스 동작들을 제어하기 위한 명령어들을 포함하는 기계-판독가능한 매체 (machine-readable media) 가 시스템 제어부 (1730) 에 커플링될 (coupled) 수도 있다. 제어부 (1730) 는 예컨대 매스 플로우 제어부들, 밸브들, RF 생성기들, 진공 펌프들 등과 같은 다양한 하드웨어 장치들과 통신적으로 연결되어, 본 명세서에 설명된 바와 같은 증착, 에칭 및 에싱 동작들과 연관된 다양한 프로세스 파라미터들의 제어를 가능하게 한다.
일부 실시예들에서, 시스템 제어부 (1730) 는 반응기 (1700) 의 활동들의 전부를 제어할 수도 있다. 시스템 제어부 (1730) 는 대용량 기억 장치에 저장되고, 메모리 장치로 로딩되고, 프로세서에서 실행되는 시스템 제어 소프트웨어를 실행할 수도 있다. 시스템 제어 소프트웨어는 가스 유동들의 타이밍 (timing), 웨이퍼 이동, RF 생성기 활성화 등을 위한 명령어들 뿐만 아니라 가스들의 혼합, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 목표 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척, 및/또는 서셉터 (susceptor) 위치, 및 반응기 장치 (1700) 에 의해 수행되는 특정 프로세스의 여타의 파라미터들을 제어하기 위한 명령어들을 포함할 수도 있다. 시스템 제어 소프트웨어는 임의의 적절한 방법으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴 (subroutine) 들 또는 제어 오브젝트 (object) 들이, 다양한 프로세스 툴 프로세스들을 수행하기에 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 쓰여질 (written) 수도 있다. 시스템 제어 소프트웨어는 적절한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
시스템 제어부 (1730) 는, 본 개시와 관련된 기술을 수행하도록, 하나 이상의 메모리 장치들 및 명령어들을 수행하도록 구성된 하나 이상의 프로세서들을 통상적으로 포함한다. 개시된 실시예들과 관련된 프로세스 동작들을 제어하기 위한 명령어들을 포함하는 기계-판독가능한 매체가 시스템 제어부 (1730) 에 커플링될 수도 있다.
본 명세서에서 설명된 방법 및 장치는, 반도체 장치들, 디스플레이들, LED들, 광전지 패널 (photovoltaic panel) 등의 가공 및 제조를 위해 아래에 설명된 것들과 같은 리소그래픽 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 필수적이지는 않지만, 그러한 툴들/프로세스들은 공통의 가공 설비에서 같이 사용되거나 수행될 것이다. 필름의 리소그래픽 패터닝은 통상적으로 하기의 단계들 중 일부 또는 전부를 포함하고, 각 단계는 다수의 가능한 툴들로 수행된다 : (1) 스핀-온 또는 스프레이-온 (spray-on) 툴을 사용하여, 개시된 실시예들에서 제공된 바와 같이 예를 들어 기판 또는 다중-층 스택과 같은 워크피스 (workpiece) 상에 포토레지스트를 적용하는 단계; (2) 핫 플레이트 (hot plate) 또는 로 (furnace) 또는 UV 경화 툴 (curing tool) 을 이용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼 (stepper) 와 같은 툴과 함께 가시광 또는 UV 광 또는 엑스레이 광에 포토레지스트를 노출시키는 단계; (4) 웨트 벤치 (wet bench) 와 같은 툴을 사용하여 선택적으로 레지스트를 제거하여 이에 따라 그것을 패터닝하도록 레지스트를 현상하는 (developing) 단계; (5) 아래에 설명된 것과 같은 건식 (dry) 또는 플라즈마-지원형 (plasma-assisted) 에칭 툴을 사용함으로써, 탄소-베이스 갭-충진 AHM 층과 같은, 밑에 놓인 필름 또는 워크피스 내로 레지스트 패턴이 전사되는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼 (stripper) 와 같은 툴을 이용하여 레지스트를 제거하는 단계. 일 구현 예에서, 웨이퍼 상의 하나 이상의 갭 피쳐들은, 본 명세서에서 설명된 바와 같은 기술들을 사용하여 탄소 필름으로 채워진다. 예를 들어, 탄소 필름은 본 명세서에서 설명된 목적들 중 하나를 위해 사용될 수도 있다. 또한, 구현 예는 상술한 (1) 내지 (6) 의 단계들 중 하나 이상을 포함할 수도 있다.
도 18은 본 명세서의 특정 실시예들에 따른 유도적으로 커플링된 (inductively coupled) 플라즈마 에칭 장치 (1800) 의 단면도를 개략적으로 나타낸다. 프레몬트 소재의 램 리써치 코퍼레이션에 의해 제조된 KiyoTM 반응기는 본 명세서에 설명된 기술들을 실행하는데 사용될 수도 있는 적절한 반응기의 예시이다. 유도적으로 커플링된 플라즈마 에칭 장치 (1800) 는 챔버 벽들 (1801) 및 윈도우 (1811) 에 의해 구조적으로 정의되는 전체적인 에칭 챔버를 포함한다. 챔버 벽들 (1801) 은 스테인리스 스틸 또는 알루미늄으로 가공될 수도 있다. 윈도우 (1811) 는 석영 (quartz) 또는 여타의 유전체 물질로 가공될 수도 있다. 선택적인 내부 플라즈마 그리드 (1850) 는 전체적인 에칭 챔버를 상부 서브-챔버 (1802) 및 하부 서브-챔버 (1803) 로 분할한다. 대부분의 실시예들에서, 플라즈마 그리드 (1850) 는 제거되고, 이에 따라 서브-챔버들 (1802 및 1803) 로 만들어진 챔버 공간을 이용한다. 척 (1817) 은 바닥 내부 표면 근방의 하부 서브-챔버 (1803) 내에 위치된다. 척 (1817) 은 에칭 프로세스가 수행되는 반도체 웨이퍼 (1819) 를 받아서 유지하도록 구성된다. 척 (1817) 은 존재하는 경우 웨이퍼 (1819) 를 지지하기 위한 정전 척일 수 있다. 일부 실시예들에서, 에지 링 (미도시) 은 척 (1817) 을 둘러싸고, 척 (1817) 위에 존재하는 경우, 웨이퍼 (1819) 의 상부 표면과 대략적으로 평면인 상부 표면을 가진다. 척 (1817) 은 또한 웨이퍼를 척킹 (chucking) 또는 디척킹 (dechucking) 하기 위한 정전 전극 (electrostatic electrode) 들을 포함한다. 필터 및 DC 클램프 전력 공급기 (미도시) 가 이 목적을 위해 제공될 수도 있다. 웨이퍼 (1819) 를 척 (1817) 으로부터 리프팅하기 위한 여타의 제어 시스템들이 또한 제공될 수 있다. 척 (1817) 은 RF 전력 공급기 (1823) 를 사용하여 전기적으로 충전될 수 있다. RF 전력 공급기 (1823) 는 연결 (1827) 을 통해 매칭 회로 (1821) 에 연결된다. 매칭 회로 (1821) 는 연결 (1825) 을 통해 척 (1817) 에 연결된다. 이러한 방식으로, RF 전력 공급기 (1823) 는 척 (1817) 에 연결된다.
코일 (1833) 은 윈도우 (1811) 위에 위치된다. 코일 (1833) 은 전기적으로 유도성인 물질로 가공되고, 적어도 하나의 완전한 턴 (turn) 을 포함한다. 도 18에 도시된 예시적인 코일 (1833) 은 3개의 턴들을 포함한다. 코일 (1833) 의 단면들은 기호들로 나타내어지고, "X"를 가진 코일들은 페이지 안으로 회전적으로 연장하는 반면, "●"을 가진 코일들은 페이지의 바깥으로 회전적으로 연장한다. RF 전력 공급기 (1841) 는 코일 (1833) 에 RF 전력을 공급하도록 구성된다. 일반적으로, RF 전력 공급기 (1841) 는 연결 (1845) 을 통해 매칭 회로 (1839) 에 연결된다. 매칭 회로 (1839) 는 연결 (1843) 을 통해 코일 (1833) 에 연결된다. 이 방식으로, RF 전력 공급기 (1841) 는 코일 (1833) 에 연결된다. 선택적인 패러데이 쉴드 (1849) 는 코일 (1833) 및 윈도우 (1811) 사이에 위치된다. 패러데이 쉴드 (1849) 는 코일 (1833) 에 관하여 이격된 관계로 유지된다. 패러데이 쉴드 (1849) 는 윈도우 (1811) 바로 위에 위치된다. 코일 (1833), 패러데이 쉴드 (1849), 및 윈도우 (1811) 는 서로에 대해 실질적으로 평행하도록 각각 구성된다. 패러데이 쉴드는 금속 또는 여타의 종들이 플라즈마 챔버의 유전체 윈도우 상에 증착하는 것을 방지할 수도 있다.
프로세스 가스들은 상부 챔버 내에 위치되는 메인 인젝션 포트 (1860) 를 통해 및/또는 측면 인젝션 포트 (1870) 를 통해 공급되고, 때로는 STG로 지칭된다. 진공 펌프, 예컨대 1 또는 2 단계의 기계적 드라이 펌프 및/또는 터보 몰레큘러 펌프 (1840) 가 프로세스 챔버 (1824) 밖으로 프로세스 가스들을 끌어내고, 스로틀 밸브 (미도시) 또는 진자 밸브 (미도시) 와 같은 폐-루프-제어된 유동 제한 장치를 사용함으로써 프로세스 챔버 (1800) 내에서 적절하게 낮은 압력을 유지하도록 사용될 수도 있다.
장치의 동작 동안, 하나 이상의 반응 가스들이 인젝션 포트들 (1860 및/또는 1870) 을 통해 공급될 수도 있다. 특정 실시예들에서, 가스는 단지 메인 인젝션 포트 (1860) 를 통해 공급될 수도 있고, 또는 단지 측면 인젝션 포트 (1870) 를 통해 공급될 수도 있다. 일부 케이스에서는, 인젝션 포트들은 샤워헤드들로 대체될 수도 있다. 패러데이 쉴드 (1849) 및/또는 선택적인 그리드 (1850) 는, 챔버로의 프로세스 가스들의 전달을 가능하게 하는 내부 채널들 (internal channels) 및 홀들을 포함할 수도 있다. 패러데이 쉴드 (1849) 및 선택적인 그리드 (1850) 중 어느 하나 또는 양자 모두는 프로세스 가스들의 전달을 위한 샤워헤드로서 기능할 수도 있다.
무선 주파수 전력은 RF 전력 공급기 (1841) 로부터 코일 (1833) 로 공급되어, RF 전류가 코일 (1833) 을 통해 흐르게 된다. 코일을 통해 흐르는 RF 전류는 코일 (1833) 에 대하여 전자기장 (electromagnetic field) 을 생성한다. 전자기장은 상부 서브-챔버 (1802) 내에 유도 전류를 생성한다. 웨이퍼 (1819) 와 다양한 생성된 이온들 및 라디칼들의 물리적이고 화학적인 상호작용들은 선택적으로 웨이퍼의 피쳐들을 에칭한다.
상부 서브-챔버 (1802) 및 하부 서브-챔버 (1803) 양자 모두가 존재하도록 플라즈마 그리드가 사용되면, 유도 전류는, 상부 서브-챔버 (1802) 내에 전자-이온 플라즈마를 생성하기 위해 상부 서브-챔버 (1802) 내에 있는 가스에 작용한다. 선택적인 내부 플라즈마 그리드 (1850) 는 하부 서브-챔버 (1803) 내의 뜨거운 전자 (hot electron) 들의 수를 제한한다. 일부 실시예들에서, 장치는, 하부 서브-챔버 (1803) 내에 있는 플라즈마가 이온-이온 플라즈마이도록, 디자인되고 작동될 수 있다.
이온-이온 플라즈마가 양이온에 대한 음이온의 더 큰 비율을 가질 것이지만, 상부 전자-이온 플라즈마 및 하부 이온-이온 플라즈마 양자 모두가 양 및 음 이온들을 포함할 수도 있다. 휘발성의 (volatile) 에칭 부산물들이 포트 (1822) 를 통해 하부 서브-챔버 (1803) 로부터 제거될 수도 있다.
본 명세서에 개시된 척 (1817) 은 약 30℃ 내지 약 250℃ 범위의 상승된 온도들에서 작동될 수도 있다. 온도는 에칭 프로세스 동작 및 특정 레시피 (recipe) 에 의존적일 것이다. 일부 실시예들에서, 챔버 (1801) 는 또한 약 1mTorr 내지 약 95mTorr 의 범위 내의 압력에서 동작될 수도 있다. 특정 실시예들에서, 압력은 상술한 바와 같이 더 높을 수도 있다.
챔버 (1801) 는 클린 룸 (clean room) 또는 가공 시설 (fabrication facility) 내에 장착되었을 때, 시설들 (미도시) 에 커플링될 수도 있다. 시설들은, 프로세싱 가스들, 진공, 온도 제어 및 환경적인 파티클 제어를 제공하는 배관 (plumbing) 을 포함한다. 이러한 시설들은 목표 가공 시설 내에 장착되었을 경우, 챔버 (1801) 에 커플링된다. 부가적으로, 챔버 (1801) 는, 전형적인 자동화를 사용하여 로보틱스 (robotics) 가 반도체 웨이퍼를 챔버 (1801) 내로 그리고 바깥으로 이송하게 하는 이송 챔버 (transfer chamber) 에 커플링될 수도 있다.
일부 실시예들에서, (하나 이상의 물리적 혹은 로지컬 (logical) 제어부들을 포함할 수도 있는) 시스템 제어부 (1830) 는 에칭 챔버의 동작들 중 일부 또는 전부를 제어한다. 시스템 제어부 (1830) 는 하나 이상의 메모리 장치들 및 하나 이상의 프로세서들을 포함할 수도 있다. 프로세서는 중앙 처리 유닛 (CPU) 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 연결들, 스텝퍼 모터 제어부 보드들 및 여타의 유사한 컴포넌트들을 포함할 수도 있다. 적절한 제어 동작들을 실행하기 위한 명령어들은 프로세서 상에서 실행된다. 이러한 명령어들은 제어부 (1830) 와 연관된 메모리 장치들에 저장될 수도 있고, 또는 그들은 네트워크를 통해 공급될 수도 있다. 특정 실시예들에서, 시스템 제어부 (1830) 는 시스템 제어 소프트웨어를 실행한다.
시스템 제어 소프트웨어는 어플리케이션의 타이밍 및/또는 하기의 챔버 선택적인 조건들 중 임의의 하나 이상의 크기를 제어하기 위한 명령어들을 포함할 수도 있다. 챔버 선택적인 조건들이란, 가스들의 혼합 및/또는 조성 (composition), 챔버 압력, 챔버 온도, 웨이퍼/웨이퍼 지지체 온도, 웨이퍼에 인가되는 바이어스, 코일들 또는 여타의 플라즈마 생성 컴포넌트들에 인가되는 주파수 및 전력, 웨이퍼 위치, 웨이퍼 이동 속도 및 툴에 의해 수행되는 특정 프로세스의 여타의 파라미터들이다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 오브젝트들이, 다양한 프로세스 툴 프로세스들을 수행하기에 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 쓰여질 (written) 수도 있다. 시스템 제어 소프트웨어는 적절한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시예들에서, 시스템 제어 소프트웨어는 상술한 다양한 파라미터들을 제어하기 위한 입력/출력 제어 (IOC) 시퀀싱 (sequencing) 명령어들을 포함한다. 예를 들어, 반도체 가공 공정의 각 페이즈 (phase) 는 시스템 제어부 (1830) 에 의한 수행을 위한 하나 이상의 명령어들을 포함할 수도 있다. 에칭 페이즈를 위한 프로세스 조건들을 세팅하기 위한 명령어들은, 예를 들어 대응하는 에칭 레시피 페이즈에 포함될 수도 있다. 일부 실시예들에서, 레시피 페이즈들은, 2-차원적인 프로세스와 같은 패터닝 프로세스에서의 단계들이 프로세스 페이즈에 대한 특정한 순서로 실행되도록, 연속하여 (sequentially) 배열될 수도 있다.
여타의 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시예들에 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 부분들의 예시들은 웨이퍼 포지셔닝 (positioning) 프로그램, 프로세스 가스 조성 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 RF 전력 공급기 제어 프로그램을 포함한다.
어떤 케이스들에서, 제어부 (1830) 는 가스 농도, 웨이퍼 이동, 및/또는 코일들 (1833) 및/또는 정전 척 (1817) 으로 공급되는 전력을 제어한다. 제어부 (1830) 는, 예를 들어 적절한 농도 (들) 로 필요한 반응물 (들) 을 만드는 하나 이상의 유입 가스 스트림 (stream) 을 생성하기 위해 관련 밸브들을 개방하고 폐쇄함으로써, 가스 농도를 제어할 수도 있다. 웨이퍼 이동은, 예를 들어 원하는대로 이동하도록 웨이퍼 포지셔닝 시스템을 안내함으로써 제어될 수도 있다. 코일들 (1833) 및/또는 척 (1817) 에 공급되는 전력은 특정 RF 전력 레벨들을 만들도록 제어될 수도 있다. 그리드 (1850) 가 사용되면, RF 전력들은, 상부 서브-챔버 (1802) 내의 전자-이온 플라즈마 및 하부 서브-챔버 (1803) 내의 이온-이온 플라즈마를 생성하도록, 시스템 제어부 (1833) 에 의해 조정될 수도 있다. 또한, 제어부 (1830) 는, 전자-이온 플라즈마가 하부 서브-챔버 (1803) 내에 형성되지 않도록 하는 조건들 하에서 정전 척 (1817) 으로 전력을 공급하도록 구성될 수도 있다.
시스템 제어부 (1830) 는, 센서 출력 (예컨대, 전력, 포텐셜, 압력 등이 특정 한계점 (threshold) 에 도달한 경우), 동작의 타이밍 (예컨대, 프로세스의 특정 시간들에서 밸브들을 개방하는 것) 에 기초한, 또는 사용자로부터 받은 명령어들에 기초한 이러한 그리고 여타의 측면들을 제어할 수도 있다.
도 19는 진공 이송 모듈 (VTM, 1938) 과 접속하는 다양한 모듈들을 가진 반도체 프로세스 클러스터 아케텍처 (semiconductor process cluster architecture) 를 도시한다. 복수의 저장 시설들 및 프로세싱 모듈들 중에서 웨이퍼들을 "이송"하기 위한 이송 모듈들의 배치는 "클러스터 툴 아키텍처"로 지칭될 수도 있다. 로드락 (loadlock) 또는 이송 모듈로도 알려져 있는 에어락 (airlock, 1930) 은 4 개의 프로세싱 모듈들 (1920a-1920d) 를 가진 VTM (1938) 에 보여지고, 이것은 다양한 가공 프로세스들을 수행하도록 개별 최적화될 수도 있다. 한 예로서, 프로세싱 모듈들 (1920a-1920d) 은 기판 에칭, 증착, 이온 주입 (ion implantation), 웨이퍼 세척, 스퍼터링 및/또는 여타의 반도체 프로세스들을 수행하도록 실행될 수도 있다. 하나 이상의 에칭 프로세싱 모듈들 (1920a-1920d 중 임의의 것) 은 예를 들어, 갭-충진 AHM 층들의 증착, 등각 필름들의 증착, 단일 및 2-차원으로 패턴들을 에칭하는 것, 웨이퍼들의 평탄화, 및 개시된 실시예들에 따른 적절한 여타의 기능들을 위해, 본 명세서에서 개시된 바와 같이 실행될 수도 있다. 에어락 (1930) 및 프로세스 모듈 (1920) 은 "스테이션들"로 지칭될 수도 있다. 각 스테이션은 VTM (1938) 에 스테이션을 접속하는 패시트 (facet, 1936) 를 가진다. 각 패시트 안에, 센서들 (1-18) 이 각각의 스테이션들 사이에서 이동되는 때의 웨이퍼 (1926) 의 지나감을 감지하도록 사용된다.
로봇 (1922) 은 스테이션들 간에서 웨이퍼 (1926) 를 이송한다. 일 실시예에서, 로봇 (1922) 은 1 개의 아암을 가지고, 다른 실시예에서, 로봇 (1922) 은 2 개의 아암들을 가지는데, 여기서 각 아암은 이송을 위해 웨이퍼 (1926) 와 같은 웨이퍼들을 집어내는 (pick) 엔드 이펙터 (end effector, 1924) 를 가진다. 대기압의 이송 모듈 (ATM, 1940) 에 있는 앞쪽-엔드 로봇 (1932) 이 로드 포트 모듈 (LPM; Load Port Module) (1942) 내의 전방개방 통합포드 (FOUP; Front Opening Unified Pod) (1934) 또는 카세트 (cassette) 로부터 에어락 (1930) 으로 웨이퍼 (1926) 들을 이송시키도록 사용된다. 프로세스 모듈 (1920) 내부의 모듈 센터 (1928) 는 웨이퍼 (1926) 를 위치시키기 위한 하나의 장소이다. ATM (1940) 내의 얼라이너 (aligner, 1944) 는 웨이퍼들을 가지런히 배치하는데 사용된다.
예시적인 프로세싱 방법에서, 웨이퍼는 LPM (1942) 내의 FOUP (1934) 들 중 하나에 배치된다. 앞쪽-엔드 로봇 (1932) 은 FOUP (1934) 로부터 얼라이너 (1944) 로 웨이퍼를 이송하고, 이것은 웨이퍼 (1926) 가 에칭되거나 처리되기 전에 적절히 센터링되게 (centered) 한다. 얼라인된 후에, 웨이퍼 (1926) 는 앞쪽-엔드 로봇 (1932) 에 의해 에어락 (1930) 내부로 이동된다. 에어락 모듈들은 ATM과 VTM 사이의 환경을 일치시키는 능력을 가지기 때문에, 웨이퍼 (1926) 는 손상받는 것 없이 2 개의 압력 환경들 사이에서 이동할 수 있다. 에어락 모듈 (1930) 로부터, 웨이퍼 (1926) 는 로봇 (1922) 에 의해 VTM (1938) 을 통하여 프로세스 모듈들 (1920a-1920d) 중 하나 내로 이동된다. 웨이퍼 이동을 달성하기 위해, 로봇 (1922) 은 그것의 아암들의 각각 상의 엔트 이펙터들 (1924) 을 이용한다. 일단 웨이퍼 (1926) 가 처리된 경우, 웨이퍼 (1926) 는 로봇 (1922) 에 의해 프로세스 모듈들 (1920a-1920d) 로부터 에어락 모듈 (1930) 로 이동된다. 여기서부터, 웨이퍼 (1926) 는 앞쪽-엔드 로봇 (1932) 에 의해 FOUP들 (1934) 중 하나로 또는 얼라이너 (1944) 로 이동될 수도 있다.
웨이퍼 이동을 제어하는 컴퓨터는 클러스터 아키텍처에 대해 로컬 (local) 일 수 있고, 또는 생산 바닥 (manufacturing floor) 에 클러스터 아키텍처 외부에 위치될 수 있고, 또는 원격지에 있고 네트워크를 통해 클러스터 아키텍처에 접속될 수 있다는 점에 유의해야만 한다.
결론
이해의 명확성이라는 목적들을 위해 다소 상세하게 앞선 실시예들이 설명되었으나, 어느 정도의 변화들 및 수정들이 첨부된 청구범위들의 범위 내에서 실행될 수도 있다는 점이 명확할 것이다. 본 실시예들의 프로세스들, 시스템들 및 장치를 실행하는 대안적인 많은 방법들이 존재한다는 점에 유의해야만 한다. 따라서, 본 실시예들은 설명된대로 그리고 비제한적으로 고려되고, 실시예들은 본 명세서에서 주어진 상세들에 제한되지 않는다.

Claims (23)

  1. 패터닝된 코어 에싱 가능한 하드 마스크 (AHM) 층을 형성하기 위해 상부의 포토레지스트로부터의 패턴을 코어 AHM 층에 전사하는 단계;
    반도체 기판 상의 상기 패터닝된 코어 AHM 층 위에 등각 필름을 증착하는 단계;
    상기 등각 필름 위에 갭-충진 AHM 층을 증착하는 단계;
    상기 코어 AHM 층과 상기 갭-충진 AHM 층 사이에 증착된 상기 등각 필름을 제거하지 않고 상기 코어 AHM 층 상부의 상기 등각 필름을 제거하기 위해 상기 등각 필름 및 상기 갭-충진 AHM 층 모두를 에칭하는 프로세스를 이용하여, 상기 반도체 기판을 평탄화하는 단계; 및
    마스크를 형성하기 위해 상기 등각 필름을 선택적으로 에칭하는 단계를 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
  2. 제1 항에 있어서,
    산소 및 헬륨을 각각 20 sccm 및 200 sccm으로 유동시키는 단계;
    불화 수소산 수용액에 상기 반도체 기판을 노출하는 단계;
    CF4 및 Ar을 각각 5 sccm 및 100 sccm으로 유동시키는 단계; 및
    CHF3 및 CF4을 각각 15 sccm 및 80 sccm으로 유동시키는 단계에 의해, 상기 반도체 기판이 평탄화되는, 반도체 기판을 프로세싱하기 위한 방법.
  3. 제2 항에 있어서,
    산소 및 헬륨을 유동시키는 단계는 30초 동안 일어나며, CF4 및 Ar을 유동시키는 단계는 10초 동안 일어나며, 그리고 CHF3 및 CF4을 유동시키는 단계는 30초 동안 일어나는, 반도체 기판을 프로세싱하기 위한 방법.
  4. 제1 항에 있어서,
    10℃ 내지 50℃ 사이의 온도 및 2 Torr 내지 20 Torr 사이의 압력에서 상기 반도체 기판이 평탄화되는, 반도체 기판을 프로세싱하기 위한 방법.
  5. 제1 항에 있어서,
    상기 반도체 기판은 플라즈마 에칭을 이용하여 평탄화되는, 반도체 기판을 프로세싱하기 위한 방법.
  6. 제1 항에 있어서,
    (a) 상기 패턴 내의 피처들 사이의 갭 입구 너비가 감소될 때까지 플라즈마 강화 화학 기상 증착법을 이용하여 탄화 수소를 유동시키는 단계;
    (b) 상기 반도체 기판에 실질적으로 수직인 지배적 (dominant) 이방성 축을 이용하여 상기 반도체 기판을 이방성 에칭하는 단계;
    (c) 양의 정수인 X 사이클로, (a) 및 (b) 를 반복하는 단계; 및
    (d) 표면 상의 탄소 필름의 국부적인 축적을 제거하기 위해 상기 반도체 기판을 에싱하는 단계에 의해, 상기 갭-충진 AHM 층이 증착되는, 반도체 기판을 프로세싱하기 위한 방법.
  7. 제6 항에 있어서,
    상기 탄화 수소는 메탄, 아세틸렌 또는 프로필렌인, 반도체 기판을 프로세싱하기 위한 방법.
  8. 제1 항에 있어서,
    상기 패턴은 1:1 내지 5:1의 종횡비를 가지는 피처를 더 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
  9. 제1 항에 있어서,
    상기 마스크를 이용하여 타겟 층을 패터닝하는 단계를 더 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
  10. 제1 항에 있어서,
    패턴을 상부의 포토레지스트로부터 코어 AHM 층에 전사하기 이전에, 상기 패턴을 상부의 포토레지스트로부터 하부 반사 방지층 및 캡 층에 펄스 전력에 의해 동시에 전사하는 단계를 더 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
  11. 제1 패터닝된 코어 에싱 가능한 하드 마스크 (AHM) 층을 형성하기 위해 패턴을 상부의 제1 포토레지스트로부터 제1 코어 AHM 층에 제1 치수로 전사하는 단계;
    반도체 기판 상의 패터닝된 상기 제1 코어 AHM 층 위에 제1 등각 필름을 증착하는 단계;
    상기 제1 등각 필름 위에 제2 AHM 층을 증착하는 단계;
    패터닝된 제1 등각 필름을 노출하기 위해 상기 제2 AHM 층 및 상기 제1 코어 AHM 층을 선택적으로 에칭하는 단계;
    패터닝된 상기 제1 등각 필름을 이용하여 하부의 에칭 스톱 층을 에칭하는 단계;
    제3 갭-충진 AHM 층을 증착하는 단계;
    제2 패터닝된 포토레지스트를 형성하기 위해 제2 포토레지스트를 제2 치수로 증착하고 리소그래피로 정의하는 단계;
    상기 제2 패터닝된 포토레지스트 위에 제2 등각 필름을 증착하는 단계;
    상기 제2 패터닝된 포토레지스트를 노출하기 위해 상기 제2 등각 필름을 선택적으로 에칭하는 단계;
    상기 제2 패터닝된 포토레지스트를 선택적으로 에칭하는 단계;
    상기 제3 갭-충진 AHM 층을 선택적으로 에칭하는 단계; 및
    2-차원 (two-dimensional) 마스크를 형성하기 위해 하부의 캡 층을 선택적으로 에칭하는 단계를 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
  12. 제11 항에 있어서,
    블록 마스크를 형성하기 위해 상기 제2 AHM 층 상에 제3 포토레지스트 층을 증착하고 리소그래피로 정의하는 단계; 및
    상기 블록 마스크를 이용하여 상기 제2 AHM 층을 선택적으로 에칭하는 단계를 더 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
  13. 제11 항에 있어서,
    상기 제3 갭-충진 AHM 층을 증착시키는 단계는,
    (a) 패턴 내의 피처들 사이의 갭 입구 너비가 감소될 때까지 플라즈마 강화 화학 기상 증착법을 이용하여 탄화 수소를 유동시키는 단계;
    (b) 상기 반도체 기판에 실질적으로 수직인 지배적 이방성 축을 이용하여 상기 반도체 기판을 이방성 에칭하는 단계;
    (c) 양의 정수인 X 사이클로, (a) 및 (b) 를 반복하는 단계; 및
    (d) 표면 상의 탄소 필름의 국부적인 축적을 제거하기 위해 상기 기판을 에싱하는 단계를 더 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
  14. 제13 항에 있어서,
    상기 탄화 수소는 메탄, 아세틸렌, 또는 프로필렌인, 반도체 기판을 프로세싱하기 위한 방법.
  15. 제11 항에 있어서,
    상기 제2 패터닝된 포토레지스트는 1:1 내지 5:1의 종횡비를 가지는 피처를 더 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
  16. 제11 항에 있어서,
    상기 2-차원 마스크를 이용하여 타겟 층을 패터닝하는 단계를 더 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
  17. 제11 항에 있어서,
    상기 제2 등각 필름은 80℃ 미만의 온도로 증착되는, 반도체 기판을 프로세싱하기 위한 방법.
  18. 하나 이상의 프로세스 챔버;
    유동-제어 하드웨어와 관련된 그리고 상기 하나 이상의 프로세스 챔버 내로의 하나 이상의 가스 유입부;
    저주파수 무선 주파수 (LFRF) 생성기:
    고주파수 무선 주파수 (HFRF) 생성기; 및
    적어도 하나의 프로세서 및 메모리를 가지는 제어부를 포함하고,
    상기 적어도 하나의 프로세서 및 메모리는 서로 통신적으로 연결되며,
    상기 적어도 하나의 프로세서는 상기 유동-제어 하드웨어, 상기 LFRF 생성기 및 상기 HFRF 생성기와 적어도 작동적으로 연결되고, 그리고
    상기 메모리는,
    패터닝된 코어 에싱 가능한 하드 마스크 (AHM) 층을 형성하기 위해 패턴을 상부의 포토레지스트로부터 코어 AHM 층으로 전사하기 위해 기판을 에칭하게 하고;
    상기 기판 상의 상기 패터닝된 코어 AHM 층 위에 등각 필름을 증착하게 하고;
    상기 등각 필름 위에 갭-충진 AHM 층을 증착하게 하고;
    상기 코어 AHM 층과 상기 갭-충진 AHM 층 사이에 증착된 상기 등각 필름을 제거하지 않고 상기 코어 AHM 층 상부의 상기 등각 필름을 제거하기 위해 상기 등각 필름 및 상기 갭-충진 AHM 층 모두를 에칭하는 평탄화 프로세스를 이용하여 상기 기판을 평탄화하게 하고; 그리고
    마스크를 이용하여 선택적으로 상기 등각 필름을 에칭하게 하도록, 상기 유동-제어 하드웨어, 상기 LFRF 생성기 및 상기 HFRF 생성기를 적어도 제어하기 위해, 상기 적어도 하나의 프로세서를 제어하기 위한 컴퓨터-실행가능한 명령어를 저장하는, 반도체 프로세싱 툴.
  19. 제18 항에 있어서,
    상기 컴퓨터-실행가능한 명령어는, 상기 평탄화 프로세스에서 산소 및 헬륨을 각각 20 sccm 및 200 sccm으로 유동시키게 하기 위한 명령어를 더 포함하는, 반도체 프로세싱 툴.
  20. 제18 항에 있어서,
    상기 갭-충진 AHM 층을 증착하기 위해 상기 컴퓨터-실행가능한 명령어는,
    (a) 패턴 내의 피처들 사이의 갭 입구 너비가 감소될 때까지 플라즈마 강화 화학 기상법을 이용하여 탄화 수소를 유동시키게 하고,
    (b) 상기 기판에 실질적으로 수직인 지배적 이방성 축을 이용하여 상기 기판을 이방성 에칭하게 하고,
    (c) 양의 정수인 X 사이클로, (a) 및 (b) 를 반복하게 하고, 그리고
    (d) 표면 상의 탄소 필름의 국부적인 축적을 제거하기 위해 상기 기판을 에싱하게 하기 위한, 명령어를 더 포함하는, 반도체 프로세싱 툴.
  21. 하나 이상의 프로세스 챔버;
    유동-제어 하드웨어와 관련된 그리고 상기 프로세스 챔버 내로의 하나 이상의 유입부;
    저주파수 무선 주파수 (LFRF) 생성기;
    고주파수 무선 주파수 (HFRF) 생성기; 및
    적어도 하나의 프로세서 및 메모리를 가지는 제어부를 포함하고,
    상기 적어도 하나의 프로세서 및 메모리는 서로 통신적으로 연결되며,
    상기 적어도 하나의 프로세서는 상기 유동-제어 하드웨어, 상기 LFRF 생성기 및 상기 HFRF 생성기와 적어도 작동적으로 연결되고, 그리고
    상기 메모리는,
    제1 패터닝된 코어 에싱 가능한 하드 마스크 (AHM) 층을 형성하기 위해 패턴을 상부의 포토레지스트로부터 제1 코어 AHM 층으로 전사하기 위해 제1 치수로 기판을 에칭하게 하고;
    상기 제1 패터닝된 코어 AHM 층 위에 제1 등각 필름을 형성하기 위해 상기 기판 상에 제1 등각 필름 물질을 유동시키게 하고;
    상기 제1 등각 필름 위에 제2 갭-충진 AHM 층을 증착하기 위해 제1 탄화 수소를 유동시키게 하고;
    패터닝된 제1 등각 필름을 노출하기 위해 상기 제2 갭-충진 AHM 층 및 제1 패터닝된 코어 AHM 층을 에칭하게 하고;
    하부의 에칭 스톱 층을 에칭하게 하고;
    상기 하부의 에칭 스톱 층 위에 제3 AHM 층을 증착시키기 위해 제2 탄화 수소를 유동시키게 하고;
    제2 패터닝된 코어 AHM 층을 형성하기 위해 상기 제3 AHM 층 상에 제2 치수로 제2 포토레지스트를 증착하고 리소그래피로 정의하게 하고;
    상기 제2 패터닝된 포토레지스트 상에 제2 등각 필름을 형성하기 위해 제2 등각 필름 물질을 유동시키게 하고;
    상기 제2 패터닝된 포토레지스트를 노출하기 위해 상기 제2 등각 필름을 에칭하게 하고;
    제3 AHM 층을 선택적으로 에칭하고; 그리고
    2-차원 마스크를 형성하기 위해 상부의 캡 층을 에칭하게 하도록, 상기 유동-제어 하드웨어, 상기 LFRF 생성기 및 상기 HFRF 생성기를 적어도 제어하기 위해, 상기 적어도 하나의 프로세서를 제어하기 위한 컴퓨터-실행가능한 명령어를 저장하는, 반도체 프로세싱 툴.
  22. 제21 항에 있어서,
    상기 컴퓨터-실행가능한 명령어는, 블록 마스크를 이용하여 선택적으로 상기 제2 갭-충진 AHM 층을 에칭하기 위해 상기 제2 갭-충진 AHM 층 상에 제3 포토레지스트를 증착하고 리소그래피로 정의하여 상기 블록 마스크를 형성하게 하도록, 상기 유동-제어 하드웨어, 상기 HFRF 생성기 및 상기 LFRF 생성기를 적어도 제어하기 위해, 상기 적어도 하나의 프로세서를 제어하기 위한 명령어를 더 포함하는, 반도체 프로세싱 툴.
  23. 제21 항에 있어서,
    제3 AHM 층을 증착하기 위해 탄화 수소를 유동시키기 위한 상기 컴퓨터-실행가능한 명령어는,
    (a) 패턴 내의 피처들 사이의 갭 입구 너비가 감소될 때까지 플라즈마 강화 화학 기상 증착법을 이용하여 탄화 수소를 유동시키게 하고,
    (b) 상기 기판에 실질적으로 수직인 지배적 이방성 축을 이용하여 상기 기판을 이방성 에칭하게 하고,
    (c) 양의 정수인 X 사이클로, (a) 및 (b) 를 반복하게 하고, 그리고
    (d) 표면 상의 탄소 필름의 국부적인 축적을 제거하기 위해 상기 기판을 에싱하게 하기 위한, 명령어를 더 포함하는, 반도체 프로세싱 툴.
KR1020130156795A 2012-12-14 2013-12-16 다중 패터닝을 위해 ahm 갭 충진을 이용하는 이미지 전환 KR102247537B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020210054373A KR102335247B1 (ko) 2012-12-14 2021-04-27 다중 패터닝을 위해 ahm 갭 충진을 이용하는 이미지 전환

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261737688P 2012-12-14 2012-12-14
US61/737,688 2012-12-14
US14/101,901 US9362133B2 (en) 2012-12-14 2013-12-10 Method for forming a mask by etching conformal film on patterned ashable hardmask
US14/101,901 2013-12-10

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020210054373A Division KR102335247B1 (ko) 2012-12-14 2021-04-27 다중 패터닝을 위해 ahm 갭 충진을 이용하는 이미지 전환

Publications (2)

Publication Number Publication Date
KR20140077865A KR20140077865A (ko) 2014-06-24
KR102247537B1 true KR102247537B1 (ko) 2021-05-03

Family

ID=50931411

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020130156795A KR102247537B1 (ko) 2012-12-14 2013-12-16 다중 패터닝을 위해 ahm 갭 충진을 이용하는 이미지 전환
KR1020210054373A KR102335247B1 (ko) 2012-12-14 2021-04-27 다중 패터닝을 위해 ahm 갭 충진을 이용하는 이미지 전환
KR1020210169392A KR102455124B1 (ko) 2012-12-14 2021-11-30 다중 패터닝을 위해 ahm 갭 충진을 이용하는 이미지 전환

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020210054373A KR102335247B1 (ko) 2012-12-14 2021-04-27 다중 패터닝을 위해 ahm 갭 충진을 이용하는 이미지 전환
KR1020210169392A KR102455124B1 (ko) 2012-12-14 2021-11-30 다중 패터닝을 위해 ahm 갭 충진을 이용하는 이미지 전환

Country Status (3)

Country Link
US (2) US9362133B2 (ko)
KR (3) KR102247537B1 (ko)
TW (1) TWI619144B (ko)

Families Citing this family (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9123772B2 (en) * 2013-10-02 2015-09-01 GlobalFoundries, Inc. FinFET fabrication method
US9698015B2 (en) 2013-10-21 2017-07-04 Applied Materials, Inc. Method for patterning a semiconductor substrate
US8916475B1 (en) * 2013-11-01 2014-12-23 United Microelectronics Corp. Patterning method
KR102306612B1 (ko) 2014-01-31 2021-09-29 램 리써치 코포레이션 진공-통합된 하드마스크 프로세스 및 장치
US9548201B2 (en) 2014-06-20 2017-01-17 Applied Materials, Inc. Self-aligned multiple spacer patterning schemes for advanced nanometer technology
CN105304571B (zh) * 2014-06-27 2018-02-13 旺宏电子股份有限公司 记忆元件的制造方法
US9070753B1 (en) * 2014-07-09 2015-06-30 Macronix International Co., Ltd. Method for fabricating memory device
US9728406B2 (en) 2014-08-08 2017-08-08 Applied Materials, Inc. Multi materials and selective removal enabled reverse tone process
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9609730B2 (en) 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9530701B2 (en) * 2014-12-18 2016-12-27 International Business Machines Corporation Method of forming semiconductor fins on SOI substrate
US9478433B1 (en) 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
EP3101682A1 (en) * 2015-06-03 2016-12-07 IMEC vzw Method for providing a patterned target layer in a semiconductor structure
US9484202B1 (en) * 2015-06-03 2016-11-01 Applied Materials, Inc. Apparatus and methods for spacer deposition and selective removal in an advanced patterning process
TWI808473B (zh) 2015-06-05 2023-07-11 美商蘭姆研究公司 GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻
KR102505242B1 (ko) 2015-07-21 2023-03-06 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9996004B2 (en) 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US9818621B2 (en) 2016-02-22 2017-11-14 Applied Materials, Inc. Cyclic oxide spacer etch process
US9779943B2 (en) 2016-02-25 2017-10-03 Globalfoundries Inc. Compensating for lithographic limitations in fabricating semiconductor interconnect structures
US9818623B2 (en) * 2016-03-22 2017-11-14 Globalfoundries Inc. Method of forming a pattern for interconnection lines and associated continuity blocks in an integrated circuit
US9691626B1 (en) * 2016-03-22 2017-06-27 Globalfoundries Inc. Method of forming a pattern for interconnection lines in an integrated circuit wherein the pattern includes gamma and beta block mask portions
US9679809B1 (en) * 2016-03-22 2017-06-13 Globalfoundries Inc. Method of forming self aligned continuity blocks for mandrel and non-mandrel interconnect lines
US9691775B1 (en) 2016-04-28 2017-06-27 Globalfoundries Inc. Combined SADP fins for semiconductor devices and methods of making the same
US10366890B2 (en) * 2016-05-23 2019-07-30 Tokyo Electron Limited Method for patterning a substrate using a layer with multiple materials
US10453701B2 (en) * 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9916986B2 (en) * 2016-06-27 2018-03-13 International Business Machines Corporation Single or mutli block mask management for spacer height and defect reduction for BEOL
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9818641B1 (en) 2016-09-21 2017-11-14 Globalfoundries Inc. Apparatus and method of forming self-aligned cuts in mandrel and a non-mandrel lines of an array of metal lines
US9786545B1 (en) * 2016-09-21 2017-10-10 Globalfoundries Inc. Method of forming ANA regions in an integrated circuit
US9818640B1 (en) 2016-09-21 2017-11-14 Globalfoundries Inc. Apparatus and method of forming self-aligned cuts in a non-mandrel line of an array of metal lines
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) * 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US9852986B1 (en) 2016-11-28 2017-12-26 Globalfoundries Inc. Method of patterning pillars to form variable continuity cuts in interconnection lines of an integrated circuit
US9859120B1 (en) 2016-12-13 2018-01-02 Globalfoundries Inc. Method of making self-aligned continuity cuts in mandrel and non-mandrel metal lines
US9812351B1 (en) 2016-12-15 2017-11-07 Globalfoundries Inc. Interconnection cells having variable width metal lines and fully-self aligned continuity cuts
US9887127B1 (en) 2016-12-15 2018-02-06 Globalfoundries Inc. Interconnection lines having variable widths and partially self-aligned continuity cuts
US10043703B2 (en) 2016-12-15 2018-08-07 Globalfoundries Inc. Apparatus and method for forming interconnection lines having variable pitch and variable widths
US10002786B1 (en) 2016-12-15 2018-06-19 Globalfoundries Inc. Interconnection cells having variable width metal lines and fully-self aligned variable length continuity cuts
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
CN108511338B (zh) * 2017-02-27 2020-11-10 Imec 非营利协会 一种在介电层中限定用于导电路径的图案的方法
US10483102B2 (en) * 2017-04-07 2019-11-19 Applied Materials, Inc. Surface modification to improve amorphous silicon gapfill
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US20180323061A1 (en) * 2017-05-03 2018-11-08 Tokyo Electron Limited Self-Aligned Triple Patterning Process Utilizing Organic Spacers
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10199270B2 (en) * 2017-05-25 2019-02-05 Globalfoundries Inc. Multi-directional self-aligned multiple patterning
JP7235683B2 (ja) 2017-06-08 2023-03-08 アプライド マテリアルズ インコーポレイテッド ハードマスク及びその他のパターニング応用のための高密度低温炭素膜
US10361112B2 (en) * 2017-06-29 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. High aspect ratio gap fill
KR102372892B1 (ko) 2017-08-10 2022-03-10 삼성전자주식회사 집적회로 소자의 제조 방법
CN107564804A (zh) * 2017-08-31 2018-01-09 长江存储科技有限责任公司 一种自对准双图案化方法
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
TW201921498A (zh) * 2017-09-27 2019-06-01 美商微材料有限責任公司 選擇性氧化鋁蝕刻的使用
US9953834B1 (en) 2017-10-03 2018-04-24 Globalfoundries Inc. Method of making self-aligned continuity cuts in mandrel and non-mandrel metal lines
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US10157773B1 (en) 2017-11-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having layer with re-entrant profile and method of forming the same
US10217626B1 (en) * 2017-12-15 2019-02-26 Mattson Technology, Inc. Surface treatment of substrates using passivation layers
US10566207B2 (en) 2017-12-27 2020-02-18 Samsung Electronics Co., Ltd. Semiconductor manufacturing methods for patterning line patterns to have reduced length variation
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
US11450513B2 (en) 2018-03-30 2022-09-20 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
JP7407121B2 (ja) * 2018-04-09 2023-12-28 アプライド マテリアルズ インコーポレイテッド パターニング用途のためのカーボンハードマスク及び関連方法
US10510865B2 (en) * 2018-04-13 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Cap layer and anneal for gapfill improvement
US11603591B2 (en) 2018-05-03 2023-03-14 Applied Materials Inc. Pulsed plasma (DC/RF) deposition of high quality C films for patterning
US10566194B2 (en) * 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
US11158507B2 (en) 2018-06-22 2021-10-26 Applied Materials, Inc. In-situ high power implant to relieve stress of a thin film
US10692727B2 (en) 2018-07-24 2020-06-23 Micron Technology, Inc. Integrated circuit, construction of integrated circuitry, and method of forming an array
US10727058B2 (en) 2018-08-20 2020-07-28 Applied Materials, Inc. Methods for forming and etching structures for patterning processes
US11031215B2 (en) * 2018-09-28 2021-06-08 Lam Research Corporation Vacuum pump protection against deposition byproduct buildup
US20200111669A1 (en) * 2018-10-04 2020-04-09 Asm Ip Holding B.V. Method for depositing oxide film by peald using nitrogen
US10818508B2 (en) 2018-10-17 2020-10-27 Nanya Technology Corporation Semiconductor structure and method for preparing the same
US11842897B2 (en) 2018-10-26 2023-12-12 Applied Materials, Inc. High density carbon films for patterning applications
US10867842B2 (en) * 2018-10-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for shrinking openings in forming integrated circuits
JP2022506438A (ja) 2018-11-05 2022-01-17 ラム リサーチ コーポレーション エッチングチャンバーにおける方向性堆積
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
WO2020131608A1 (en) * 2018-12-18 2020-06-25 Mattson Technology, Inc. Carbon containing hardmask removal process using sulfur containing process gas
US11145509B2 (en) * 2019-05-24 2021-10-12 Applied Materials, Inc. Method for forming and patterning a layer and/or substrate
CN114072898A (zh) 2019-05-24 2022-02-18 应用材料公司 基板处理腔室
JP2022538455A (ja) 2019-07-01 2022-09-02 アプライド マテリアルズ インコーポレイテッド プラズマカップリング材料の最適化による膜特性の変調
US11195718B2 (en) 2019-07-03 2021-12-07 Beijing E-town Semiconductor Technology Co., Ltd. Spacer open process by dual plasma
CN116705595A (zh) 2020-01-15 2023-09-05 朗姆研究公司 用于光刻胶粘附和剂量减少的底层
US11437230B2 (en) 2020-04-06 2022-09-06 Applied Materials, Inc. Amorphous carbon multilayer coating with directional protection
US11495436B2 (en) 2020-04-30 2022-11-08 Tokyo Electron Limited Systems and methods to control critical dimension (CD) shrink ratio through radio frequency (RF) pulsing
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
JP7411818B2 (ja) 2020-07-02 2024-01-11 チャンシン メモリー テクノロジーズ インコーポレイテッド 半導体構造の処理方法及び形成方法
CN113889405A (zh) * 2020-07-02 2022-01-04 长鑫存储技术有限公司 半导体结构的处理方法及形成方法
US11404263B2 (en) * 2020-08-07 2022-08-02 Applied Materials, Inc. Deposition of low-stress carbon-containing layers
FR3113769B1 (fr) * 2020-09-03 2023-03-24 Commissariat Energie Atomique Procede de gravure d’une couche de materiau iii-n
US20230357921A1 (en) * 2020-09-29 2023-11-09 Lam Research Corporation Deposition rate enhancement of amorphous carbon hard mask film by purely chemical means
US11421324B2 (en) 2020-10-21 2022-08-23 Applied Materials, Inc. Hardmasks and processes for forming hardmasks by plasma-enhanced chemical vapor deposition
CN113594031A (zh) * 2021-07-29 2021-11-02 上海华力微电子有限公司 半导体器件的制备方法
WO2023225540A2 (en) * 2022-05-18 2023-11-23 Mekonos Inc. Fabrication methods for high aspect ratio microneedles and tools
US11830744B1 (en) * 2022-05-31 2023-11-28 Nanya Technology Corporation Method of preparing active areas

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5981398A (en) 1998-04-10 1999-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask method for forming chlorine containing plasma etched layer
US20040025791A1 (en) 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source

Family Cites Families (142)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU382671A1 (ru) 1971-03-25 1973-05-25 Ю. В. Далаго, В. П. Степанюк , В. А. Черненко Московский машиностроительный завод Знам труда Способ наполнения газообразным ацетиленом емкостей с растворителями
US3816976A (en) 1971-07-15 1974-06-18 Lummus Co Process for the purification of acetylene and ethylene
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4274841A (en) 1980-03-28 1981-06-23 Union Carbide Corporation Acetylene recovery process and apparatus
JPS6018914U (ja) 1983-07-15 1985-02-08 近畿印刷株式会社 折り込み紙箱
DE3422417A1 (de) 1984-06-16 1985-12-19 Kernforschungsanlage Jülich GmbH, 5170 Jülich Verfahren und vorrichtung zur abtrennung einer gaskomponente aus einem gasgemisch durch ausfrieren
JPH062682B2 (ja) 1985-07-18 1994-01-12 日合アセチレン株式会社 アセチレンの精製法およびそれに用いる装置
US4673589A (en) 1986-02-18 1987-06-16 Amoco Corporation Photoconducting amorphous carbon
JPS6446098A (en) 1987-08-07 1989-02-20 Nichigo Acetylen Method for cleaning inside of container of dissolved acetylene
US4863760A (en) 1987-12-04 1989-09-05 Hewlett-Packard Company High speed chemical vapor deposition process utilizing a reactor having a fiber coating liquid seal and a gas sea;
US4975144A (en) 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
US5222549A (en) 1988-07-04 1993-06-29 Japan Oxygen Co., Ltd. Condenser/evaporator
JP2687966B2 (ja) 1990-08-20 1997-12-08 富士通株式会社 半導体装置の製造方法
JPH05508266A (ja) 1991-04-03 1993-11-18 イーストマン・コダック・カンパニー GaAsをドライエッチングするための高耐久性マスク
US5470661A (en) 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US5261250A (en) 1993-03-09 1993-11-16 Polycold Systems International Method and apparatus for recovering multicomponent vapor mixtures
EP0653501B1 (en) 1993-11-11 1998-02-04 Nissin Electric Company, Limited Plasma-CVD method and apparatus
JPH07243064A (ja) 1994-01-03 1995-09-19 Xerox Corp 基板清掃方法
US6030591A (en) 1994-04-06 2000-02-29 Atmi Ecosys Corporation Process for removing and recovering halocarbons from effluent process streams
DE69531880T2 (de) 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung
JPH08152262A (ja) 1994-11-29 1996-06-11 Kawasaki Steel Corp 希ガス分離プロセス用の循環吸着装置
US5670066A (en) 1995-03-17 1997-09-23 Lam Research Corporation Vacuum plasma processing wherein workpiece position is detected prior to chuck being activated
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
GB9522476D0 (en) 1995-11-02 1996-01-03 Boc Group Plc Method and vessel for the storage of gas
US5985103A (en) 1995-12-19 1999-11-16 Micron Technology, Inc. Method for improved bottom and side wall coverage of high aspect ratio features
JP3402972B2 (ja) 1996-11-14 2003-05-06 東京エレクトロン株式会社 半導体装置の製造方法
EP0990061B1 (de) 1997-06-16 2006-01-04 Robert Bosch Gmbh Verfahren und einrichtung zum vakuumbeschichten eines substrates
US6150719A (en) 1997-07-28 2000-11-21 General Electric Company Amorphous hydrogenated carbon hermetic structure and fabrication method
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6035803A (en) 1997-09-29 2000-03-14 Applied Materials, Inc. Method and apparatus for controlling the deposition of a fluorinated carbon film
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
TW505984B (en) 1997-12-12 2002-10-11 Applied Materials Inc Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US6635185B2 (en) 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6387819B1 (en) 1998-04-29 2002-05-14 Applied Materials, Inc. Method for etching low K dielectric layers
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6331480B1 (en) 1999-02-18 2001-12-18 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between an overlying oxide hard mask and an underlying low dielectric constant material
FR2790762B1 (fr) 1999-03-09 2001-06-01 Centre Nat Rech Scient Procede de traitement de surface pour protection et fonctionnalisation des polymeres et produit obtenu selon ce procede
US6617553B2 (en) 1999-05-19 2003-09-09 Applied Materials, Inc. Multi-zone resistive heater
AU5449900A (en) 1999-06-03 2000-12-28 Penn State Research Foundation, The Deposited thin film void-column network materials
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6310366B1 (en) 1999-06-16 2001-10-30 Micron Technology, Inc. Retrograde well structure for a CMOS imager
US6241793B1 (en) 1999-08-02 2001-06-05 Taiwan Semiconductor Manufacturing Company, Ltd Cold trap equipped with curvilinear cooling plate
US6537741B2 (en) 1999-11-24 2003-03-25 Nexpress Solutions Llc Fusing belt for applying a protective overcoat to a photographic element
US6286321B1 (en) 2000-01-03 2001-09-11 Thermo Savant, Inc. Condenser cold trap unit with separate fraction collection feature
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6478924B1 (en) 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6319299B1 (en) 2000-03-30 2001-11-20 Vanguard International Semiconductor Corporation Adjustable cold trap with different stages
JP2002194547A (ja) 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
US6448186B1 (en) 2000-10-06 2002-09-10 Novellus Systems, Inc. Method and apparatus for use of hydrogen and silanes in plasma
US6645848B2 (en) 2001-06-01 2003-11-11 Emcore Corporation Method of improving the fabrication of etched semiconductor devices
US20030044532A1 (en) 2001-08-29 2003-03-06 Shyh-Dar Lee Process for preparing porous low dielectric constant material
DE10153310A1 (de) 2001-10-29 2003-05-22 Infineon Technologies Ag Photolithographisches Strukturierungsverfahren mit einer durch ein plasmaunterstützes Abscheideeverfahren hergestellten Kohlenstoff-Hartmaskenschicht diamantartiger Härte
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6777349B2 (en) 2002-03-13 2004-08-17 Novellus Systems, Inc. Hermetic silicon carbide
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
AU2003231423A1 (en) 2002-05-09 2003-11-11 Toyoki Kunitake Thin film material and method for preparation thereof
US6835663B2 (en) 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US20040018750A1 (en) 2002-07-02 2004-01-29 Sophie Auguste J.L. Method for deposition of nitrogen doped silicon carbide films
US6740535B2 (en) 2002-07-29 2004-05-25 International Business Machines Corporation Enhanced T-gate structure for modulation doped field effect transistors
US6939808B2 (en) 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US20040084774A1 (en) 2002-11-02 2004-05-06 Bo Li Gas layer formation materials
US6787452B2 (en) 2002-11-08 2004-09-07 Chartered Semiconductor Manufacturing Ltd. Use of amorphous carbon as a removable ARC material for dual damascene fabrication
US20040180551A1 (en) 2003-03-13 2004-09-16 Biles Peter John Carbon hard mask for aluminum interconnect fabrication
FR2853313B1 (fr) 2003-04-04 2005-05-06 Air Liquide Procede d'elimination d'un solvant contenu dans l'acetylene, installation pour la mise en oeuvre du procede
US7205228B2 (en) 2003-06-03 2007-04-17 Applied Materials, Inc. Selective metal encapsulation schemes
US7041600B2 (en) 2003-06-30 2006-05-09 International Business Machines Corporation Methods of planarization
US7030023B2 (en) 2003-09-04 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for simultaneous degas and baking in copper damascene process
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
WO2005048367A1 (en) 2003-11-13 2005-05-26 Philips Intellectual Property & Standards Gmbh Electronic device comprising a protective barrier layer stack
KR100743745B1 (ko) 2004-01-13 2007-07-27 동경 엘렉트론 주식회사 반도체장치의 제조방법 및 성막시스템
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
JP4879159B2 (ja) 2004-03-05 2012-02-22 アプライド マテリアルズ インコーポレイテッド アモルファス炭素膜堆積のためのcvdプロセス
US7638440B2 (en) 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
JP5113982B2 (ja) 2004-04-23 2013-01-09 トヨタ自動車株式会社 金属炭化物粒子が分散した炭素複合材料の製造方法
US7384693B2 (en) 2004-04-28 2008-06-10 Intel Corporation Diamond-like carbon films with low dielectric constant and high mechanical strength
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7220982B2 (en) 2004-07-27 2007-05-22 Micron Technology, Inc. Amorphous carbon-based non-volatile memory
US7422776B2 (en) 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7202127B2 (en) 2004-08-27 2007-04-10 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7314506B2 (en) 2004-10-25 2008-01-01 Matheson Tri-Gas, Inc. Fluid purification system with low temperature purifier
US7335980B2 (en) 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US7202176B1 (en) 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
WO2006073871A1 (en) 2004-12-30 2006-07-13 Applied Materials, Inc. Line edge roughness reduction compatible with trimming
US7235478B2 (en) 2005-01-12 2007-06-26 Intel Corporation Polymer spacer formation
US7371461B2 (en) 2005-01-13 2008-05-13 International Business Machines Corporation Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
KR20060098522A (ko) 2005-03-03 2006-09-19 삼성전자주식회사 유기 박막 트랜지스터 표시판 및 그 제조 방법
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
KR100622268B1 (ko) 2005-07-04 2006-09-11 한양대학교 산학협력단 ReRAM 소자용 다층 이원산화박막의 형성방법
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US20070059913A1 (en) 2005-09-15 2007-03-15 King Sean W Capping layer to reduce amine poisoning of photoresist layers
US7432210B2 (en) 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask
US7399712B1 (en) 2005-10-31 2008-07-15 Novellus Systems, Inc. Method for etching organic hardmasks
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US20070125762A1 (en) 2005-12-01 2007-06-07 Applied Materials, Inc. Multi-zone resistive heater
KR100735750B1 (ko) 2005-12-15 2007-07-06 삼성전자주식회사 복수개의 균일한 기준 데이터들을 생성하는 기준 셀 블록및 감지증폭 유니트들을 구비하는 반도체 소자들 및 이를채택하는 시스템들
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
TWI302349B (en) 2006-01-04 2008-10-21 Promos Technologies Inc Metal etching process and rework method thereof
US20070202640A1 (en) 2006-02-28 2007-08-30 Applied Materials, Inc. Low-k spacer integration into CMOS transistors
US8110242B2 (en) * 2006-03-24 2012-02-07 Zimmer, Inc. Methods of preparing hydrogel coatings
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
US20080124912A1 (en) 2006-08-01 2008-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor methods
KR100880310B1 (ko) 2006-09-06 2009-01-28 주식회사 하이닉스반도체 반도체 소자의 제조방법
KR100764343B1 (ko) 2006-09-22 2007-10-08 주식회사 하이닉스반도체 비휘발성 메모리 소자 및 그 제조방법
KR100855855B1 (ko) 2006-10-04 2008-09-01 주식회사 하이닉스반도체 비휘발성 메모리 소자 및 그 제조방법
US20080128907A1 (en) 2006-12-01 2008-06-05 International Business Machines Corporation Semiconductor structure with liner
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US7981777B1 (en) 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
CN101017834A (zh) 2007-03-02 2007-08-15 上海集成电路研发中心有限公司 一种soi集成电路结构及其制作方法
US20080242912A1 (en) 2007-03-29 2008-10-02 Olivier Letessier Methods and Apparatus for Providing a High Purity Acetylene Product
US20080264803A1 (en) 2007-04-20 2008-10-30 Rajat Agrawal Methods and Apparatus for the Storage of Acetylene in the Absence of Acetone or Dimethylformamide
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
KR100871967B1 (ko) 2007-06-05 2008-12-08 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US8119853B2 (en) 2008-01-10 2012-02-21 L'Air Liquide SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Low pressure acetylene storage
US7820556B2 (en) 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US8754530B2 (en) 2008-08-18 2014-06-17 International Business Machines Corporation Self-aligned borderless contacts for high density electronic and memory device integration
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8273634B2 (en) * 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US7955990B2 (en) 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US7803715B1 (en) 2008-12-29 2010-09-28 Shai Haimson Lithographic patterning for sub-90nm with a multi-layered carbon-based hardmask
JP2011014872A (ja) * 2009-06-04 2011-01-20 Tokyo Electron Ltd アモルファスカーボン膜の形成方法および形成装置
TWI579916B (zh) 2009-12-09 2017-04-21 諾菲勒斯系統公司 整合可流動氧化物及頂蓋氧化物之新穎間隙填充
US20110244142A1 (en) 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
US8227352B2 (en) 2010-04-30 2012-07-24 Applied Materials, Inc. Amorphous carbon deposition method for improved stack defectivity
CN102468434A (zh) 2010-11-17 2012-05-23 中芯国际集成电路制造(北京)有限公司 相变存储器的制作方法
JP5638413B2 (ja) * 2011-02-08 2014-12-10 東京エレクトロン株式会社 マスクパターンの形成方法
US8586478B2 (en) * 2011-03-28 2013-11-19 Renesas Electronics Corporation Method of making a semiconductor device
JPWO2013073216A1 (ja) 2011-11-14 2015-04-02 住友電気工業株式会社 炭化珪素基板、半導体装置およびこれらの製造方法
US8629040B2 (en) * 2011-11-16 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for epitaxially growing active regions between STI regions
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US9269747B2 (en) * 2012-08-23 2016-02-23 Micron Technology, Inc. Self-aligned interconnection for integrated circuits
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5981398A (en) 1998-04-10 1999-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask method for forming chlorine containing plasma etched layer
US20040025791A1 (en) 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source

Also Published As

Publication number Publication date
US20160254171A1 (en) 2016-09-01
TW201503228A (zh) 2015-01-16
TWI619144B (zh) 2018-03-21
KR102455124B1 (ko) 2022-10-17
KR102335247B1 (ko) 2021-12-03
US20140170853A1 (en) 2014-06-19
KR20210049760A (ko) 2021-05-06
KR20210152427A (ko) 2021-12-15
US10192759B2 (en) 2019-01-29
US9362133B2 (en) 2016-06-07
KR20140077865A (ko) 2014-06-24

Similar Documents

Publication Publication Date Title
KR102455124B1 (ko) 다중 패터닝을 위해 ahm 갭 충진을 이용하는 이미지 전환
US11637037B2 (en) Method to create air gaps
KR102447088B1 (ko) 반도체 디바이스 제작에서의 주석 옥사이드 막들
KR102504770B1 (ko) Ale 및 선택적인 증착을 사용하여 기판들 에칭
US20210265173A1 (en) Tin oxide mandrels in patterning
US11211253B2 (en) Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10559461B2 (en) Selective deposition with atomic layer etch reset
US11742212B2 (en) Directional deposition in etch chamber
TWI675797B (zh) 用於進階圖案化之軟著陸奈米層
US20150247238A1 (en) Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
KR20170028259A (ko) 고종횡비 유전체 에칭을 위한 마스크 축소층
KR20220149611A (ko) 몰리브덴의 원자 층 에칭
US11823909B2 (en) Selective processing with etch residue-based inhibitors
TWI838003B (zh) 半導體裝置製造中之氧化錫膜
WO2024006088A1 (en) Integrated high aspect ratio etching

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant