KR101614422B1 - 웨이퍼의 처리 방법 및 장치 - Google Patents

웨이퍼의 처리 방법 및 장치 Download PDF

Info

Publication number
KR101614422B1
KR101614422B1 KR1020090042095A KR20090042095A KR101614422B1 KR 101614422 B1 KR101614422 B1 KR 101614422B1 KR 1020090042095 A KR1020090042095 A KR 1020090042095A KR 20090042095 A KR20090042095 A KR 20090042095A KR 101614422 B1 KR101614422 B1 KR 101614422B1
Authority
KR
South Korea
Prior art keywords
pressure
substrate
reaction chamber
temperature
bake
Prior art date
Application number
KR1020090042095A
Other languages
English (en)
Other versions
KR20090119724A (ko
Inventor
로빈 차리스 스콧
매트 존슨
Original Assignee
에이에스엠 아메리카, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아메리카, 인코포레이티드 filed Critical 에이에스엠 아메리카, 인코포레이티드
Publication of KR20090119724A publication Critical patent/KR20090119724A/ko
Application granted granted Critical
Publication of KR101614422B1 publication Critical patent/KR101614422B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • H01L21/02661In-situ cleaning
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/18Epitaxial-layer growth characterised by the substrate
    • C30B25/186Epitaxial-layer growth characterised by the substrate being specially pre-treated by, e.g. chemical or physical means
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • C30B33/02Heat treatment
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • C30B33/08Etching
    • C30B33/12Etching in gas atmosphere or plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Thermal Sciences (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

증착 단계 이전에 반도체 표면으로부터 불순물들을 제거하기 위한 저압 베이크 방법 및 시스템이 제공된다. 짧고 저온의 공정들은 상기 반도체 표면으로부터 계면의 산소를 제거하는데 효과적이면서도 열처리 계획의 단지 일부만을 소모하는 이점을 갖는다. 상기 방법 및 시스템은 특히 에피택시 공정 이전에 반도체 표면을 처리하는데 적합하다.

Description

웨이퍼의 처리 방법 및 장치{Process and apparatus for treating wafers}
<관련 출원>
본 출원은 2008년 5월 16일자로 출원된 미국 임시특허 출원 제61/053,770호에 대한 이익을 주장하며, 상기 출원의 개시 사항은 그 전체가 참조에 의해 본 명세서에 포함된다.
<기술 분야>
본 발명은 반도체 기판의 처리에 관한 것이며, 더욱 상세하게는, 저압 베이크 및 단일 웨이퍼 화학기상증착(CVD) 챔버 내의 후속하는 인-시츄 에피택셜 증착에 관한 것이다.
고온 반응기는 반도체 기판 상의 집적 회로와 같은 미소 크기를 갖는 구조를 형성하기 위하여 사용된다. 반응 챔버 내부의 기판 지지부 상에는, (상부에 또는 내부에 이미 형성된 구조를 포함하거나 포함하지 않는) 실리콘 웨이퍼와 같은 하나 이상의 기판들이 배치된다. 상기 기판은 소정의 온도로 가열된다. 일반적인 처리 단계에서, 상기 가열된 기판 상으로 반응 가스들이 통과함으로써, 상기 기판 상에 반응종 또는 전구체 가스들로부터 유도된 재료로 이루어진 박막의 CVD가 이루어진다. 고품질을 갖는 층들을 확보하기 위해서는, 다양한 공정 조건들, 특히 온도 균일도 및 반응종 가스의 분포가 정밀하게 제어되어야만 한다.
일련의 증착, 도핑, 포토리소그래피 및 식각 단계를 거쳐, 초기 기판과 후속하는 층들은 집적 회로가 되며, 하나의 단일층은 기판의 크기와 회로의 복잡도에 따라, 수십 내지 수천 또는 수백만개의 집적 회로들을 생성한다.
반도체 산업에서는, 복수의 웨이퍼를 동시에 처리함으로써 경제적으로 웨이퍼당 적은 가공 시간과 비용을 제공하기 위하여, 배치형 처리장치(batch processor)가 일반적으로 사용된다. 그러나, 미세화 및 이에 부수하는 회로의 고집적화가 진척됨에 따라, 반도체 처리 공정의 불량 허용 한도(tolerance)는 협소해지고 있다. 그에 따라, 증착 조건의 제어를 향상시키기 위하여, 단일 웨이퍼 처리 반응로가 개발되고 있다.
단일 웨이퍼 처리 장치는, 다른 공정 변수들 중에서도, 현저히 향상된 온도 및 웨이퍼 상의 가스 흐름 분포를 갖는다. 그러나, 더욱 향상된 공정 제어에 대한 대가로서, 배치형 시스템의 경우에 비하여, 공정 시간이 더욱 중요해지고 있다. 공정 시간을 결정하는 2 차적 요인에는, 동일한 단일 웨이퍼 처리 챔버를 통하여 한번에 하나씩 직렬적으로 처리되는 웨이퍼의 수가 곱해져야 한다. 역으로, 웨이퍼 처리량의 향상은 제조 비용의 상당한 절감으로 나타날 수 있다.
공정 제어가 특히 중요하고, 단일 웨이퍼 처리가 특히 유용한 공정은 에피택셜 층의 형성 공정이다. 증착된 층이 하지의 실리콘 웨이퍼와 같은 결정학적 구조 를 갖는 경우, 이를 에피택셜 층이라 한다. 증착 조건들을 정밀하게 제어함으로써, 반응종 가스들은 가열된 기판 상으로 흐르게 되어, 증착된 종들이 하지의 결정학적 구조에 부합하게 퇴적되고 성장층 내부로 연장된다. 트랜지스터를 포함하는 소자의 최하위 레벨은 반결정 반도체 기판 상에 형성된 에피택셜 층들을 포함하기도 한다.
상기 에피택셜층은 소자 동작에 영향을 미치는 오염이 없고, 순수한 결정학적 구조를 유지하는 것이 중요하다. 에피택셜 증착 이전에, 하지의 기판의 무결성과 결정 구조는 형성된 에피택셜 층에 강하게 영향을 미친다. 자연 형성된 "자연 산화막(native oxide)" 및 탄소 오염과 같은 기판 표면의 오염원들은 형성된 각 하지 층의 결정 구조와 그에 따른 전기적 특성을 교란시키며, 그에 따라 다결정 층이 형성될 수도 있다. 에피택셜 증착 이외에도 많은 다른 경우에 있어서도, 깨끗하고 산화막이 없는 반도체 및 도전체 표면은 바람직하다는 것은 주목할 만하다.
일반적으로, 웨이퍼들은 증착 이전에, "APM" 세정이라고 알려진 암모늄 수산화물(ammonium hydroxide)과 과산화수소(hydrogen peroxide)의 혼합 용액으로 세정된다. 산화막이 없는 표면이 요구되는 경우, 실리콘 웨이퍼는 불산 수용액에 침지되거나 불산 증기로 처리되어, APM 세정으로부터 잔류된 상기 산화막이 식각되고, 이론적으로는 수소 터미네이션이 얻어진다. APM 세정과 불산 처리는 다양하게 변형될 수 있다. 일반적으로, 세정 후에, 웨이퍼들은 후속 처리되기 전에 일정 기간 동안 격납된다. 자연 산화막은 공기 또는 습기에 거의 노출되자마자 산화막이 없는 실리콘 웨이퍼의 표면 상에 형성되는 경우가 있다. 또한, 세정 후에, 일반적으 로, 실리콘 웨이퍼의 표면 상에 실리콘-불소 및 실리콘-탄소 결합이 관찰된다. 상기 표면의 불소 및 탄소 오염은 열처리 계획(thermal budget) 및/또는 상기 웨이퍼의 표면 상에 증착될 또는 증착된 층의 품질에 해로울 수 있다.
상기 실리콘 웨이퍼가 최종 세정 단계로서, ("HF 최종(HF last)"라고 지칭되는) 불산에 침지되는 경우, 상기 실리콘의 대부분의 표면은, 일반적으로, 주로 Si-H 결합을 통하여 기판에 부착된 수소의 단일층으로 터미네이션된다. 수소 터미네이션된 표면은 처리되지 않은 실리콘보다 더 큰 내산화성을 가진다. 필요하다면, 상기 수소 터미네이션은 약 500 ℃ 보다 높은 온도에서 제거될 수 있다. 그러나, 종래의 HF 최종 처리 후의 실리콘 웨이퍼의 표면은 통상적으로 원래의 산화물 층이 제거된 후 약 20 분 내에 다시 산화되기 시작하여, 상기 실리콘 웨이퍼의 표면 상에 5 Å내지 7 Å 두께의 새로운 산화물 층이 빠르게 형성된다. 탄소 및 불소 터미네이션은, 오염 또는 후속 공정 이전에 이를 제거하는 것을 어렵게 만드는 문제를 초래하지만, 재산화를 더욱 잘 방지할 수 있다. 상기 HF 최종 처리 이후의 재산화 문제는 많은 실리콘 장치에 관한 높은 처리량을 얻는데에 해롭다.
그러므로, 화학기상증착 이전에 기판 표면을 정화시키고, 증착 후에 증착된 층의 순도를 유지하는 방법들이 요구된다. 바람직하게는, 이러한 방법들은, 시스템 비용을 증가시키거나 웨이퍼 처리량을 감소시키지 않으면서, 단일 웨이퍼, 에피택셜 실리콘 증착 챔버와 양립할 수 있어야 한다. 일부 실시예들에서, 이들 방법은 후속하는 에피택셜 층들의 열처리 계획을 소모시키지 않아야 한다. 본 발명의 범위 내에서 다른 다양한 요구들이 일부 실시예들을 통하여 충족될 것이다.
본 발명의 일 태양에 따르면, 반도체 기판의 처리 방법은, 화학기상증착 반응 챔버 내에서 기판 지지부 상에 기판을 탑재하는 단계; 및 약 1×10-6 Torr 내지 10 Torr의 베이크 압력까지 상기 반응 챔버 내의 압력을 감소시키는 단계에 의해 제공된다. 상기 방법은, 상기 베이크 압력으로부터 증착 압력까지 상기 반응 챔버 내의 압력을 증가시키는 단계; 및 상기 증착 압력에서 화학기상증착에 의하여 층을 증착하는 단계를 더 포함한다.
본 발명의 다른 태양에 따르면, 실리콘 표면의 세정 방법이 제공된다. 상기 제공 방법은 반응 챔버 내에서 기판 지지부 상에 상기 기판을 탑재하는 단계; 및 상기 반응 챔버로 환원성 가스를 인입시키는 단계를 더 포함한다. 상기 방법은, 상기 환원성 가스를 인입시키는 단계 이후에, 상기 반응 챔버로 상기 환원성 가스를 지속적으로 공급하면서, 약 1×10-6 Torr 내지 10 Torr의 베이크 압력까지 상기 반응 챔버 내의 압력을 감소시키는 단계를 더 포함한다.
본 발명의 또 다른 태양에 따르면, 반도체 기판 상의 화학기상증착 시스템이 제공된다. 상기 시스템은 100 리터 미만의 부피를 가지며 5 Torr 미만의 압력을 견디도록 구성된 보강된 구조를 포함하는 반응 챔버를 포함한다. 상기 시스템은 상기 반응 챔버 내부로 기판을 이송하도록 구성된 로봇; 및 상기 반응 챔버 내에서 상기 기판을 가열하도록 구성된 복수의 가열 부재들을 더 포함한다. 상기 시스템은 상기 반응 챔버 내의 압력을 감소시키고 약 1×10-6 Torr 내지 4 Torr의 베이크 압력에서 저압 베이크를 수행하도록 프로그래밍된 제어부를 더 포함한다.
본 발명의 다양한 실시예에 따르면, 인-시츄 증착 이전에 반도체 기판으로부터 불순물들을 제거하기 위하여, 저온의 신속한 베이킹을 위한 시스템 및 방법들이 제공된다. 저온의 짧은 공정은 열처리 계획의 매우 적은 부분을 소모하면서도 처리량을 향상시키는 이점을 갖는다.
본 발명의 바람직한 실시예들이 단일 기판 반응 챔버에 관하여 개시되었지 만, 당업자에게 있어서, 본 명세서에서 교시된 원리들 및 이점들은 다른 종류의 증착 반응기들에도 적용될 수 있다는 것은 명백하다. 또한, 본 명세서에서 일련의 공정 단계들이 개시되어 있지만, 당업자라면 개시된 단계들 중 일부가 다른 개시된 단계들 중 일부가 없더라도 유용하다는 것을 인식할 것이다.
또한, 본 발명의 실시예들이 주로 화학기상증착 공정(CVD)에 관하여 예시되고 있지만, 당업자라면 원자층 증착 공정(ALD)도 적용될 수 있음을 이해할 수 있을 것이다. 또한, 본 실시예들이 웨이퍼들 또는 반도체 표면들과 관련하여 개시되고 있지만, 당업자라면 층이 증착될 수 있는 실리콘 함유 표면을 갖는 다른 기판들도 사용될 수 있다는 것을 이해할 수 있을 것이다. 또한, 당업자라면, 도면들 및 개시 사항들이 패터닝되지 않은 웨이퍼에 대하여 설명하고 있지만, 본 명세서에 개시된 공정들은 패터닝된 웨이퍼들을 사용하여서도 수행될 수 있음을 이해할 수 있을 것이다.
도 1a는 실리콘 함유 주면(major surface)을 갖는 기판을 처리하는 공정을 도시한다. 도 1a에서, 공정은, 실리콘의 주면을 수소로 터미네이션시키기 위하여, HF 최종 처리(100)로 개시된다. 그러나, HF 최종 처리는 필수적인 것은 아니며, 상기 HF 최종 처리 이전에 표면을 세정하기 위한 다른 공정들이 수행될 수도 있다. 예를 들면, 상기 기판은 2006년 9월 19일자로 등록된 "저온 탑재 및 베이크(LOW TEMPERATURE LOAD AND BAKE)"라는 제하의 미국 특허 제7,108,748호에 개시된 바와 같이, 암모늄/수산화물/과산화수소 혼합 용액(APM)으로 세정될 수도 있다. 이러한 APM 세정 및/또는 다른 세정 공정들이 수행되는 경우라도, 도 5a에 도시된 바와 같 이, 후에 기판(220)의 표면(221) 상에는 산화물 층(222)이 일반적으로 존재한다. 상기 HF 최종 처리(100)는, 비록 일시적이기는 하지만, 이러한 산화물 층(222)을 제거하며, 표면(221) 상에 수소 터미네이션을 제공한다.
상기 HF 최종 처리(100)에 사용되는 예시적인 희석된 (수성의) 불산은 약 0.5 wt% (중량%) 내지 1.0 wt%의, 바람직하게는 약 0.5 wt%의 불산 농도를 갖는다. 상기 식각 처리(100)를 위한 희석된 불산을 사용하면, 실리콘 웨이퍼의 표면 상에 발생하는 오염이 최소화된다. 상기 희석된 불산은, 상기 실리콘 웨이퍼의 표면 상에서 파티클들을 최소화하고 수소 터미네이션을 촉진시키기 위해, 바람직하게는, 약 35 ℃ 내지 60 ℃의 온도로 가열되며, 더욱 바람직하게는, 약 50 ℃의 온도로 가열된다. 상기 가열된 희석된 불산은 상기 실리콘 웨이퍼의 전체 표면 상에서 균일한 산화물 식각 속도를 위해 제공될 수도 있다. 예시적인 공정에 있어서, 상기 실리콘 웨이퍼는 약 50 ℃의 온도에서 약 60 초 동안 약 0.5 wt%의 농도를 갖는 희석된 불산으로 식각된다.
일 실시예에서, 상기 기판은 수성 또는 습식 HF 조(bath)에 침지되지만, 다른 실시예로서, HF 증기가 사용될 수도 있다. 상기 식각 조에서 상기 희석된 불산을 형성하기 위해 사용되는 초순수(ultrapure water)는 높은 저항을 가지며, 이는 금속 함유 수준이 낮다는 것을 나타낸다. 상기 식각 조 내에 상기 희석된 불산을 형성하기 위해 사용되는 물은 25 ℃의 온도에서 약 15 ㏁-cm(megaohm-cm) 보다 큰 저항을 갖는다. 일 실시예에서, 상기 저항은 적어도 약 18 ㏁-cm이다. 또한, 총 유기물 탄소(total organic carbon; TOC) 및 용해된 실리카도, 바람직하게는 10 ppb (parts per billion) 미만의 수준으로 최소화된다.
일 실시예에서, 상기 식각 조 내에서 상기 희석된 불산을 형성하기 위해 사용되는 불산은 (parts per trillion 차수의 불순물을 갖는) 기가비트 등급의 낮은 파티클 및 용해된 금속 수준을 갖는 불산이며, 이것은 아리조나주, 템페(Tempe) 소자의 아라메다 케미컬(Alameda Chemical) 사(전화번호 480-785-4685)에 의해 상용화된 제품으로서 제107101호인 49 % 용액이다.
HF 최종 처리(100) 이후에, 도 1a에 도시된 바와 같이, 상기 기판은 로딩 단계(110)에 의해 반응 챔버 내부로 이송된다. 일 실시예에서, 상기 반응 챔버는 단일 기판(single-substrate)식, 수평 흐름(horizontal flow)식 그리고 콜드월(cold-wall) 반응기를 포함한다. 전술한 바와 같이, 단일 웨이퍼 처리 장치들은, 일반적으로, 종래의 배치형 시스템보다, 더 우수한 공정 제어 능력과 균일성을 제공한다. 그러나, 한번에, 단지 1 개 또는 소량의 기판만이 처리될 수 있기 때문에, 이러한 균일도 및 공정 제어의 이점은 처리량에 대한 대가로서 얻어진다. 단일 기판 처리 챔버의 부피는, 내부에서 처리될 웨이퍼의 크기에 따라, 달라질 수 있다. 예를 들면, 300 mm 웨이퍼를 위하여 약 100 리터보다 작거나, 특별한 경우에는 약 30 리터보다 작은 용량(volume capacity)을 가질 수 있다. 200 mm 웨이퍼를 처리하도록 설계된 단일 웨이퍼 처리 챔버의 총 용량은 약 30 리터 미만일 수 있으며, 특별한 경우, 약 10 리터보다 작을 수 있다. 이들 챔버들의 "유효" 부피(공정 가스가 흐르는 분류기 상의 부피)는 상기 챔버의 총 부피의 약 절반이라는 것을 이해할 수 있을 것이다. 이러한 크기를 갖는 단일 기판 처리 챔버들은, 2004년 6월 15일자로 등록된 "산화물 및 실리콘 층의 인시츄 성장(IN SITU GROWTH OF OXIDE AND SILICON LAYERS)"이란 제하의 미국 특허 제6,749,687호에 더욱 상세히 개시되어 있으며, 이는 아리조나주, 피닉스 소재의 에이에스엠 아메리카사(ASM America, Inc.)에 의해 상용화된 단일 웨이퍼 에피택셜 증착 장치의 EPSILON R 계열을 개시한다. 당업자라면, 상기 부피가 300 mm 내지 450 mm 웨이퍼로 변경시 척도가 증가될 것이라는 이해할 것이다.
상기 EPSILON R 의 단일-통과 수평 흐름 설계에 따르면, 낮은 체류 시간(residence time)을 갖는 반응기 가스의 라미나 층류가 가능하며, 이에 따라, 반응종들 사이의 또는 반응종과 챔버 표면 사이의 상호작용을 최소화하면서 연속적인 처리를 가능하게 한다. 이러한 라미나 층류는 서로 반응할 수 있는 반응종들이 연속적으로 흐르게 하는 것을 가능하게 한다. 회피할 반응들은, 고발열 또는 폭발성 반응 및 상기 챔버 내에 파티클 오염을 초래하는 반응들을 포함한다. 또한, 상기 챔버는, 공정들 사이에서, 서셉터 상으로 기판을 탑재한 후에 공기 및 수분을 갖는 챔버를 퍼징하는 것과 같은, 상기 챔버의 신속한 퍼징을 용이하게 한다.
처리 또는 반응 석영 챔버를 포함하는 CVD 반응기는 본 명세서에 개시된 방법을 위해 매우 유익하다. 이러한 반응기는 동일한 챔버내에 안전하면서도 청결하게 복수의 처리를 연속적으로 수행할 수 있다. 본 명세서에 개시된 공정의 낮은 압력 때문에, 반응 챔버들은 구조적으로 보강될 필요가 있다. 예를 들면, 석영 챔버는, 감압된 CVD 공정 동안, 상기 챔버 외부의 대기압으로부터 인가되는 압력을 지지하기 위하여 복수의 리브 구조를 포함할 수 있다. 일 실시예에서, 상기 반응 챔버는 5 Torr 이하의 내부 압력을 견디도록 제조된다. 또 다른 실시예는, 1 × 10-2 Torr 이하의 내부 압력을 견디도록 제조된 반응 챔버를 제공한다. 더욱 강화된 반응 챔버는 약 1 × 10-6 Torr 이하로 감압된 내부 압력을 견디도록 제조될 수 있다. 또한, 상기 반응 챔버는, 이러한 낮은 압력하에서, 10-9 atm-cc/sec 이하의 누설률 정도로 실질적으로 불침투성이어야 한다. 본 발명의 실시예에 따른 예시적인 반응로로서, 아리조나주, 피닉스 소재의 에이에스엠 아메리카사로부터 입수할 수 있는 EPSILON R 3200가 상업적 이용될 수 있다.
탑재 단계(110)를 용이하게 하기 위하여, 상기 챔버의 외부로부터 상기 챔버 내부로 그리고 기판 지지부 상으로 상기 웨이퍼를 이송하기 위해 로봇이 사용될 수 있다. 일부 실시예들에서, 탑재 단계(110)는 상기 반응 챔버로의 게이트 밸브를 개방시키는 단계, 상기 반응 챔버 내부로 상기 웨이퍼를 이송하는 상기 로봇을 투입하는 단계, 상기 로봇이 상기 지지부 상에 상기 웨이퍼를 투하시키도록 하는 단계, 상기 반응 챔버로부터 상기 로봇을 반환시키는 단계 및 상기 게이트 밸브를 폐쇄시키는 단계를 포함한다. 상기 지지부는 상기 웨이퍼가 안착되는 기판 홀더 및 상기 기판 홀더를 지지하기 위한 지지 스파이더(spider)를 포함할 수 있다. 상기 스파이더는 챔버 하부 벽의 개구를 통하여 하향 연장된 축에 탑재된다.
일 실시예에서, 상기 콜드월 반응기는 "서셉터" 또는 복사열 에너지의 흡수 체인 기판 홀더를 갖는다. 일반적인 서섭터들은 SiC 또는 SiC-코팅된 그라파이트로 형성되며, 상기 웨이퍼의 가장자리 주위에 1 mm 보다 작은 간극(clearance)을 갖는 200-mm 또는 300-mm 웨이퍼(장래에는 450-mm 웨이퍼)에 적합한 크기를 포켓을 포함할 수 있다. 다른 실시예에서, 상기 서셉터는 다른 크기의 웨이퍼들을 지지하도록 제조된다. 일 실시예에서, 상기 서셉터는 지지하는 웨이퍼보다 더 큰 중량을 갖는 표준 서셉터일 수 있다. 이러한 표준 서셉터는 지지될 웨이퍼 열 질량의 약 3 배보다 더 큰 열 질량을 가질 수 있다. 상기 서셉터는, 상기 웨이퍼가 탑재될 때, 600 ℃ 내지 800 ℃의 온도로, 더욱 바람직하게는, 650 ℃ 내지 750 ℃로 유지될 수 있다.
일부 실시예에서, 상기 반응 챔버의 도어가 폐쇄되기 이전에, 상기 웨이퍼가 탑재된 동안, 상기 반응 챔버에 환원제가 공급된다. 상기 환원제는 상기 웨이퍼 표면 상의 계면 산소의 형성을 억제하거나 감소시킨다. 도 1a에 도시된 공정에서, 공급 단계(120)는 약 1 내지 80 slm(분당 표준 리터)의 유량으로 상기 반응 챔버에 수소 가스를 공급하는 단계를 포함한다. 상기 챔버의 펌프 용량 및 세기에 따라, 극도로 높은 유량은 챔버 압력을 상승시키기도 한다. 당업자라면, 본 명세서에 개시된 사항에 기초하여, 다른 하드웨어 구성의 경우, 계면 산소에 미치는 영향을 결정하기 위해, 최적화 범위는 변수들 중 하나(예를 들면, 압력)를 고정하고 다른 변수(예를 들면, 가스 유량의 감소)를 변화시킴으로써 결정될 수 있다는 것을 쉽게 이해할 것이다. 예시된 실시예에서는 수소 가스가 사용되었지만, 수소 가스와 함께 또는 이를 대체하여 다른 환원성 가스들이 사용될 수 있다.
도 1a는 탑재 단계(110) 이후에 상기 반응 챔버로 수소 가스의 공급 단계(120)를 도시하지만, 공급 단계(120)는 상기 챔버로의 게이트 밸브가 폐쇄되기 이전, 그리고 탑재 단계(110) 이전에 개시되어, 상기 웨이퍼가 환원성 가스 분위기 내에 탑재되도록 한다. 다른 실시예에서는, 반응 챔버 내에 환원성 가스 분위기를 제공하지 않을 수도 있다. 환원성 가스 분위기를 제공하는 실시예들에서, 상기 환원성 가스는, 상기 웨이퍼가 탑재된 후 펌프에 의해 감압되기 이전 또는 펌프에 의한 감압이 일어나는 동안과 같이, 공정의 다른 단계에서도 공급될 수 있다. 상기 반응 챔버로 공급되는 수소 가스의 유량은 상기 공정의 다른 단계들에서 변할 수도 있다. 예를 들면, 상기 반응 챔버의 총 압력이 감소되는 때에는, 펌프에 의해 감압되는 것을 돕고 상기 반응 챔버를 낮은 압력에서 유지시키기 위하여, 약 5 내지 20 slm과 같은 감소된 유량으로 공급될 수 있다.
탑재 단계(110) 이후에, 온도 램프(ramp) 단계 (130)는 탑재 온도에서 초기 베이크 온도까지 기판 온도를 증가시킨다. 상기 탑재 온도는 상기 기판이 탑재되는 동안의 서셉터 또는 기판 지지부의 온도이며, 상기 베이크 온도는 상기 기판이 저압 베이크를 겪는 동안의 상기 기판의 온도이다. 상기 베이크 온도는, 상기 기판의 온도가 저압 베이크 단계(150) 동안 변동될 수 있으므로, 시간의 경과 동안 변할 수 있다. 온도 램프 단계(130)는 약 0 ℃ 내지 100 ℃ 만큼씩, 바람직하게는, 약 25 ℃ 내지 75 ℃만큼씩 상기 기판의 온도를 증가시킬 수 있다. 도 2 내지 3에 도시된 실시예에서, 상기 탑재 온도는 700 ℃이며, 온도 램프 단계(130)는 750 ℃의 초기 베이크 온도까지 50 ℃ 만큼씩, 바람직하게는 약 20 내지 30 초의 램프 시간(1.7 - 2.5 ℃/sec 램핑)에 걸쳐 상기 기판의 온도를 증가시킨다.
온도 램핑 단계(130) 이후에, 펌프에 의해 상기 반응 챔버 내부의 압력이 감소되면 저압 베이크 단계(150)가 개시된다. 상기 반응 챔버의 감압으로 인하여, 상기 웨이퍼의 저압 베이크가 가능하며, 이에 의해 상기 웨이퍼로부터 성장된 산화물을 신속하게 세정할 수 있으며, 열처리 계획의 소모를 최소로 한다. 이론에 의해 본 발명을 제한하지 않으면서, 상기 저압 베이크 단계는 상기 웨이퍼의 경계층을 감소시키며, 이로 인하여 상기 웨이퍼의 표면으로 수소를 이동시키는 것을 촉진시킨다.
상기 반응 챔버 내부로 상기 웨이퍼를 탑재하는 단계 이전에 APM 세정, HF 최종 및/또는 다른 세정 공정이 수행되는 경우에도, 도 5a에 도시된 바와 같이, 일반적으로 상기 세정 공정의 완성과 기판 탑재 공정 사이의 시간 간격 동안 표면(221) 상에 산화물 층(222)이 다시 생성된다. 상기 저압 베이크 단계는 상기 웨이퍼의 주면(221) 상의 산화물을 실질적으로 제거하여, 이후에 도 5b에 도시된 바와 같이 웨이퍼(220)는 세정된 표면(223)을 갖는다. 일 실시예에서, 웨이퍼 표면(221)은 약 5 × 1017 원자/cm3 이하의 기기상의 백그라운드 레벨을 갖는 2차 질량 분석기를 사용하여 검출될 수 없는 정도의 산소를 갖는다. 상기 저압 베이크 단계 이후에, 에피택셜 CVD 공정이 수행될 수 있으며, 이에 의해 도 5c에 도시된 바와 같이, 웨이퍼(220) 상에 에피택셜층(225)이 형성된다.
상기 웨이퍼가 대기압을 갖는 환경으로부터 탑재되는 일부 실시예에서, 상기 저압 베이크 단계(150) 이전의 상기 챔버 압력은 실질적으로 대기압이다. 상기 웨이퍼가 다른 압력을 갖는 로딩 스테이션으로부터 상기 반응 챔버 내부로 탑재되는 다른 실시예에서는, 펌프에 의해 감압되기 전에 상기 반응 챔버 내부의 압력은 대기압보다 더 작거나 더 클 수 있다. 펌프에 의해 감압된 후에, 상기 반응 챔버의 압력은 저압 베이크 단계(150)을 수행하기에 적합한 압력이다. 일 실시예에서, 상기 베이크 압력은 약 1 × 10-6 Torr 내지 10 Torr이다. 다른 실시예에서, 상기 베이크 압력은 약 1 × 10-3 Torr 내지 4 Torr이다. 또 다른 실시예에서, 상기 베이크 압력은 약 0.01 Torr 내지 3 Torr이다.
펌프에 의한 감압은 진공 펌프, 특히, 신속하게 감압시킬 수 있는 고용량 진공 펌프에 의해 달성될 수 있으며, 그에 따라 열처리 계획이 보존될 수 있다. 상기 진공 펌프는 60초 미만 동안 대기압 이상으로부터 1 Torr 이하까지, 바람직하게는, 40 초 이하 동안 대기압 이상으로부터 1 × 10-3 Torr 이하까지 상기 반응 챔버 내의 압력을 감소시킬 수 있다. 이러한 용량을 갖는 상용화된 진공 펌프들의 예로서, 영국, 웨스트 서섹스 소재의 BOC Edwards 사로부터 입수가능한 1800 시리즈 반도체 건식펌프가 있다.
도 1a는 저압 베이크 단계(150)가 개방 루프 온도 제어 모드(140) 또는 폐쇄 루프 온도 제어 모드(142)에서 수행될 수 있음을 도시한다. 개방 루프 모드(140)에서, 제어부는 상기 챔버 내부의 온도 판독으로부터 독립적으로 상기 반응기를 가열하는 가열 부재들에 전력을 인가한다. 일부 실시예들에서, 상기 제어부는 상기 저압 베이크 동안(150) 상기 가열 부재에 실질적으로 일정한 전력 공급을 유지하기 위한 설정값을 사용한다.
개방 루프 제어 모드(140)는 상기 저압 베이크 단계 동안 일정하고 상대적으로 낮은 웨이퍼 온도를 제공한다. 일 실시예에서, 개방 루프 모드(140)의 저압 베이크 단계 동안, 기판 온도는 초기 베이크 온도(도시된 실시예에서는 750 ℃)로부터 40 ℃ 미만만큼 증가한다. 다른 실시예에서, 기판 온도는 상기 저압 베이크 단계 동안 20 ℃ 미만만큼 증가된다. 웨이퍼 처리 공정들에서, 상기 기판 표면에 걸친 온도 균일도를 유지하는 것은 중요하다. 따라서, 일 실시예에서, 개방 루프 모드(140)의 저압 베이크는 상기 기판의 표면에 걸친 80 ℃ 미만의 온도 범위(ΔT)를 유지한다. 다른 실시예에서, 개방 루프 모드(140)의 저압 베이크 단계는 60 ℃ 미만의 ΔT를 유지한다. 개방 루프 모드(140)의 또 다른 특징은, 폐쇄 루프 모드(142)의 일부의 베이크 시간들보다 더 길지만, 이것이 짧은 베이크 시간을 제공한다는 것이다. 개방 루프 모드(140)에서, 상기 압력이 감소하기 시작하는 때부터 증착이 시작될 때까지의 시간 간격은 90 초 내지 240 초일 수 있으며, 바람직하게는, 110 초 내지 190 초일 수 있다.
패쇄 루프 모드(142)에서, 상기 제어부는 상기 반응 챔버 내의 온도 센서들로부터의 피드백에 기초하여 상기 가열 부재들에 전력을 공급함으로써 온도 설정값을 얻을 수 있다. 폐쇄 루프 모드(142)의 저압 베이크를 수행함으로써, 빠른 온도 상승을 달성한다. 일부 실시예들에서, 상기 온도는 약 750 ℃ 내지 900 ℃까지 증가하며, 바람직하게는, 약 800 ℃ 내지 850 ℃까지 증가한다. 일부 공정에서, 저 압 베이크 단계(150) 동안 상기 제어부의 온도 설정값은, 온도 램프 단계(130) 이후의 것과 같이, 실질적으로 (예를 들면, 750 ℃로) 동일하지만, 상기 기판의 실제 온도는 증가한다. 다른 공정에서, 온도 설정값은 상기 저압 베이크 동안 증가되지만, 기판 온도의 실제적인 증가는 증가된 온도 설정값을 초과한다. 저압 베이크(150) 동안 이러한 온도 스파이크가 발생하기 때문에, 본 발명의 목적을 위하여 그 전체 범위가 상기 베이크 온도로서 간주된다.
이론적으로 본 발명의 범위를 제한하지 않으면서, 폐쇄 루프 모드(142)의 급격한 온도 증가는 상기 기판과 상기 온도 센서들 사이의 감소된 열 전달에 기인하며, 2 차적으로, 대류를 위한 가스의 가용성이 감소된 것에 기인한다. 펌프에 의한 감압 단계(130) 동안 가스들이 배출되었기 때문에, 상기 가스의 가용성은 감소된다. 따라서, 상기 제어부는 상기 가열부들에 전력을 신속하게 램핑시킴으로써, 상기 온도 설정값의 오버슈팅을 초래할 수 있는 상기 센서들에 의해 검출되는 오류인 더 낮은 온도를 보상하기 위한 시도를 한다. 따라서, 폐쇄 루프 모드(142)의 온도와 압력 사이의 관계는 상기 반응 챔버의 낮은 압력으로 인하여 상기 제어부가 온도를 일시적으로 증가시키게 된다고 여겨진다. 정확한 온도 제어 또는 짧은 산화물 감소 공정을 추구하는 것보다 급격한 온도 증가가 더 중요하기 때문에, 온도 제어의 일시적인 부정확성은 수용가능한 상충 관계(trade-off)에 해당한다.
폐쇄 루프 모드(142)의 저압 베이크 단계는 열처리 계획이 보존되는 매우 짧은 경우에도 효과적일 수 있다. 일 실시예에서, 압력이 감소되기 시작한 후부터 상기 가판 상에 층을 증착하기 시작할 때까지의 시간 간격은 60 초 미만이다. 다 른 실시예에서, 압력이 감소되기 시작한 후부터 상기 기판 상에 층을 증착하기 시작할 때까지의 시간 간격은 40 초 미만이다. 또한, 상기 폐쇄 루프 모드(142)는 상기 기판의 주면에 걸친 온도 균일도를 향상시킨다. 일 실시예에서, 폐쇄 루프 모드(142)의 상기 저압 베이크 동안 상기 기판의 표면에 걸친 온도 범위, ΔT는 50 ℃ 미만이다. 다른 실시예에서, 폐쇄 루프 모드의 상기 저압 베이크 동안 상기 기판의 표면에 걸친 ΔT는 30 ℃ 미만이다.
도 1a에 도시된 공정에서, 저압 베이크 단계(150) 동안 수소 가스가 지속적으로 공급될 수 있으며, 전체 저압 베이크 단계(150)에 충분할 정도로 펌프에 의해 감압하기 전에 충분한 수소가 제공될 수도 있다. 전술한 바와 같이, 다른 실시예들에서, 수소 가스와 다른 환원성 가스가 제공될 수도 있다.
도 1a는, 저압 베이크 단계(150) 이후에, 상기 웨이퍼 상에 에피택시(170)를 수행하는 단계를 도시한다. 본 명세서에 개시된 방법들은 특히 에피택셜 CVD에 적합하지만, 주면 상에 층을 증착하는 다른 공정들도 사용될 수 있다. 저압 베이크 단계(150) 이후에, 제어부는 가열부들에 대한 전력 공급을 감소시켜 소정의 공정에 적합한 웨이퍼 온도를 제공한다. 상대적으로 낮은 온도의 에피택시 공정이 수행되는 일부 실시예들에서는, 상기 온도가 300 ℃ 내지 750 ℃로 감소되고, 일 실시예에서는 500 ℃ 내지 700 ℃로 감소된다. 에피택셜 CVD 단계(170) 및 상기 웨이퍼 상에 다른 바람직한 공정이 수행된 후에, 해제 단계(180)는 상기 반응 챔버로부터 상기 웨이퍼를 제거한다. 상기 반응 챔버로부터 제거된 상기 웨이퍼는 실리콘으로 환원되는 산화물을 가졌던 적이 있으며 후속하는 에피택셜 증착을 위해 세정된 반 도체 표면을 잔존시키는 실리콘 함유 주면을 포함한다.
도 1b는 실리콘 함유 주면을 갖는 웨이퍼의 세정을 위한 다른 방법을 개시한다. 도 1b에 도시된 공정에서, 상기 저압 베이크 단계(150)이후에, 압력의 채움 단계(160)는 상기 반응 챔버 내부의 압력을 증착 압력까지 증가시키며, 이는 바람직한 후속 증착 공정에 적합할 수 있는 압력이다. 바람직한 공정이, 도 1b에 개시된 바와 같이, 에피택시 단계(170)인 경우, 상기 압력 채움 단계(160)는 약 1 Torr 내지 약 100 Torr의, 바람직하게는, 약 5 Torr 내지 50 Torr의 증착 압력까지 압력을 증가시킬 수 있다. 에피택시 단계(170) 이후에, 상기 기판은 해제(unloading) 단계(180)에 의해 제거될 수 있다.
도 2는 본 발명의 실시예에 따른 예시적인 공정의 기판 온도 및 챔버 압력을 도시하는 그래프이다. 도 2에 예시된 공정은, 상기 도 1b에 도시된 바와 같이 상기 저압 베이크 이후에 상기 반응 챔버의 압력이 다시 채워지는 폐쇄 루프 온도 제어식의 저압 베이크를 예시한다. 도 2의 첫번째 단계에 나타낸 바와 같이, 상기 웨이퍼가 대기압에서 상기 반응 챔버 내부로 탑재될 때, 상기 웨이퍼 지지부를 둘러싸는 센서에 의해 판독된 온도는 실질적으로 일정하게 유지된다. 상기 웨이퍼가 탑재되면, 상기 탑재 온도는 약 700 ℃이다. 이후, 상기 웨이퍼는 상기 온도 램프 동안 약 750 ℃의 초기 베이크 온도까지 가열된다. 이후, 상기 반응 챔버는 펌프에 의해 약 1 Torr까지 신속하게 감압되며, 상기 웨이퍼는 약 830 ℃의 급속 온도 스파이크를 겪는다. 이후, 온도 제어 시스템은 온도 설정 값(도시된 실시예에서는 750 ℃ 임)을 안정화시키기 시작하여 상기 설정 온도로 되돌아 간다. 상기 웨이퍼 온도는 펌프에 의한 감압이 시작된 후에 약 22 초를 경과하여 약 750 ℃로 되돌아간다. 상기 저압 베이크 단계 이후에, 상기 반응 챔버 내의 압력 및 상기 기판 온도는 소정의 고정값을 갖도록 최적화된다. 도시된 실시예에서, 상기 반응 챔버의 압력은 약 9 Torr로 채워지고 상기 웨이퍼 온도 설정값은 감소된다. 실제 웨이퍼 온도는 약 650 ℃에서 안정화되기 전에 짧게 진동하는 것으로 나타난다.
도 3은 다른 예시적인 공정의 온도 및 압력을 도시한다. 도 3은 다시 도 1b에 도시된 바와 같이, 상기 반응 챔버의 압력이 상기 저압 베이크 단계 이후에 채워지는 개방 루프 온도 제어식인 예시적인 저압 베이크 공정을 도시한다. 도 3의 처음 단계는 상기 웨이퍼가 약 700 ℃의 탑재 온도에서 상기 웨이퍼가 탑재되는 동안 상기 온도가 실질적으로 일정하게 유지되며, 이후, 온도는 약 750 ℃의 초기 베이크 온도까지 램핑된다. 이후, 펌프에 의한 감압이 개시되고, 반응 챔버의 압력은 약 0.1 Torr까지 감소된다. 한편, 상기 제어부는 상기 반응 챔버의 외부에 있는 복사 가열부에 고정된 전력을 공급하는 것에 의해, 상기 저압 베이크 단계 동안 실질적으로 일정한 웨이퍼 온도를 유지시킨다. 온도 그래프의 음영 영역에서는, 상기 저압 베이크 단계 동안 상기 웨이퍼 표면의 다른 부분들에서 온도의 범위가 약 725 ℃ 내지 775 ℃이다. 상기 저압 베이크는 약 150 초 동안 지속된다. 이후, 압력은 약 10 Torr 까지 채워지고, 상기 웨이퍼 온도는 후속하는 저온 에피택셜 CVD 공정을 위해서는 약 650 ℃로 감소된다.
도 4는 본 발명의 실시예에 따른 CVD 시스템을 개략적으로 도시하는 도면이다. 반응 챔버(200) 및 내부의 웨이퍼 지지부(201)는 전술한 바와 같다. 로 봇(230)은 반응 챔버(200) 내부로 웨이퍼를 이송하고, 진공 펌프(240)는 상기 반응 챔버로부터 가스들을 신속하게 배출시킨다. 반응 챔버(200)의 온도는 반응 챔버(200) 내부의 가열 부재들(202)에 의해 조절될 수 있다. 다른 실시예들에서는, 챔버(200) 외부의 복사형 가열 램프(radiant heat lamp; 204)가 챔버(200)의 압력으로부터 독립적으로 상기 기판을 가열시킬 수 있다.
제어부(210)는 가열 부재들(202) 및/또는 가열 램프들(204)과 연결되어, 상기 제어부가 상기 가열 부재들(202) 및/또는 가열 램프들(204)을 조절하여 그 출력을 증가시키거나 감소시킨다. 제어부(210)는 전술한 바와 같이 개방 루프 제어식 저압 베이크 또는 폐쇄 루프 제어식 저압 베이크와 같은 저압 베이크 단계를 수행하도록 프로그래밍될 수 있다. 이와 같이, 제어부(210)는 진공 펌프(240) 및 가스 패널(260)에도 연결될 수 있다. 상기 가스 패널은 반응 챔버 내부로 배출되는 환원성 기상 공급 라인(262)과 하나 이상의 반도체 전구체 공급 라인들(264)을 위한 밸브들을 수용할 수 있다. 따라서, 제어부(210)는 수소 가스와 같은 환원제 및 실리콘 소스 가스를 반응 챔버(200) 내부로 선택적으로 인입시킬 수 있다.
본 명세서에 개시된 공정들에 따르면 후속 공정을 위해 매우 깨끗하고 산화물이 없는 표면을 제공하면서도 저온 및 급속 수소 베이크가 가능하다. 또한, 전술한 저압 베이크 단계를 상대적으로 저온 증착과 조합하여 인-시츄로 실시하면, 웨이퍼 처리량의 성장, 전체적인 공정 비용을 절감시키는 것이 가능하다.
당업자라면, 본 발명의 사상을 벗어나지 않으면서 다양한 변형 및 변경이 이루어질 수 있음을 이해할 것이다. 이러한 변형 및 변경은 첨부된 청구항에 정의된 바와 같이, 본 발명의 범위 내에 속한다.
본 발명의 다양한 특징들은 하기의 상세한 설명 및 첨부된 도면들로부터 당업자에게 명확해질 것이다.
도 1a는 본 발명의 일 실시예에 따른 공정을 개략적으로 도시하는 순서도이다.
도 1b는 본 발명의 다른 실시예에 따른 공정을 개략적으로 도시하는 순서도이다.
도 2는 본 발명의 일 실시예에 따른 기판 온도 및 반응 챔버 압력을 도시하는 그래프이다.
도 3은 본 발명의 다른 실시예에 따른 기판 온도 및 반응 챔버 압력을 도시하는 그래프이다.
도 4는 본 발명의 실시예에 따른 베이크 및 CVD 반응 장치를 개략적으로 도시하는 도면이다.
도 5a는 본 발명의 실시예에 따른 처리 전의 웨이퍼를 개략적으로 도시하는 단면도이다.
도 5b는 본 발명의 실시예에 따른 세정 공정 이후의 웨이퍼를 개략적으로 도시하는 도면이다.
도 5c는 본 발명의 실시예에 따른 에피택시 공정 이후의 웨이퍼를 개략적으로 도시하는 도면이다.

Claims (35)

  1. 화학기상증착 반응 챔버 내에서 기판 지지부 상에 기판을 탑재하는 단계;
    상기 반응 챔버로 환원성 가스를 인입하는 단계;
    상기 환원성 가스를 인입하는 단계 이후에, 1×10-6 Torr 내지 10 Torr의 베이크 압력까지 상기 반응 챔버 내의 압력을 감소시키는 단계;
    상기 베이크 압력으로부터 증착 압력까지 상기 반응 챔버 내의 압력을 증가시키는 단계; 및
    상기 증착 압력에서 화학기상증착에 의하여 층을 증착하는 단계를 포함하는 반도체 기판의 처리 방법.
  2. 제 1 항에 있어서,
    상기 압력을 감소시키는 단계는 1×10-3 Torr 내지 4 Torr까지 압력을 감소시키는 단계를 포함하는 반도체 기판의 처리 방법.
  3. 제 1 항에 있어서,
    상기 압력을 증가시키는 단계는 1 Torr 내지 100 Torr까지 압력을 증가시키는 단계를 포함하는 반도체 기판의 처리 방법.
  4. 제 1 항에 있어서,
    상기 기판을 탑재하는 단계는 대기압에서 상기 챔버 내부로 상기 기판을 탑재하는 단계를 포함하는 반도체 기판의 처리 방법.
  5. 제 1 항에 있어서,
    상기 베이크 압력에서 상기 반응 챔버 내에 환원성 가스 분위기를 제공하는 단계를 더 포함하는 반도체 기판의 처리 방법.
  6. 제 5 항에 있어서, 상기 압력을 감소시키는 단계 이전에,
    상기 반응 챔버 내부로 상기 환원성 가스 분위기를 인입시키는 단계를 더 포함하는 반도체 기판의 처리 방법.
  7. 제 1 항에 있어서,
    상기 반응 챔버 내의 압력을 감소시키면서 750 ℃ 내지 900 ℃까지 상기 기판의 온도를 증가시키는 단계를 더 포함하는 반도체 기판의 처리 방법.
  8. 제 7 항에 있어서,
    상기 기판의 온도를 증가시키는 단계는 상기 기판 표면에 걸쳐 30 ℃ 미만의 온도 범위를 유지시키는 단계를 포함하는 반도체 기판의 처리 방법.
  9. 제 7 항에 있어서,
    상기 반응 챔버 내의 압력을 감소시키는 단계의 개시부터 상기 층을 증착하는 단계의 개시까지의 시간 간격은 40 초 미만인 반도체 기판의 처리 방법.
  10. 제 7 항에 있어서,
    상기 기판의 온도를 증가시키는 단계는, 패쇄 피드백 루프로 상기 온도를 제어하는 단계 및 일정한 온도 설정값을 유지시키는 단계를 포함하는 반도체 기판의 처리 방법.
  11. 제 7 항에 있어서, 상기 기판의 온도를 증가시키는 단계 이후에,
    300 ℃ 내지 750 ℃까지 상기 기판의 온도를 감소시키는 단계를 더 포함하는 반도체 기판의 처리 방법.
  12. 제 11 항에 있어서,
    상기 화학기상증착에 의해 층을 증착하는 단계는 에피택시 단계를 포함하는 반도체 기판의 처리 방법.
  13. 제 1 항에 있어서,
    상기 압력을 감소시키는 단계는 상기 반응 챔버를 가열하는 가열 부재들에 대하여 일정한 전력 설정값을 유지시키는 단계를 포함하는 반도체 기판의 처리 방법.
  14. 제 13 항에 있어서,
    상기 일정한 전력 설정값을 유지시키는 단계는 고정된 전력값의 개방 루프 제어 모드로 상기 가열 부재들을 제어하는 단계를 포함하는 반도체 기판의 처리 방법.
  15. 제 14 항에 있어서,
    상기 압력을 감소시키는 단계는 40 ℃ 미만만큼 상기 기판의 온도를 증가시키는 단계를 포함하는 반도체 기판의 처리 방법.
  16. 제 14 항에 있어서,
    상기 압력을 감소시키는 단계는 상기 기판의 표면에 걸쳐 60 ℃ 미만으로 온도 범위를 유지시키는 단계를 포함하는 반도체 기판의 처리 방법.
  17. 제 1 항에 있어서, 상기 기판을 탑재하는 단계 이전에,
    상기 기판 표면의 실리콘을 수소로 터미네이션시키는 단계를 더 포함하며,
    상기 터미네이션시키는 단계는 불산 최종 단계를 포함하는 반도체 기판의 처리 방법.
  18. 제 17 항에 있어서,
    상기 화학기상증착은 에피택셜 공정을 포함하는 반도체 기판의 처리 방법.
  19. 반응 챔버 내에서 기판 지지부 상에 기판을 탑재하는 단계;
    상기 반응 챔버로 환원성 가스를 인입하는 단계;
    상기 환원성 가스를 인입하는 단계 이후에, 상기 반응 챔버에 상기 환원성 가스를 지속적으로 공급하면서 1×10-6 Torr 내지 10 Torr의 베이크 압력까지 상기 반응 챔버 내의 압력을 감소시키는 단계;
    상기 베이크 압력에서 상기 기판을 베이크하는 단계; 및
    상기 베이크하는 단계 이후에 상기 베이크 압력보다 더 높은 증착 압력에서 상기 기판 위에 층을 증착시키는 단계;
    를 포함하는 실리콘 기판의 처리 방법.
  20. 제 19 항에 있어서,
    상기 반응 챔버 내의 압력을 감소시키는 단계는 1×10-2 Torr 내지 3 Torr 까지 압력을 감소시키는 단계를 포함하는 실리콘 기판의 처리 방법.
  21. 제 19 항에 있어서,
    상기 기판을 탑재하는 단계는 대기압에서 상기 반응 챔버 내부로 상기 기판을 탑재하는 단계를 포함하는 실리콘 기판의 처리 방법.
  22. 제 19 항에 있어서,
    상기 압력을 감소시키는 단계는 초기 베이크 온도로부터 증가된 베이크 온도까지 상기 기판의 온도를 증가시키는 단계를 포함하며,
    상기 초기 베이크 온도는 700 ℃ 내지 800 ℃ 이고, 상기 증가된 베이크 온도는 750 ℃ 내지 900 ℃인 실리콘 기판의 처리 방법.
  23. 제 22 항에 있어서, 상기 기판의 온도를 증가시키는 단계 이후에,
    500 ℃ 내지 700 ℃로 상기 기판의 온도를 감소시키는 단계를 더 포함하는 실리콘 기판의 처리 방법.
  24. 제 19 항에 있어서,
    상기 압력을 감소시키는 단계는 상기 반응 챔버 내의 가열 부재들에 대하여 일정한 전력 설정값을 유지시키는 단계를 포함하는 실리콘 기판의 처리 방법.
  25. 제 24 항에 있어서,
    상기 압력을 감소시키는 단계는 상기 기판의 온도를 0 ℃보다 크고 20 ℃보다 작은 온도만큼 증가시키는 실리콘 기판의 처리 방법.
  26. 5 Torr 미만의 내부압력을 견디도록 구성된 보강된 구조를 포함하며, 100 리터 미만의 부피를 갖는 반응 챔버;
    상기 반응 챔버 내부로 기판을 이송하도록 구성된 로봇;
    상기 반응 챔버 내에서 상기 기판을 가열하도록 구성된 복수의 가열 부재들; 및
    상기 반응 챔버로 환원성 가스를 인입하고 상기 환원성 가스를 인입한 이후에는 1×10-6 Torr 내지 10 Torr의 베이크 압력에서 저압 베이크를 수행하기 위하여 압력을 감소시키도록 프로그래밍되고, 후속하여 상기 베이크 압력보다 더 높은 증착 압력에서 상기 기판 위에 층을 증착시키는 제어부를 포함하는 실리콘 기판 상의 화학기상증착 시스템.
  27. 제 26 항에 있어서,
    상기 제어부는 90 초 내지 240 초에서 상기 저압 베이크를 수행하고, 후속하여 1 Torr 내지 100 Torr의 증착 압력까지 압력을 증가시키도록 프로그래밍된 화학기상증착 시스템.
  28. 제 27 항에 있어서,
    상기 제어부는 상기 저압 베이크를 수행하면서 상기 가열 부재들에 대하여 일정한 전력을 유지시키도록 프로그래밍된 화학기상증착 시스템.
  29. 제 26 항에 있어서,
    상기 제어부는, 상기 제어부가 저압 베이크를 수행하는 동안, 초기 베이크 온도로부터 750 ℃ 내지 900 ℃까지 상기 기판의 온도를 증가시킬 수 있는 폐쇄 루프 온도 제어 모드를 갖는 화학기상증착 시스템.
  30. 제 26 항에 있어서,
    상기 제어부는 상기 반응 챔버 내부로 환원성 가스를 계속하여 공급하면서 상기 저압 베이크를 수행하도록 프로그래밍된 화학기상증착 시스템.
  31. 제 26 항에 있어서,
    상기 반응 챔버 내부로 반도체 전구체 가스를 공급하도록 구성된 반도체 전구체 공급 라인을 더 포함하는 화학기상증착 시스템.
  32. 제 26 항에 있어서,
    상기 반응 챔버 내부의 압력을, 60 초 미만의 시간 내에, 대기압으로부터 1 Torr 이하까지 감소시키도록 구성된 진공 펌프를 더 포함하는 화학기상증착 시스템.
  33. 제 26 항에 있어서,
    상기 챔버는 10-9 atm-cc/sec 이하의 누설률을 갖는 화학기상증착 시스템.
  34. 제 26 항에 있어서,
    상기 반응 챔버는 단일 웨이퍼, 콜드 월, 화학기상증착 반응 챔버인 화학기상증착 시스템.
  35. 제 26 항에 있어서,
    상기 제어부는 1×10-6 Torr 내지 4 Torr의 베이크 압력에서 저압 베이크를 수행하도록 프로그래밍된 것을 특징으로 하는 화학기상증착 시스템.
KR1020090042095A 2008-05-16 2009-05-14 웨이퍼의 처리 방법 및 장치 KR101614422B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US5377008P 2008-05-16 2008-05-16
US61/053,770 2008-05-16

Publications (2)

Publication Number Publication Date
KR20090119724A KR20090119724A (ko) 2009-11-19
KR101614422B1 true KR101614422B1 (ko) 2016-04-21

Family

ID=41316591

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090042095A KR101614422B1 (ko) 2008-05-16 2009-05-14 웨이퍼의 처리 방법 및 장치

Country Status (4)

Country Link
US (1) US7871937B2 (ko)
JP (1) JP5547425B2 (ko)
KR (1) KR101614422B1 (ko)
TW (1) TWI463538B (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3718587B1 (en) * 2015-09-09 2023-11-15 Fisher & Paykel Healthcare Limited Zone heating for respiratory circuits
KR102476797B1 (ko) 2016-10-05 2022-12-09 삼성전자주식회사 반도체 장치 제조 방법

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7112538B2 (en) 1998-01-09 2006-09-26 Asm America, Inc. In situ growth of oxide and silicon layers

Family Cites Families (121)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3623712A (en) 1969-10-15 1971-11-30 Applied Materials Tech Epitaxial radiation heated reactor and process
US3865072A (en) 1973-10-18 1975-02-11 Hls Ind Apparatus for chemically depositing epitaxial layers on semiconductor substrates
US4047496A (en) 1974-05-31 1977-09-13 Applied Materials, Inc. Epitaxial radiation heated reactor
US4115163A (en) 1976-01-08 1978-09-19 Yulia Ivanovna Gorina Method of growing epitaxial semiconductor films utilizing radiant heating
US4210925A (en) 1978-02-07 1980-07-01 Harris Corporation I2 L Integrated circuit and process of fabrication
JPS601952B2 (ja) 1980-01-25 1985-01-18 三菱電機株式会社 プラズマエツチング装置
US4361461A (en) 1981-03-13 1982-11-30 Bell Telephone Laboratories, Incorporated Hydrogen etching of semiconductors and oxides
JPS5924846A (ja) 1982-07-26 1984-02-08 エスヴィージー・リトグラフィー・システムズ・インコーポレイテッド ホトレジストの乾式現像法
US4517223A (en) 1982-09-24 1985-05-14 Sovonics Solar Systems Method of making amorphous semiconductor alloys and devices using microwave energy
US4615905A (en) 1982-09-24 1986-10-07 Sovonics Solar Systems, Inc. Method of depositing semiconductor films by free radical generation
US4699689A (en) 1985-05-17 1987-10-13 Emergent Technologies Corporation Method and apparatus for dry processing of substrates
US5373806A (en) 1985-05-20 1994-12-20 Applied Materials, Inc. Particulate-free epitaxial process
US4692343A (en) 1985-08-05 1987-09-08 Spectrum Cvd, Inc. Plasma enhanced CVD
US4632057A (en) 1985-08-05 1986-12-30 Spectrum Cvd, Inc. CVD plasma reactor
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
EP0214690B1 (en) 1985-09-06 1992-03-25 Philips Electronics Uk Limited A method of manufacturing a semiconductor device
US5158644A (en) 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US4904621A (en) 1987-07-16 1990-02-27 Texas Instruments Incorporated Remote plasma generation process using a two-stage showerhead
US5248636A (en) 1987-07-16 1993-09-28 Texas Instruments Incorporated Processing method using both a remotely generated plasma and an in-situ plasma with UV irradiation
US5138973A (en) 1987-07-16 1992-08-18 Texas Instruments Incorporated Wafer processing apparatus having independently controllable energy sources
US4820377A (en) 1987-07-16 1989-04-11 Texas Instruments Incorporated Method for cleanup processing chamber and vacuum process module
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
US4870030A (en) 1987-09-24 1989-09-26 Research Triangle Institute, Inc. Remote plasma enhanced CVD method for growing an epitaxial semiconductor layer
US5319220A (en) 1988-01-20 1994-06-07 Sharp Kabushiki Kaisha Silicon carbide semiconductor device
JPH0228322A (ja) 1988-04-28 1990-01-30 Mitsubishi Electric Corp 半導体基板の前処理方法
US4940505A (en) 1988-12-02 1990-07-10 Eaton Corporation Method for growing single crystalline silicon with intermediate bonding agent and combined thermal and photolytic activation
US5156820A (en) 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
US5028973A (en) 1989-06-19 1991-07-02 Harris Corporation Bipolar transistor with high efficient emitter
JPH03130368A (ja) 1989-09-22 1991-06-04 Applied Materials Inc 半導体ウェーハプロセス装置の洗浄方法
US5211796A (en) 1990-01-08 1993-05-18 Lst Logic Corporation Apparatus for performing in-situ etch of CVD chamber
US5198634A (en) 1990-05-21 1993-03-30 Mattson Brad S Plasma contamination removal process
JP2590295B2 (ja) 1990-06-06 1997-03-12 株式会社東芝 半導体装置及びその製造方法
KR930007190B1 (ko) 1990-08-21 1993-07-31 삼성전자 주식회사 화합물 반도체 장치
JPH04177825A (ja) * 1990-11-13 1992-06-25 Clarion Co Ltd エピタキシャル成長方法及び化学気相成長装置
US5252841A (en) 1991-05-09 1993-10-12 Hughes Aircraft Company Heterojunction bipolar transistor structure having low base-collector capacitance, and method of fabricating the same
JPH0562911A (ja) * 1991-09-04 1993-03-12 Fujitsu Ltd 半導体超格子の製造方法
JP2855908B2 (ja) 1991-09-05 1999-02-10 日本電気株式会社 半導体装置及びその製造方法
JPH05175216A (ja) 1991-12-24 1993-07-13 Rohm Co Ltd ヘテロ接合バイポーラトランジスタおよびその製法
US5228206A (en) 1992-01-15 1993-07-20 Submicron Systems, Inc. Cluster tool dry cleaning system
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
JPH05217916A (ja) * 1992-01-31 1993-08-27 Nec Corp 半導体装置の製造方法
US5425842A (en) 1992-06-09 1995-06-20 U.S. Philips Corporation Method of manufacturing a semiconductor device using a chemical vapour deposition process with plasma cleaning of the reactor chamber
US5326992A (en) 1992-07-29 1994-07-05 The United States Of America As Represented By The Secretary Of The Navy Silicon carbide and SiCAlN heterojunction bipolar transistor structures
US5275687A (en) 1992-11-20 1994-01-04 At&T Bell Laboratories Process for removing surface contaminants from III-V semiconductors
US5285089A (en) 1992-12-02 1994-02-08 Kobe Steel U.S.A., Inc. Diamond and silicon carbide heterojunction bipolar transistor
JP2804700B2 (ja) 1993-03-31 1998-09-30 富士通株式会社 半導体装置の製造装置及び半導体装置の製造方法
JP3299807B2 (ja) 1993-04-07 2002-07-08 シャープ株式会社 ヘテロ接合バイポーラトランジスタ
US5380370A (en) 1993-04-30 1995-01-10 Tokyo Electron Limited Method of cleaning reaction tube
JP3292894B2 (ja) 1993-05-12 2002-06-17 日本電信電話株式会社 集積化受光回路
US5421957A (en) 1993-07-30 1995-06-06 Applied Materials, Inc. Low temperature etching in cold-wall CVD systems
DE69421465T2 (de) 1993-07-30 2000-02-10 Applied Materials, Inc. Verfahren zur Ablagerung von Silzium-Nitrid auf Siliziumoberflächen
US5422502A (en) 1993-12-09 1995-06-06 Northern Telecom Limited Lateral bipolar transistor
JPH07169693A (ja) 1993-12-16 1995-07-04 Mitsubishi Electric Corp 横型減圧cvd装置及びそのクリーニング方法
JP2611640B2 (ja) 1993-12-20 1997-05-21 日本電気株式会社 ヘテロ接合バイポーラトランジスタ
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5609721A (en) 1994-03-11 1997-03-11 Fujitsu Limited Semiconductor device manufacturing apparatus and its cleaning method
JP3328416B2 (ja) 1994-03-18 2002-09-24 富士通株式会社 半導体装置の製造方法と製造装置
TW345705B (en) 1994-07-28 1998-11-21 Handotai Energy Kenkyusho Kk Laser processing method
DE4445345C2 (de) 1994-12-19 2001-08-23 Korea Electronics Telecomm Verfahren zur Herstellung eines Bipolartransistors
DE19503718A1 (de) 1995-02-04 1996-08-08 Leybold Ag UV-Strahler
US5686748A (en) 1995-02-27 1997-11-11 Micron Technology, Inc. Dielectric material and process to create same
JPH08236540A (ja) 1995-03-01 1996-09-13 Mitsubishi Electric Corp 半導体装置の製造方法、及び半導体装置
JPH08306700A (ja) 1995-04-27 1996-11-22 Nec Corp 半導体装置及びその製造方法
US5665614A (en) 1995-06-06 1997-09-09 Hughes Electronics Method for making fully self-aligned submicron heterojunction bipolar transistor
JPH0927457A (ja) * 1995-07-12 1997-01-28 Sony Corp 薄膜堆積方法
US6060397A (en) 1995-07-14 2000-05-09 Applied Materials, Inc. Gas chemistry for improved in-situ cleaning of residue for a CVD apparatus
DE19533313A1 (de) 1995-09-08 1997-03-13 Max Planck Gesellschaft Halbleiterstruktur für einen Transistor
US5693147A (en) 1995-11-03 1997-12-02 Motorola, Inc. Method for cleaning a process chamber
US5783495A (en) 1995-11-13 1998-07-21 Micron Technology, Inc. Method of wafer cleaning, and system and cleaning solution regarding same
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
JP3400293B2 (ja) 1996-05-01 2003-04-28 株式会社東芝 Cvd装置及びそのクリーニング方法
US6058945A (en) 1996-05-28 2000-05-09 Canon Kabushiki Kaisha Cleaning methods of porous surface and semiconductor surface
US6043519A (en) 1996-09-12 2000-03-28 Hughes Electronics Corporation Junction high electron mobility transistor-heterojunction bipolar transistor (JHEMT-HBT) monolithic microwave integrated circuit (MMIC) and single growth method of fabrication
US5788778A (en) 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US6347636B1 (en) 1996-11-13 2002-02-19 Applied Materials, Inc. Methods and apparatus for gettering fluorine from chamber material surfaces
JP2950272B2 (ja) 1997-01-24 1999-09-20 日本電気株式会社 半導体薄膜の製造方法
US5849092A (en) 1997-02-25 1998-12-15 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
US6110289A (en) 1997-02-25 2000-08-29 Moore Epitaxial, Inc. Rapid thermal processing barrel reactor for processing substrates
US5992429A (en) 1997-03-13 1999-11-30 Itt Manufacturing Enterprises Method for cleaning semiconductor wafers with an external heat source
JPH10321610A (ja) 1997-03-19 1998-12-04 Fujitsu Ltd 半導体装置の製造方法
US5859447A (en) 1997-05-09 1999-01-12 Yang; Edward S. Heterojunction bipolar transistor having heterostructure ballasting emitter
FR2764118B1 (fr) 1997-05-30 2000-08-04 Thomson Csf Transistor bipolaire stabilise avec elements isolants electriques
US5759281A (en) 1997-06-30 1998-06-02 Emcore Corporation CVD reactor for uniform heating with radiant heating filaments
US6079426A (en) 1997-07-02 2000-06-27 Applied Materials, Inc. Method and apparatus for determining the endpoint in a plasma cleaning process
JP3292101B2 (ja) 1997-07-18 2002-06-17 信越半導体株式会社 珪素単結晶基板表面の平滑化方法
US6165273A (en) 1997-10-21 2000-12-26 Fsi International Inc. Equipment for UV wafer heating and photochemistry
US6107192A (en) 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6095159A (en) 1998-01-22 2000-08-01 Micron Technology, Inc. Method of modifying an RF circuit of a plasma chamber to increase chamber life and process capabilities
US6294466B1 (en) 1998-05-01 2001-09-25 Applied Materials, Inc. HDP-CVD apparatus and process for depositing titanium films for semiconductor devices
US6395192B1 (en) 1998-05-26 2002-05-28 Steag C.V.D. Systems Ltd. Method and apparatus for removing native oxide layers from silicon wafers
US6221168B1 (en) 1998-06-16 2001-04-24 Fsi International, Inc. HF/IPA based process for removing undesired oxides form a substrate
US6095085A (en) 1998-08-20 2000-08-01 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
TW465101B (en) 1998-09-04 2001-11-21 Canon Kk Semiconductor substrate and method for producing the same
JP3478141B2 (ja) 1998-09-14 2003-12-15 信越半導体株式会社 シリコンウエーハの熱処理方法及びシリコンウエーハ
US6204120B1 (en) 1998-09-28 2001-03-20 Ag Associates (Israel) Ltd. Semiconductor wafer pretreatment utilizing ultraviolet activated chlorine
JP3671418B2 (ja) 1998-10-29 2005-07-13 信越半導体株式会社 半導体ウェーハの製造方法
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6110836A (en) 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
US6339028B2 (en) 1999-04-27 2002-01-15 Stmicroelectronics, Inc. Vacuum loadlock ultra violet bake for plasma etch
US6190453B1 (en) 1999-07-14 2001-02-20 Seh America, Inc. Growth of epitaxial semiconductor material with improved crystallographic properties
US6346489B1 (en) 1999-09-02 2002-02-12 Applied Materials, Inc. Precleaning process for metal plug that minimizes damage to low-κ dielectric
US6313042B1 (en) 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US6071823A (en) 1999-09-21 2000-06-06 Promos Technology, Inc Deep trench bottle-shaped etch in centura mark II NG
US6457478B1 (en) 1999-11-12 2002-10-01 Michael J. Danese Method for treating an object using ultra-violet light
US6348125B1 (en) 2000-01-17 2002-02-19 Micron Technology, Inc. Removal of copper oxides from integrated interconnects
US6316795B1 (en) 2000-04-03 2001-11-13 Hrl Laboratories, Llc Silicon-carbon emitter for silicon-germanium heterojunction bipolar transistors
US6329297B1 (en) 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
US6498107B1 (en) 2000-05-01 2002-12-24 Epion Corporation Interface control for film deposition by gas-cluster ion-beam processing
US6319861B1 (en) 2000-05-02 2001-11-20 United Microelectronics Corp. Method of improving deposition
US6372657B1 (en) 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
US6534412B1 (en) 2000-10-11 2003-03-18 United Microelectronics Corp. Method for removing native oxide
US6524936B2 (en) 2000-12-22 2003-02-25 Axcelis Technologies, Inc. Process for removal of photoresist after post ion implantation
US20020124867A1 (en) 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
JP3557457B2 (ja) 2001-02-01 2004-08-25 東北大学長 SiC膜の製造方法、及びSiC多層膜構造の製造方法
KR20080103609A (ko) 2001-05-30 2008-11-27 에이에스엠 아메리카, 인코포레이티드 저온 로딩 및 소성
KR100431657B1 (ko) 2001-09-25 2004-05-17 삼성전자주식회사 웨이퍼의 처리 방법 및 처리 장치, 그리고 웨이퍼의 식각방법 및 식각 장치
JP4215447B2 (ja) * 2002-04-17 2009-01-28 信越半導体株式会社 シリコンエピタキシャルウェーハの製造方法
US6810168B1 (en) 2002-05-30 2004-10-26 Kotura, Inc. Tunable add/drop node
US20050176252A1 (en) 2004-02-10 2005-08-11 Goodman Matthew G. Two-stage load for processing both sides of a wafer
US20080289650A1 (en) 2007-05-24 2008-11-27 Asm America, Inc. Low-temperature cleaning of native oxide

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7112538B2 (en) 1998-01-09 2006-09-26 Asm America, Inc. In situ growth of oxide and silicon layers

Also Published As

Publication number Publication date
US7871937B2 (en) 2011-01-18
JP2009278086A (ja) 2009-11-26
US20090286406A1 (en) 2009-11-19
TW201003748A (en) 2010-01-16
TWI463538B (zh) 2014-12-01
JP5547425B2 (ja) 2014-07-16
KR20090119724A (ko) 2009-11-19

Similar Documents

Publication Publication Date Title
US10837122B2 (en) Method and apparatus for precleaning a substrate surface prior to epitaxial growth
US10480095B2 (en) System for rapid bake of semiconductor substrate with upper linear heating elements perpendicular to horizontal gas flow
JP6028022B2 (ja) 成膜方法
US8080477B2 (en) Film formation apparatus and method for using same
WO2002097864A2 (en) Low temperature load and bake
US10672617B2 (en) Etching method and etching apparatus
WO2014192870A1 (ja) 基板処理装置、半導体装置の製造方法および基板処理方法
US7919397B2 (en) Method for reducing agglomeration of Si layer, method for manufacturing semiconductor device and vacuum treatment apparatus
JP7160642B2 (ja) 基板処理方法、3次元メモリデバイスの製造方法および基板処理装置
KR101614422B1 (ko) 웨이퍼의 처리 방법 및 장치
US7659206B2 (en) Removal of silicon oxycarbide from substrates
KR101763363B1 (ko) 에피택시 전의 인 시츄 프리 클린
US20080081112A1 (en) Batch reaction chamber employing separate zones for radiant heating and resistive heating
JP2003224079A (ja) 熱処理方法、熱処理装置およびシリコンエピタキシャルウェーハの製造方法
JPH05326477A (ja) 半導体基板表面のハロゲン除去方法
JPH09199424A (ja) エピタキシャル成長方法
US20240035196A1 (en) Method of selective etching of dielectric materials
JPH03280536A (ja) 表面処理方法
JP2005333013A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right