KR101346897B1 - 에칭 방법 및 플라즈마 처리 시스템 - Google Patents

에칭 방법 및 플라즈마 처리 시스템 Download PDF

Info

Publication number
KR101346897B1
KR101346897B1 KR1020097004272A KR20097004272A KR101346897B1 KR 101346897 B1 KR101346897 B1 KR 101346897B1 KR 1020097004272 A KR1020097004272 A KR 1020097004272A KR 20097004272 A KR20097004272 A KR 20097004272A KR 101346897 B1 KR101346897 B1 KR 101346897B1
Authority
KR
South Korea
Prior art keywords
plasma
mask layer
power
etching
electron beam
Prior art date
Application number
KR1020097004272A
Other languages
English (en)
Korean (ko)
Other versions
KR20090037495A (ko
Inventor
피터 엘.지. 벤체크
리 첸
아키라 고시이시
이쿠오 사와다
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/499,678 external-priority patent/US7449414B2/en
Priority claimed from US11/499,680 external-priority patent/US7642193B2/en
Priority claimed from US11/499,679 external-priority patent/US7572386B2/en
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20090037495A publication Critical patent/KR20090037495A/ko
Application granted granted Critical
Publication of KR101346897B1 publication Critical patent/KR101346897B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32321Discharge generated by other radiation
    • H01J37/3233Discharge generated by other radiation using charged particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
KR1020097004272A 2006-08-07 2007-06-05 에칭 방법 및 플라즈마 처리 시스템 KR101346897B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US11/499,678 2006-08-07
US11/499,678 US7449414B2 (en) 2006-08-07 2006-08-07 Method of treating a mask layer prior to performing an etching process
US11/499,679 2006-08-07
US11/499,680 US7642193B2 (en) 2006-08-07 2006-08-07 Method of treating a mask layer prior to performing an etching process
US11/499,680 2006-08-07
US11/499,679 US7572386B2 (en) 2006-08-07 2006-08-07 Method of treating a mask layer prior to performing an etching process
PCT/US2007/070375 WO2008021609A1 (en) 2006-08-07 2007-06-05 Method of treating a mask layer prior to performing an etching process

Publications (2)

Publication Number Publication Date
KR20090037495A KR20090037495A (ko) 2009-04-15
KR101346897B1 true KR101346897B1 (ko) 2014-01-02

Family

ID=39082334

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097004272A KR101346897B1 (ko) 2006-08-07 2007-06-05 에칭 방법 및 플라즈마 처리 시스템

Country Status (4)

Country Link
JP (1) JP5271267B2 (ja)
KR (1) KR101346897B1 (ja)
TW (2) TWI445074B (ja)
WO (1) WO2008021609A1 (ja)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5578782B2 (ja) * 2008-03-31 2014-08-27 東京エレクトロン株式会社 プラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
JP5171683B2 (ja) * 2009-02-18 2013-03-27 東京エレクトロン株式会社 プラズマ処理方法
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
JP5662079B2 (ja) * 2010-02-24 2015-01-28 東京エレクトロン株式会社 エッチング処理方法
CN102543687B (zh) * 2011-11-30 2015-08-05 中微半导体设备(上海)有限公司 掩膜层的刻蚀方法、刻蚀装置及层间介质层的刻蚀方法
JP6243722B2 (ja) * 2013-12-10 2017-12-06 東京エレクトロン株式会社 エッチング処理方法
US9368368B2 (en) * 2014-07-21 2016-06-14 Tokyo Electron Limited Method for increasing oxide etch selectivity
JP6587580B2 (ja) 2016-06-10 2019-10-09 東京エレクトロン株式会社 エッチング処理方法
US10020183B1 (en) * 2017-06-29 2018-07-10 Lam Research Corporation Edge roughness reduction
JP2023170791A (ja) * 2022-05-20 2023-12-01 東京エレクトロン株式会社 改質方法及び改質装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6093332A (en) * 1998-02-04 2000-07-25 Lam Research Corporation Methods for reducing mask erosion during plasma etching
JP2005072518A (ja) 2003-08-28 2005-03-17 Hitachi Ltd 半導体装置の製造方法およびその装置
JP2006514783A (ja) 2002-10-11 2006-05-11 ラム リサーチ コーポレーション プラズマエッチングのパフォーマンスを改善する方法
KR20060086865A (ko) * 2005-01-27 2006-08-01 어플라이드 머티어리얼스, 인코포레이티드 포토마스크 제조에 적합한 크롬층의 플라즈마 에칭 방법

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5641234A (en) * 1979-09-10 1981-04-17 Asahi Chem Ind Co Ltd Novel molding dope composition
US5597438A (en) * 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
JP4672456B2 (ja) * 2004-06-21 2011-04-20 東京エレクトロン株式会社 プラズマ処理装置
JP4672455B2 (ja) * 2004-06-21 2011-04-20 東京エレクトロン株式会社 プラズマエッチング装置およびプラズマエッチング方法、ならびにコンピュータ読み取り可能な記憶媒体
JP4827081B2 (ja) * 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
JP5011782B2 (ja) * 2006-03-28 2012-08-29 東京エレクトロン株式会社 半導体装置の製造方法、プラズマ処理装置及び記憶媒体。
US8083961B2 (en) * 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6093332A (en) * 1998-02-04 2000-07-25 Lam Research Corporation Methods for reducing mask erosion during plasma etching
JP2006514783A (ja) 2002-10-11 2006-05-11 ラム リサーチ コーポレーション プラズマエッチングのパフォーマンスを改善する方法
JP2005072518A (ja) 2003-08-28 2005-03-17 Hitachi Ltd 半導体装置の製造方法およびその装置
KR20060086865A (ko) * 2005-01-27 2006-08-01 어플라이드 머티어리얼스, 인코포레이티드 포토마스크 제조에 적합한 크롬층의 플라즈마 에칭 방법

Also Published As

Publication number Publication date
KR20090037495A (ko) 2009-04-15
TW200828432A (en) 2008-07-01
JP5271267B2 (ja) 2013-08-21
TWI443743B (zh) 2014-07-01
TW201419411A (zh) 2014-05-16
JP2010500758A (ja) 2010-01-07
TWI445074B (zh) 2014-07-11
WO2008021609A1 (en) 2008-02-21

Similar Documents

Publication Publication Date Title
US7449414B2 (en) Method of treating a mask layer prior to performing an etching process
KR101346897B1 (ko) 에칭 방법 및 플라즈마 처리 시스템
KR101333924B1 (ko) 에칭 방법, 컴퓨터 판독 가능한 기록 매체, 및 플라즈마 처리 시스템
US7829469B2 (en) Method and system for uniformity control in ballistic electron beam enhanced plasma processing system
US7416677B2 (en) Exhaust assembly for plasma processing system and method
CN107431011B (zh) 用于原子层蚀刻的方法
US7572386B2 (en) Method of treating a mask layer prior to performing an etching process
US7754615B2 (en) Method and apparatus for detecting endpoint in a dry etching system by monitoring a superimposed DC current
JP5674375B2 (ja) プラズマ処理方法及びプラズマ処理装置
TWI423323B (zh) 光阻剝離室及蝕刻基材上光阻之方法
JP5238704B2 (ja) ハフニウム含有材料を乾式エッチングする方法およびシステム
US7642193B2 (en) Method of treating a mask layer prior to performing an etching process
JP2008244479A (ja) 金属窒化物を乾式エッチングする方法及びシステム
KR101414307B1 (ko) 반도체 처리에 있어서 마스크를 제공하는 방법 및 장치
JP2014512096A (ja) 多層マスクのパターン限界寸法及びインテグリティを制御するためのエッチングプロセス
KR20110018266A (ko) Sf6 및 탄화수소를 이용하여 arc층을 패터닝하는 방법
KR20110013265A (ko) Co2/co계 처리를 이용하여 기판을 애싱하기 위한 저손상 방법
KR101540816B1 (ko) 플라즈마 에칭 방법, 컴퓨터 기억 매체 및 플라즈마 에칭 장치
KR20190011600A (ko) 플라즈마 처리 장치 및 방법, 및 이를 이용한 반도체 장치의 제조 방법
Pu Plasma Etch Equipment
JP3172340B2 (ja) プラズマ処理装置
JP2003234328A (ja) エッチング方法
JP2020177958A (ja) 基板処理方法及び基板処理装置
JP2003332317A (ja) プラズマを用いたレジスト剥離装置及び方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161122

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20171120

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20181219

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20191217

Year of fee payment: 7