TW200828432A - Method of treating a mask layer prior to performing an etching process - Google Patents

Method of treating a mask layer prior to performing an etching process Download PDF

Info

Publication number
TW200828432A
TW200828432A TW096129002A TW96129002A TW200828432A TW 200828432 A TW200828432 A TW 200828432A TW 096129002 A TW096129002 A TW 096129002A TW 96129002 A TW96129002 A TW 96129002A TW 200828432 A TW200828432 A TW 200828432A
Authority
TW
Taiwan
Prior art keywords
plasma
mask layer
substrate
electron beam
processing
Prior art date
Application number
TW096129002A
Other languages
Chinese (zh)
Other versions
TWI445074B (en
Inventor
Peter L G Ventzek
Lee Chen
Akira Koshiishi
Ikuo Sawada
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/499,678 external-priority patent/US7449414B2/en
Priority claimed from US11/499,680 external-priority patent/US7642193B2/en
Priority claimed from US11/499,679 external-priority patent/US7572386B2/en
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200828432A publication Critical patent/TW200828432A/en
Application granted granted Critical
Publication of TWI445074B publication Critical patent/TWI445074B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32321Discharge generated by other radiation
    • H01J37/3233Discharge generated by other radiation using charged particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

A method of pre-treating a mask layer prior to etching an underlying thin film is described. A thin film, such as a dielectric film, is etched using plasma that is enhanced with a ballistic electron beam. In order to reduce the loss of pattern definition, such as line edge roughness effects, the mask layer is treated with an oxygen-containing plasma or halogen-containing plasma or a noble gas plasma or a combination of two or more thereof prior to proceeding with the etching process.

Description

200828432 九、發明說明: 【發明所屬之技術領域】 本發明係闕於在電赞^ 法,特別是關於在使用統中飿刻在基板上之薄膜的方 前’處理在薄膜上的遮罩^^電子束辅助的電襞去飿刻薄膜之 【先前技術】 在半導體的處理中, 基板上圖案化(的 =的電 =刻可以用來移除或是 或疋接觸中的材料。電漿蝕刻产理士二或疋在通孔令的材料、 有底面圖案化的保護層1上涉及在處理室中放置具 板位於處理室中,可離之-半導縣板。一但基 動速度被引人處理室中,同_==體混合物就以預定的流 理壓力。 1、二等浦以達到周圍環境的處 之後’當現有的氣體物種的一 形成電漿。加熱電子是藉由電 二、,子離子化’就會 的微波功率來加熱的u tm?11⑽)所轉移 的「些物種,並產生適合於暴露之:刻體物種 的條件,包括在基板之所擇出的蝴。士調整處理到適當 溝、通孔、接觸等者)之適當的所二反(例如,渠 要飿刻的例示性基板材料包括二氧化〇辰又,=農度。需 聚合石夕、及氮切。 缝⑪(叫)、低k介電材料、 【發明内容】 本發明之-目的是為酬介tf提做進的方法及 本發明之另-目的是為處理_化的遮罩層提供 及糸統,以促進蝕刻處理。 進的方法 200828432 圖案化的遮藉由侧形成在基板上並具有 其中兩者或更多it合氣體、或是 電漿,耦合直流(dc)電_電漿處 子束;及暴露基板於;;f;以形成在侧時辅助電漿的電 罩層樣包括形成在基板上並具有圖案化的遮 g電,束的,漿形 地理糸統藉由暴露鮮層於含氧電 將= ==成,;r;是更多者的== 系統中形成及彈道電子束。餘顧’在電漿處理 在又另-實施態樣中’用絲刻基板 ΐί^ΓίίΓ ^ 支撑基板的基板支座、設置於處理室内部卢理 室中形成賴,-AC紙姆肖合鱗理室^ 了^處理 信號到基板支座、或是電極或是此二者,為了 ° ^將 彈道電子^ - DC電^_合於處理室且^電 =控制益是甩來控制氣體供應系統.、Ac電源統 電 糸統以施行下财驟:在賴處理系財 處膜理;罩電=系遮罩的 200828432 【實施方式】 身士宗的:Ζ中為了解釋性目的而非限制性目的而先讯定 _理系統的幾何方法及不同的處理:而, 實,赠物_―叙下,仍能以其 板上=處至下方之基 將被圖案化的基板的性材料(例如光阻)薄層到 為正光阻的情況)或是未液來移除照光區域(若 層。 冊層例如先阻、及底層的抗反射塗佈(ARC) 在圖案餘刻巾’經常使用乾式 # 合電磁(EM)能量[例如射镅f + I肝雕猎由耦 =電漿。更進-步,為了要產生體=理: ΐ===正半週,纖板絲的=(彈道 、回反直>瓜(DC)電源可以净馬合於雷靖卢 ^在底層(賴綱⑹藉由= 電子束可以加強乾式電槳軸慎理的特性,彈道 強_選擇度。關於產生彈,抗性導致加 現在參照圖1’設置一種結合彈道電子束的 電漿處理系統的概 7 200828432 略圖。電漿處理系統包含互相對立在處理室中的第一電極12〇及 第二電極172,其中第一電極120是用來支撐基板125。第一電極 120耦合於第一 RF產生器14〇,以第一 rf頻率提供砂電源,且 第二電極耦合於第二RF產生器17〇,以第二处頻率提供处電 源,第二RJF頻率可與第一 RF頻率相同或是不同。舉例而言,第 二醉^以是相對第—RF頻率而言較高的頻率。輕合处電 源至第一及第二電極可以促進電漿130的形成。 此外,電漿處理系統包含用來供應DC電壓到第二電極172 的DC電源供應器⑼。(舉例而言)負DC電壓_合 極 以促進彈道電子束135的形成。電子束的電源是從負DC電 壓重豐到第二電極172得到的。如美國專利申請案第 20=0=701M號所公開的内容,負DC電源施加於電裝處理系 =影H衝綠板125之表_彈道(或是無碰撞)電子束的形 /^Xi f致上’_電子束可稍钟_ 用,如以下所述。在此範例中,負DC電壓重疊在RptH 性柄合電漿(CCP)處理系統。因且的電合 制。此範例只是用於描述性的目的。x、’ U範例所限 發很重要的’ _人也 糊撕 相對的高聚合形成中(例如相 匕=,且較不常發生在 如明或是味化學物〕。在r二,3老篁〕餘刻化學物(例 言,在初始的基板暴露於叫4 士糊下面的層中。舉例而 的電漿中,遮罩層可以被改‘^^鍵’例如彈道電子束輔助 當蝕刻處理進行時被轉移到飿、在遮罩層中的圖案表現出 機刻賴的側壁粗度(或是圖案異 200828432 常)。這會減少製造產量及/或低劣的裝置性能及可靠产。 了判定上述LER問題的原因,努力研究W道雷; =助的電漿㈣徵。發明人相辨使延長鱗層 罩層以增進如上述的侧處理的高能電子束 Ϊίίϊίίί約100eV)的時間,當έ素原子出現時,初如 會造成導致遮罩層中的條紋形成(被_ =氣=化學物時,遮罩層之表面層化學鍵 由既原子)、及從遮罩層的表面移除碟、氫、及氧(至 度)。大致上而言,發明人相信在習 電子束侧處理中,即使接續暴露 ^ 纖素原子物種的彈道電子束中,遮罩層的初 素原子物_料f子束會導致LER。 於出現鹵 因此,發明人期望在施行蝕刻處理之前處 ^刻處理剌發的LER。此鮮層包含遮少 its ib;f 5 ° ^ 3 248于"卡(nm)的光阻、193励 = m卜=雜、辦⑽二 的薄㈣簡案到下面 含齒素電漿、或是惰性氣體電漿 中^者, 是惰性氣體電漿、或是'或是含鹵素電漿 '或 ΐίίϋΐίΐ 3fi4’處理電聚是導致少數或是沒有離 設置於電。因此, 設置的。在-實_ t辦所 所欲電裝源的功率是大約5_或更少。此外,基Ιίί二ί 200828432 且所欲偏壓功率為少於大約i〇()w,更欲 為’扁㉔率包含貫際上沒有加在基板電極的功率。更一+ ί漿1到3G秒,所欲者為賴處理實施大約2 ^20 秒,例如大約10秒。 j ζυ 例如刻ί;,處理系統中實施’ 處理糸統’或者恭露可以在不同於施行飿 二$*处’、水处理系統中的另一基板處理系統中實施。電漿可以 處理時促進電漿形成的賴產生系統在原位產生勹 _t:以使用•合於施行侧處理的電漿處理系統的或是分 開的,板處理系統的遠端電漿源在不是原位之處產生。 苴中ϋϊΐ可以從〇2'co、c〇2、N0鳴〇、或是N02、或是 每二組合形成。含氧氣體的流動速度大約是1〇 Γ,ίο=1準方公分)到大約1000sccm,舉例而言,大 下疋到300 SCCm。室壓力可以是大約1 mTorr到大約1000 攸者為室壓力是大⑽mT⑽到大約5()()愤⑽。含 性氣體、純氣、&、H2、或是,發明人相 π# ^虱電水胃促進在具有增加的氧濃度的遮罩層中的次級芦 S的;減少在接續“ 以料舉例而言,在含石夕遮罩層的情況中,可 級^。、 R的形成特別有抗性的「玻璃似的」(亦即Si〇x)次 覃#中’在錢處理系統中施行藉*含氧電漿實施的遮 scciL至“。Λ理的條件包括:含氧氣體的流動速度範圍從大約100 加小式胃Γ 〇SCCm ;室壓力大於或是等於大約1⑻mlbrr ;施 偏壓功轉下雜(基板放置於其上);施加大約 10秒。^力f於上電極(或是感應線圈);且處理時間大約是 位/iff一範例中,藉由含氧電漿施行的遮罩層處理是使用移 含負=确)電漿源,例如微波動力電漿源。處理條件包括: ”氣肢的流動速度範圍從大約1〇〇 sccm到大約5〇〇此观;室 200828432 壓力大於或是等於大約100mT〇rr ;施加小或是無Rp偏壓功率於 下電極(基板放置於其上);微波功率大約是1〇〇〇 w ;且處' 間大約是10秒。 寸200828432 IX. INSTRUCTIONS OF THE INVENTION: TECHNICAL FIELD OF THE INVENTION The present invention is directed to the processing of a mask on a film before the film is etched on the substrate in the electrical system. ^Electrobeam-assisted electric enthalpy engraving film [Prior Art] In the processing of semiconductors, the patterning on the substrate can be used to remove or 疋 contact materials. Plasma etching The material of the second or the 疋 令 通 通 通 通 通 通 通 通 通 通 通 通 通 通 通 通 通 通 通 通 通 通 通 通 通 通 通 通 通 通 通 通 通 通 通 通 通 通 通 通 通 通 通 通 通 通In the human treatment chamber, the _== body mixture is at a predetermined fluid pressure. 1. After the second-stage Pu to reach the surrounding environment, 'when one of the existing gas species forms a plasma. Heating the electron is by electric two , the ionization of the 'microwave power to heat the u tm? 11 (10)) transferred "some species, and produce suitable conditions for the exposure: the engraved species, including the selected butterfly on the substrate. Adjust processing to appropriate trenches, vias, contacts, etc. The appropriate substrate (for example, the exemplary substrate material to be engraved includes cerium oxide, cations, agronomics, polymerization, and nitrogen cutting. Slit 11 (called), low-k dielectric material, SUMMARY OF THE INVENTION The present invention is directed to a method for facilitating the advancement of tf and another object of the present invention to provide and process a mask layer for processing to facilitate etching. Advance Method 200828432 Pattern The masking layer is formed on the substrate by the side and has two or more of the gas, or the plasma, coupled with a direct current (dc) electricity-plasma beam; and the substrate is exposed; The mask layer of the side-assisted plasma comprises a pattern formed on the substrate and having a patterned opaque, bundled, paste-shaped geographic system by exposing the fresh layer to the oxygen-containing electricity ===,; r; More is == Forming and ballistic electron beam in the system. Yu Gu 'In the plasma processing in another embodiment - using a silk-lined substrate ΐί^ΓίίΓ ^ supporting the substrate of the substrate, set in the processing chamber Formed in the interior of the Lu Li room, -AC paper xiaoxiao ration room ^ ^ processing signal to the substrate support, or electricity Or both, in order to ° ^ ballistic electron ^ - DC electric ^ ^ in the processing room and ^ electricity = control benefits is to control the gas supply system. Ac power system to implement the next fiscal: in Lai processing system of the financial department; cover electric = system cover 200828432 [Embodiment] Body of the sect: Ζ 为了 for explanatory purposes rather than restrictive purposes to first determine the geometry of the system and different treatment :,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,, Liquid to remove the illumination area (if the layer. For example, the first layer of resistance, and the bottom layer of anti-reflective coating (ARC) in the pattern of the residual towel 'often used dry type electromagnetic (EM) energy [such as the injection of f + I liver Carving and hunting by coupling = plasma. More step-by-step, in order to produce body = rational: ΐ === positive half-week, fiberboard wire = (ballistic, back-to-back); melon (DC) power supply can be net horse in Lei Jinglu ^ at the bottom ( Lai Gang (6) can strengthen the characteristics of the dry electric propeller shaft by the = electron beam, the ballistic strength _ selectivity. Regarding the generation of the bomb, the resistance leads to the plasma processing system which is combined with the ballistic electron beam with reference to Fig. 1'. 7 200828432. The plasma processing system includes a first electrode 12A and a second electrode 172 that are opposite each other in the processing chamber, wherein the first electrode 120 is for supporting the substrate 125. The first electrode 120 is coupled to the first RF generation The device 14 is configured to provide a sand power source at a first rf frequency, and the second electrode is coupled to the second RF generator 17A to provide a power source at a second frequency, and the second RJF frequency may be the same as or different from the first RF frequency. For example, the second drunk is a higher frequency relative to the first-RF frequency. The light source to the first and second electrodes can promote the formation of the plasma 130. In addition, the plasma processing system includes To supply a DC voltage to the DC power supply (9) of the second electrode 172. For example, a negative DC voltage _ is integrated to promote the formation of the ballistic electron beam 135. The power of the electron beam is obtained from the negative DC voltage to the second electrode 172. For example, U.S. Patent Application No. 20=0=701M In the disclosed content, the negative DC power is applied to the electrical equipment processing system = the shadow H green plate 125 table _ ballistic (or collision-free) electron beam shape / ^ Xi f induced on the '_ electron beam can be slightly _ use As described below. In this example, the negative DC voltage is superimposed on the RptH shank plasma (CCP) processing system. This is for descriptive purposes. x, 'U example It is important to limit the ' _ people are also in the middle of high polymerization formation (for example, phase 匕 =, and less often occurs in the case of illuminating chemicals or odor chemicals). In r 2, 3 篁 篁 余 余 化学 化学In the example, the initial substrate is exposed to a layer below the paste. For example, in the plasma, the mask layer can be modified by a '^^ key', such as a ballistic electron beam to assist when the etching process is performed. To the 饳, the pattern in the mask layer shows the thickness of the sidewall of the machine (or the pattern is different from 200828432). Reduce manufacturing yield and / or inferior device performance and reliable production. Determine the cause of the above LER problem, and strive to study W Dalei; = help plasma (four) sign. The inventors discriminate to extend the scale cover to enhance the above The side-processed high-energy electron beam Ϊίίϊίίί about 100 eV), when the halogen atom appears, it will cause the formation of streaks in the mask layer (by _ = gas = chemical, the chemical layer of the surface layer of the mask layer) The disk, hydrogen, and oxygen (to the degree) are removed from both the surface of the mask layer. In general, the inventors believe that in the electron beam side treatment, even if the species of the fibrin atom are successively exposed In the ballistic electron beam, the initial element of the mask layer, the material f beam, causes the LER. In the presence of halogen, the inventors therefore expect to process the burst LER before performing the etching process. This fresh layer contains less mitts of its ib;f 5 ° ^ 3 248 in " card (nm), 193 excitation = m Bu = miscellaneous, do (10) two thin (four) short case to the following dentate plasma, Or in inert gas plasma, it is an inert gas plasma, or 'or halogen-containing plasma' or ΐίίϋΐίΐ 3fi4' treatment of electricity is caused by a few or not set to electricity. So set it up. The power of the intended source is about 5_ or less. In addition, according to 200828432 and the desired bias power is less than about i〇()w, it is more desirable that the 'flat 24 rate includes power that is not applied to the substrate electrode in a continuous manner. One more + ί pulp is 1 to 3G seconds, and the desired one is about 2^20 seconds, for example about 10 seconds. j ζυ For example, the implementation of the 'Processing System' in the processing system or the complicity can be implemented in another substrate processing system in the water treatment system different from the implementation. The plasma generation system that promotes plasma formation during processing can generate 勹_t in situ: to use a plasma processing system that is integrated with the side treatment or separate, the remote plasma source of the plate processing system is Not generated in situ.苴中ϋϊΐ can be formed from 〇2'co, c〇2, N0 〇, or N02, or every two combinations. The flow rate of the oxygen-containing gas is about 1 〇 ί, ίο = 1 cm, to about 1000 sccm, for example, squat to 300 SCCm. The chamber pressure can be from about 1 mTorr to about 1000 Torr for room pressures that are large (10) mT (10) to about 5 () () anger (10). Containing gas, pure gas, &, H2, or, inventor phase π# ^虱 electric water stomach promotes secondary reed S in the mask layer with increased oxygen concentration; For example, in the case of a stone-containing mask layer, the formation of R, R is particularly resistant to "glass-like" (ie, Si〇x) times in the 'money processing system' The implementation of the occlusion of the oxygen-containing plasma to the scciL to ". The conditions of the treatment include: the flow rate of the oxygen-containing gas ranges from about 100 plus a small stomach Γ 〇 SCCm; the chamber pressure is greater than or equal to about 1 (8) mlbrr; The work is turned down (the substrate is placed on it); it is applied for about 10 seconds. The force is applied to the upper electrode (or the induction coil); and the processing time is approximately in the bit/iff example, performed by the oxygen-containing plasma. The mask layer treatment is to use a negatively charged plasma source, such as a microwave powered plasma source. The processing conditions include: "The flow velocity of the pneumatic limb ranges from about 1 〇〇 sccm to about 5 〇〇; view 200828432 The pressure is greater than or equal to about 100mT〇rr; the application of small or no Rp bias power to the lower electrode (substrate Disposed thereon); about 1〇〇〇 W microwave power; and at 'between about 10 seconds. Inch

含鹵素電漿可以從 Cl2、Br2、F2、HBr、HQ、HF、C2H4&2、 αΐ?3、NF3、S1CI4、SF6、或是其中兩者或是更多者的組合形成。 含,素氣體的流動速度大約是10sccm到大約1000sccm,例如大 約疋100到300 seem。室壓力在大約1 mT〇rr到大約1000 mT〇rr, 所欲者為室壓力在大約20 mTorr到大约5〇〇 mTorr,更欲者為室壓 ^在2〇 mTorr到大約100 mTorr。含鹵素電漿更包含惰性氣體、鈍 氣、N2、H2、或是CN。此外,含岐電漿更包含含氧氣體。發明 人期望遮罩層暴露於缺少高能電子束的含_素電漿可以純化遮罩 層的表面層,藉此幫助減少接下來的勤丨處理巾的遮 LER形成。 平曰τ的 在-範例中’藉由含鹵素電漿的遮罩層處理係施行在實施蝕 亥^,的電漿處理系統之中。處理條件包括:含函素氣體的流動 k度在大約100 seem到大約500 sccm ;室壓力範圍在大約25 mTorr到大約50mTorr ;施加小或是無处偏壓功率於下電極(基 板放置於其上);施加大約1〇〇 W到大約5〇〇 w的即功率在上電 極(或是感應線圈);且處理時間大約是丨Q秒。在另 ^„素電漿的遮罩層處理是細移位(或是遠端〉電聚 =二電漿源。處理條件包括:含《氣體的流 動速度祕在大約loo s_到大約5GG seem; 力大於或是等 或是無奸偏壓功率於下電極(基板放 置於其上),減神大岐_w ; _ 惰性氣體電漿可以從惰性氣體,例如出、恤、Ar、沿、& 形成。惰性氣體喊動速度大約是 iO^eem到大約,SCCm,例知大約是1〇〇到· _。室壓力The halogen-containing plasma may be formed from Cl2, Br2, F2, HBr, HQ, HF, C2H4 & 2, αΐ3, NF3, S1CI4, SF6, or a combination of two or more thereof. The flow rate of the gas containing gas is about 10 sccm to about 1000 sccm, for example, about 100 to 300 seem. The chamber pressure is from about 1 mT 〇rr to about 1000 mT rr, and the desired chamber pressure is from about 20 mTorr to about 5 〇〇 mTorr, more preferably for chamber pressure ^ at 2 〇 mTorr to about 100 mTorr. Halogen-containing plasmas also contain inert gases, blunt gases, N2, H2, or CN. In addition, the cerium-containing plasma further contains an oxygen-containing gas. The inventors desire that the exposure of the mask layer to the keratin-containing plasma lacking the high energy electron beam can purify the surface layer of the mask layer, thereby helping to reduce the LER formation of the next diligent treatment towel. In the case of the flat 曰τ, the mask layer treatment by the halogen-containing plasma is carried out in the plasma processing system for performing the etch. The processing conditions include: the flow rate of the gas containing gas is from about 100 seem to about 500 sccm; the chamber pressure ranges from about 25 mTorr to about 50 mTorr; applying a small or no bias power to the lower electrode (the substrate is placed thereon) The application of about 1 〇〇W to about 5 〇〇w is the power at the upper electrode (or the induction coil); and the processing time is about 丨Q seconds. In the mask layer treatment of the other plasma is fine displacement (or distal > electropolymer = two plasma source. Processing conditions include: "the flow velocity of the gas is about loo s_ to about 5 GG seem The force is greater than or equal or no bias power is applied to the lower electrode (the substrate is placed on it), 减 岐 _ _ _ _ inert gas plasma can be from inert gas, such as out, shirt, Ar, along, & Formation. The inert gas shouting speed is approximately iO^eem to approximately, SCCm, for example, approximately 1 〇〇 to _. Chamber pressure

T 咖,所欲者為室㈣在^ 5Q mTorr到大㈣0 mTorr,歧麵室勤在大㈣到大約 11 200828432 200 mTorr。發明人相信惰性氣體的使用可以促進富含碳,或是「碳 化的」遮罩層上的表面層的形成(亦即,例如耗盡= =衝擊遮罩層_子的離子能量,「碳化的」表面層可以延伸好幾 ^ (nm)到遮罩層之中(例如U,u〇mn)。舉例而言,能量範 圍在大約25到大約50 eV的離子應該可以穿透大約〗聰到大約2 ϊΐ ^ ίϊίϋ理過的遮罩層可崎赋少在接續的兹刻處 理中的遮罩層中的LER。 處理性氣體電漿的遮罩層處理是在施行侧 2 Γ。處理條件包括:惰性氣體的流動速 mTorf到大約5〇 ^到,大約则·;室壓力範圍在大約25 板放置於直上)加小或是無处偏壓功率於下電極(基 電極Κ是iii fQ w到灿_W的Μ功率於上 U疋戊應線圈),且處理時間大約是10秒。 包含在蝕刻處理耗=:气:成在遮罩層上的保護層 在崎理的早期保護遮以且藉此可 期時提4加增加的蝴級’特別是在餘刻處理早 統中ίί層施侧處理的電漿處理系 在不同於實施處j:或者,暴露也可以 =以用私於實Uti 電聚,或 層暴露於沉積氣體電使用沉積氣體電漿,其中遮罩 上的保護層的形成可積在基板表面上。遮罩層 含碳氫化合物電漿(匕枯^路遮罩層於沉積氣體電漿中,例如 P 3 CxHy電漿,其中x&y是大於或是 200828432 等合物?f f電漿,其中xT coffee, the person who wants to be a room (four) in ^ 5Q mTorr to large (four) 0 mTorr, the face room is diligent in the big (four) to about 11 200828432 200 mTorr. The inventors believe that the use of an inert gas can promote the formation of a surface layer on a carbon-rich or "carbonized" mask layer (ie, for example, depletion = = ion energy of the impact mask layer), "carbonized The surface layer can extend a few ^ (nm) into the mask layer (eg U, u〇mn). For example, ions with energies ranging from about 25 to about 50 eV should be able to penetrate about 聪 to about 2 Ϊΐ ^ ϊ ϊ 的 遮 遮 遮 遮 遮 遮 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 The gas flow rate mTorf is about 5 〇 ^ to about , the chamber pressure range is about 25 plates placed straight up) plus small or no bias power to the lower electrode (base electrode Κ is iii fQ w to _ The power of W is on the upper U-turn coil, and the processing time is about 10 seconds. Included in the etching process =: gas: the protective layer on the mask layer in the early protection of the surface of the smear and can be used to increase the level of the butterfly's increase, especially in the processing of the past The plasma treatment of the layer side treatment is different from the implementation j: or, the exposure can also be used to use the private Uti electropolymer, or the layer is exposed to the deposition gas to electrically use the deposition gas plasma, wherein the protection on the mask The formation of the layers can be deposited on the surface of the substrate. The mask layer contains hydrocarbon slurry (the ruthenium mask layer is deposited in a deposition gas plasma, such as P 3 CxHy plasma, where x&y is greater than or equal to 200828432 equivalent?f f plasma, where x

茲多ί 於或是等於1的整數)、或是其?S 是高能在y激發破壞鍵(例如高能的電子或 用C2H4、ch4、c』/ Γ ^儿積亂體電毁處理。含CXHy電漿可以 CAo ^ c Η ; f6' C3H4' QH6' C3Hs ' ^ ^ ; C;;t tC?;:^ ; 者的組m典、卿2、献卿妓其㈣者或更多 層上以在使用上述的—或更多個沉積氣體的遮罩 坦的平板。此外,保護層可以包含圖案上的一些 含—些遮罩層圖案侧㈣覆蓋。舉例而言,應選 來形成具有少許喷濺或是不具_ (亦即,在基 是低離子能量)的離子驅動沉積電襞(亦即,離子化 n儿積)。沉積氣體的流動速度大約是1〇sccm到大約1〇〇〇 SCCm ’所欲者為流動速度的範圍在大約100 seem到大約300 m例如大約2〇〇sccm。室壓力可以在大到大約1⑽〇 3所欲者為室壓力在大約50mTorr到大約5⑽mTorr,更欲 將為室壓力在大約50mT〇rr到大約2〇〇mT〇rr。此外,沉積氣體電 含稀釋氣體,例如惰性氣體。舉例而言,沉積氣體的流動 k又範圍在氣體混合物的流動速度的大約1%到大約20%,且餘 責包^稀釋氣體的流動速度。此外,舉例而言,沉積氣體的流動 ,度fe圍在氣體混合物的大約5%到大約1〇%,且餘者包括稀釋 氣體的流動速度。更進一步而言,沉積氣體亦可包括H2、02、C0、 C〇2、NO、叫〇、N〇2、N2、CN、或是鈍氣、或是其中兩者或更 13 200828432 多的組合。 在範例中’在>儿積CF (亦即CxFz)聚合物時,可以使用例 如包含或是不包含CF4的或是C4F6的沉積氣體。處理條件包 括:稀釋氣體的流動速度範圍在大約100sccm到大約5〇〇sccm; 沉積氣體的流動速度範圍在稀釋氣體流動速度範圍的大約1%到 大約2〇%,室壓力的範圍在大約50mTorr到大約200mTorr ;施 加小或是無RP偏壓功率於下電極(基板設置於其上);施加大約 大約1500 w的处功率到上電極(或是感應線圈);且處 、曰以=成厚度範圍在大約好幾腿到大約腿的薄膜。 句括在中’沉積01 (亦即CxHy)聚合物時,處理條件 括·稀釋氣體的流動速度範圍在大約100 seem到大約500 氣巧流,度是稀釋氣體流動速度範關大約1%到 加小^益堅力的範圍在大約5〇 mT〇IT到大約200 mTorr ;施 500 W 偏壓功率於下電極·(基板設置於其上);施加大約 大、力^⑻…的处功率到上電極(或减岸绫圈v且卢裡 保護層的最小厚产対,保濩層所需之厚度較大。 選擇。舉例而的帶電物種的穿透厚度來 子束,且有大;應、*大Λ 厚度的薄膜會需要1 keV的電 在11111厚度的薄膜會需要1.5keV的電子東 在醇,,例ίίΐ或之保護層的形成包括把遮罩層浸 上的碳氫氟基化合物的遮罩層 理的初期調變高能的電子含量’藉此在蝕刻處 造成的損害,保護層可日 /趣理的初期減輕高能電子 層中的咖。J卜'㈣的遮罩 化合物的遮罩層±的保護的基化學m是碳氫氰基 滑的形成可败進在钱刻處理時提供额 14 200828432 外飿刻抗性㈣合物薄膜的形成。增加改質後的遮罩層的働 擇度可以幫助在接下來的蝕刻處理中減少遮罩層中的LER。 根據又另一實施例,在施行蝕刻處理之前,遮罩層是在缺少 原子狀態之鹵素物種(亦即F、C1、Br等者)的情況下,藉由電 子束所處理的。發明人暴露遮罩層於缺少 ^ 種的電子束巾會「絨」献硬化鮮層之表面層,因 層在蝕刻處理中較不易形成LER。 便遮罩Is it a integer equal to 1 or is it? S is a high-energy excitation bond at y (such as high-energy electrons or C2H4, ch4, c) / Γ ^ 积 体 电 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C ^ ^ ; C;; t tC?;: ^ ; group of m, qing 2, xian 妓 ( (4) or more layers to use the above-mentioned or more than a plurality of deposition gases In addition, the protective layer may include some of the mask layers on the side of the mask layer (four). For example, it should be selected to form a little splash or not _ (ie, the base is low ion energy) The ions drive the deposition of electricity (ie, ionization). The flow rate of the deposition gas is about 1 〇sccm to about 1 〇〇〇SCCm. The desired flow rate ranges from about 100 seem to about 300. m is, for example, about 2 〇〇 sccm. The chamber pressure can be as large as about 1 (10) 〇 3, the chamber pressure is about 50 mTorr to about 5 (10) mTorr, and more preferably the chamber pressure is about 50 mT rr to about 2 〇〇 mT rr. In addition, the deposition gas contains a diluent gas, such as an inert gas. For example, a deposition gas The flow k is again in the range of from about 1% to about 20% of the flow rate of the gas mixture, and the flow rate of the diluent gas is contained. Further, for example, the flow of the deposition gas is about 5 in the gas mixture. % to about 1%, and the remainder includes the flow rate of the diluent gas. Further, the deposition gas may also include H2, 02, C0, C2, NO, 〇, N〇2, N2, CN, Either blunt gas, or a combination of two or more of them 2008 200832. In the example of 'in the case of CF (also known as CxFz) polymer, for example, with or without CF4 or C4F6 The deposition gas includes: the flow rate of the diluent gas ranges from about 100 sccm to about 5 〇〇 sccm; the flow rate of the deposition gas ranges from about 1% to about 2% of the range of the flow rate of the diluent gas, and the range of the chamber pressure Between about 50 mTorr and about 200 mTorr; applying little or no RP bias power to the lower electrode (on which the substrate is placed); applying power of about 1500 W to the upper electrode (or induction coil); = thickness range in A few legs to about the film of the leg. In the case of the 'deposition 01 (also known as CxHy) polymer, the processing conditions include the flow rate of the dilution gas ranging from about 100 seem to about 500 qi flow, the degree is the dilution gas The flow rate range is approximately 1% to plus small and the strength is in the range of about 5〇mT〇IT to about 200 mTorr; 500 W bias power is applied to the lower electrode (the substrate is placed thereon); The power of the force ^(8)... is to the upper electrode (or the minimum thickness of the Luli protective layer and the thickness of the Luli protective layer, and the thickness required for the protective layer is large. select. For example, the penetration thickness of charged species comes to the beam, and there is a large; should, * large thickness of the film will require 1 keV of electricity in the 11111 thickness of the film will require 1.5keV of electrons in the alcohol, for example ίίΐ or The formation of the protective layer includes the initial modulation of the high-energy electron content of the masking layer of the hydrofluorocarbon-based compound in which the mask layer is immersed, thereby causing damage at the etching place, and the protective layer can be initially/familiar. Lighten the coffee in the high-energy electron layer. J Bu '(4) mask compound of the mask layer ± protection of the base chemistry m is the formation of the carbon cyano group slip can be defeated in the money engraving treatment to provide the amount of 14 200828432 outer etch resistance (tetra) film formation . Increasing the selectivity of the modified mask layer can help reduce the LER in the mask layer during the subsequent etching process. According to still another embodiment, the mask layer is treated by the electron beam in the absence of an atomic state of the halogen species (i.e., F, C1, Br, etc.) prior to performing the etching process. The inventors exposed the mask layer to the missing electron beam towel to "snack" the surface layer of the hardened fresh layer, because the layer is less likely to form LER during the etching process. Mask

恭露遮罩層於電子束可以在施行蝕刻處理的電漿處理*** 執行,例如圖1所示之電漿處理㈣,或者暴露步驟也可^在 =於施行侧處理的電漿處理系統的另—基板處理系統中實施。 牛例而言,電子束源可喃合於(以侧處理為目的之)電 ,系統或是其他基板處理系統,且制來產生處理遮罩層的電^ 术0 或者,舉例而言,電子束可以藉由輕合直流(Dc)電 ίίΐ系統(如圖卜及以下的圖2到圖7所示的)中之電極% 電水處理糸統中產生,並產生電漿。參照圖〗, 、 (AC)電源’例如麵⑽電源,至第—電極12^以 或是Ϊ二者,以形成預先侧電漿,且可以藉由^ DC電源至弟二電極172以形成電子束。Congratulations that the mask layer on the electron beam can be performed in a plasma processing system that performs an etching process, such as the plasma processing shown in Figure 1, or the exposure step can also be performed on the other side of the plasma processing system. - Implemented in the substrate processing system. In the case of cattle, the electron beam source can be conjugated to (for side processing) electricity, systems or other substrate processing systems, and produced to produce a mask for the processing of the mask 0 or, for example, electronics The beam can be generated by the electrode % electro-hydrogen treatment system in the light-and-dc (Dc) electric system (as shown in Figures 2 to 7 below) and produces plasma. Referring to the drawings, an (AC) power source, such as a surface (10) power supply, to the first electrode 12 or both, to form a pre-side plasma, and can be formed by the DC power source to the second electrode 172 to form an electron. bundle.

矣用严侧電子束’可以在侧處理之前先處理遮罩以 理ΐ度的範圍從大約1 nm到幼100nm,所欲^ 衣度耗圍攸大約5腿到大約50腿,例如10職。: 500 eV 1, keV 子束的能量可高達价〜,且所欲範圍為大約 範圍在大約1Gl4個電子每平方公分(®·2)到大; ^一範例中,預先蝕刻電子束是形成在圖i的電襞 。处理條件包括:惰性氣體的流動速度範圍在大約 15 200828432 大約300 sccm;室壓力範圍在大約2〇mT〇rr到大約1〇〇mT〇rf;施 加小或是無RF偏壓功率於下電極(基板設置於其上);施加範圍 大^在500J到大約10〇〇,的处功率於上電極(或是感應線 圈)’施加範圍在大約—500 V到大約一 1000 v的DC電壓於上電 極;且處理時間大約是10秒。 、The use of a strict side electron beam can be processed before the side treatment to a range of from about 1 nm to about 100 nm, and the desired amount of clothing is about 5 legs to about 50 legs, for example, 10 positions. : 500 eV 1, keV beamlet energy can be as high as valence ~, and the desired range is about 1Gl4 electrons per square centimeter (®·2) to large; ^ In one example, the pre-etched electron beam is formed in Figure i's eMule. Processing conditions include: the flow rate of the inert gas ranges from about 15 200828432 to about 300 sccm; the chamber pressure ranges from about 2 〇 mT rr to about 1 〇〇 mT 〇 rf; applying little or no RF bias power to the lower electrode ( The substrate is disposed thereon; the application range is from 500 J to about 10 Torr, and the power is applied to the upper electrode (or the induction coil) to apply a DC voltage ranging from about -500 V to about 1000 V to the upper electrode. And the processing time is about 10 seconds. ,

可以用惰性氣體形成預先蝕刻電漿,例如鈍氣(亦即He、Ne、 心)此外,預先韻刻電漿更包含CHF3。在電漿的出現 工的解離有產生CF2 (例如,形成聚合物的自由基)及(離 i 的)HF的傾向。形成聚合物的自由基對於藉由設置如上 ^上戒的罩層處理有益處。然而,重要的是,為了要在減 性气ΪΪϋ問題時處理遮罩層。應該選擇(除了形成電襞的惰 I?之2的 > 額外氣體贿中缺少原子狀態之^素物種。 定的時==1電更子進束一及牛預 30# 且藉由暴體形成働蘭、侧電子束, 是預ί峨子束的能量可以選擇少於侧^ 束二:ί先,電子束的能量可咖 於 在= 如階梯方式增大了以在預先侧處理的時候以 外,電子束的能量一(.^、=刻處理的時候斜坡式增大。此 可以是脈衝式的奋如於圖1中的第二電極π的電壓) 及大約H500V之間欲者為電壓可以在大約-100V V及大約衝或疋更欲者為,電壓可以在大約-500 遮罩層的預先钱刻電子束處理亦可使用含氧電聚、或是含齒 16 200828432 素電漿、或是惰性氣體電漿作為遮罩芦之箭考^ f 1 遮罩層上之保護層的形成之前達成;在 ,預先處理電子束可《在保護層之形 的成長預備遮罩層之表面。 ”' 中 攻些實施例可以用於任何如下所示之類型的電_理系統 參照圖2 ’根據本發明之實施例,顯示出—種 ,]ΐ:ΐ之前處理遮罩層的電漿處理系統: ^處理糸統1包含促進電漿形成的電漿處理室g、基,The pre-etched plasma may be formed with an inert gas, such as an inert gas (i.e., He, Ne, and heart). In addition, the pre-magnetizing plasma further contains CHF3. The dissociation of the presence of plasma has a tendency to produce CF2 (e.g., radicals forming a polymer) and HF (from i). The free radicals forming the polymer are beneficial for the treatment of the cover layer by setting the above. However, it is important to deal with the mask layer in order to reduce the problem of air enthalpy. Should choose (except for the formation of electric squatting I? 2) extra gas bribes lacking the atomic state of the species. When the = = = electric more into the bundle and the cattle pre-30# and by the violent body The formation of the indigo, the side electron beam, the energy of the pre-twist beam can be selected to be less than the side beam 2: ί first, the energy of the electron beam can be increased in the = stepwise way to be processed in advance In addition, the energy of the electron beam is increased by (.^, = when the etching process is ramped up. This can be pulsed like the voltage of the second electrode π in Figure 1) and the voltage between about H500V is about It can be used at about -100V V and about rushing or arbitrarily. The voltage can be processed in the pre-cured electron beam of about -500 mask layer. It can also use oxygen-containing electropolymerization or tooth-containing 16 200828432 plasma. Or the inert gas plasma is used as the mask of the mask. The f 1 is formed before the formation of the protective layer on the mask layer; in advance, the electron beam can be "pre-formed on the surface of the protective layer. "These embodiments can be used in any type of electrical system as shown below with reference to Figure 2' According to embodiments of the present invention, showing - species,] ΐ: ΐ plasma processing system before the processing of the mask layer: 1 ^ processing system which comprises a plasma processing chamber to promote the formation of a plasma g, group,

耦合於電浆處理室8且用來支撐基板3、電極9 於 室?S來接觸電漿。此外,處理系統i包含Ac:電源, ^於電理室8並為了形成電漿蝴合至少—個 ,支座2、或是電極9、*是兩者皆是、及Dc電源***γ;; 處理室8並為了形成通過電漿的彈道電子束喃合% 至電極9。 带將^進—步’電漿處理祕1包含處理氣體分佈祕6,耦合於 私水處理室8,且用來引人任何上述實施例中的氣體至電装處理室 δ,'更進-步之另-者’電_理祕i包含真空幫齡統(未顯 不)’ _合於電漿處理室8, Hr用、來從處理室消除氨撼赛 …選擇性的,電聚處理系統!更包含控制器電裝處理 ^ 8、基板支座2、AC電源系統4、Dc電源系統5、及處理氣體 ^佈系統6,並為了在電漿處理室8中執行處理基板3的處理,與 j兀件交換資料。電漿處理系統i可以促進基板3上之遮罩層、 的處理、或是基板3之钱刻處理、或是兩者皆是。 曰 圖3顯示根據另一實施例之電漿處理系統。電漿處理***“ 包含電漿處理室10、固定將被處理之基板25在其上之基板支座 2〇、及^空幫浦系統30。基板25可以是半導體基板、晶圓、或是 液晶顯示器。電漿處理室10可以促進鄰近基板25表面之處理區 域15的電漿產生。通過氣體注入系統(未顯示)引入可離子化的 17 200828432 氣體或是氣體混合物’並輕處嗎力。糊而言,鋪機構(未 顯不)可以用來調節真空幫浦系統Μ。電漿可㈣來製造特別用 於預定材料處理中的材料、及/或輔助從基板25之暴露表面移除材 料^電聚處理系統la可以用來處理任何尺寸之基板,例如2〇〇酿 的基板、300 mm的基板、或是更大的基板。 基板25通過電子穩態箝位系統固定於基板支座2〇。更進一 步’基板支座20更包含含有在冷卻時從基板支座%接收埶量並 量交換系統(未顯示)的循環液流的冷卻系統、 或疋在加熱%從熱量交換系統轉換熱量到液流的加熱系統。此 外二可以通過,織體1_送氣體至基板25之額,以改善基 支Λ20之間的氣隙熱傳導。可以在基板f要溫度控 時使用此種系統。舉例而言,後側氣 ^、、匕3又區氣體分佈系統’其中後側氣體(例如氦)壓 由勒^反的中央及邊緣之間猶立地變更。在其他實施例 Γ=例如阻抗性加航件或是賴式加熱器/冷卻 Lilt 基板支座2G中,而電漿處理室1G的室壁及任何 其,,_統la中的祕也能包含於電漿處理系統la中7 诚】5 87 3戶^^之實施例中’基板支座20包含-電極,在處理區 ί生哭4=3=玄通過此電極耗合於處理電漿。例如,可以使 路4Γ齡ff/士率通過輕合於基板支座20的擇用的阻抗匹配網 RF田二板支座20 ’而使基板支座20電偏壓於处電壓。 職f、特賴、歧f彡料其中的離 同兩者皆是。在此組成中,系統可以操作如 型的 RF 偏二 使用之KP系以===到⑽耻姻處理所 以人了要影響基板25的電子束通量的空間分佈,可 幅。_細節可在在 Μ曰所k出之吳國專利申請案第11/xxxxxx號中找 18 200828432 到,其發明名稱為「藉由RP調變來控制彈道電子束的均勻产 法及系統」;其全部内容在此作為參考資料。 n 更進一步,阻抗匹配網路42可藉由減少反射能量來改善在命 漿處理室10中的RF能量轉換成電漿。匹配網路拓樸學(^ 型、善等者)及自動化控制方法是熟知本技藝者所廣知的。 仍然參妝圖3,電漿處理系統ia更包含轉合於面對著基 的上電極52的直流(DC)電源供應器5〇。上電極52可以包 極板。電極板可以包含含石夕電極板。此外,電極板可以包含換 的石夕電極板。DC電源供應器可以包括可變的Dc電源供應器。 外,DC電源供應器可以包括雙極性%電源供應器。%電 ,器50可錢包含絲實施監視、調整或是控制極性、電流、、雷 壓j DC電源供應器5〇的Gn/Qff狀態其中至少—個的系統。— 成’Dc電源供應115G促進彈道電子束的形成。可以用 龟濾波裔來從DC電源供應器50退輕Rp電源。 »舉例而5,由DC電源供應器50施加於電極52的DC電壓的 = 伏特(V)到大約咖V。所欲者為,Dc電】 是大於大約1〇0V ’且更欲者為,DC電壓的絕對 疋500 V。此外,所欲者為DC電屋具有負極性。 庄i ^ ’疋負電壓的dc電壓具有大於從上電極52的表面所產 ^幫浦系統3G可以包括幫浦速度高達每秒5_公升(及 空幫浦(TM?)、及調節室壓力的閘門閥。在 乾式賴細的賴纽裝置巾,可㈣每秒觸〇到 mT:卜㈣在較低賴力處理,通常少於50 哭幫浦處理(亦即大於1GGmTGrr),可以使職械推進 ㈣浦。更進一步,可以_合用來監視室壓力的 電聚處理室1〇。壓力測量裝置可以是,例如從 tniments, Inc. (Andover, ΜΑ) ΐ Type 628Β 19 200828432It is coupled to the plasma processing chamber 8 and serves to support the substrate 3 and the electrode 9 to contact the plasma. In addition, the processing system i includes an Ac: power supply, ^ in the electrical processing chamber 8 and at least one for forming a plasma, the support 2, or the electrodes 9, * are both, and the Dc power supply system γ; The chamber 8 is suffixed to the electrode 9 in order to form a ballistic electron beam passing through the plasma. The belt-to-step 'plasma processing secret 1 contains a processing gas distribution secret 6, coupled to the private water treatment chamber 8, and used to introduce the gas in any of the above embodiments to the electrical processing chamber δ, 'more step-by-step The other - 'Electric _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ ! Furthermore, the controller electrical installation processing 8, the substrate holder 2, the AC power supply system 4, the Dc power supply system 5, and the processing gas system 6 are included, and in order to perform the processing of the processing substrate 3 in the plasma processing chamber 8, j兀 exchange of information. The plasma processing system i can facilitate the processing of the mask layer on the substrate 3, or the processing of the substrate 3, or both. FIG. 3 shows a plasma processing system in accordance with another embodiment. The plasma processing system "contains a plasma processing chamber 10, a substrate holder 2 on which the substrate 25 to be processed is fixed, and a vacuum pump system 30. The substrate 25 may be a semiconductor substrate, a wafer, or a liquid crystal. The plasma processing chamber 10 can facilitate plasma generation of the processing region 15 adjacent the surface of the substrate 25. The ionizable 17 200828432 gas or gas mixture is introduced through a gas injection system (not shown) and lightly applied. In contrast, the paving mechanism (not shown) can be used to adjust the vacuum pumping system. The plasma can (4) be used to fabricate materials specifically for use in predetermined material processing, and/or to assist in removing material from the exposed surface of the substrate 25^ The electropolymerization processing system la can be used to process substrates of any size, such as 2 brewed substrates, 300 mm substrates, or larger substrates. The substrate 25 is fixed to the substrate holder 2 by an electronic steady state clamping system. Further, the substrate holder 20 further includes a cooling system containing a circulating liquid stream that receives a quantity and exchange system (not shown) from the substrate holder during cooling, or a heating percentage from the heat exchange system. A heating system that converts heat to the liquid stream. In addition, the fabric 1_ sends gas to the substrate 25 to improve the air gap heat conduction between the base rods 20. This system can be used when the substrate f is temperature controlled. For example, the rear side gas, the 匕3 and the gas distribution system 'the rear side gas (for example, 氦) pressure is changed from the center and the edge of the opposite side. In other embodiments Γ = for example impedance The airfoil or the Lai heater/cooling Lilt substrate holder 2G, and the chamber wall of the plasma processing chamber 1G and any of them, can also be included in the plasma processing system la 7 Cheng] 5 87 3 households ^^ In the embodiment, 'substrate support 20 contains -electrode, crying in the treatment area ί 4=3= Xuan through this electrode is consumed in the treatment of plasma. For example, you can make the road 4 Γ ff / The rate of the substrate support 20 is electrically biased to the voltage by the optional impedance matching network RF field two-plate holder 20' that is lightly coupled to the substrate holder 20. In this composition, the system can operate as the type of RF biased to use the KP system to === to (10) shame Therefore, it is necessary to influence the spatial distribution of the electron beam flux of the substrate 25, and the width can be found. The details can be found in the WO Patent Application No. 11/xxxxxx No. 11 200829432, the name of the invention. It is "the uniform production method and system for controlling the ballistic electron beam by RP modulation"; the entire contents thereof are hereby incorporated by reference. Further, the impedance matching network 42 can improve the conversion of RF energy in the plasma processing chamber 10 into plasma by reducing the reflected energy. Matching network topology (^ type, good, etc.) and automated control methods are well known to those skilled in the art. Still referring to Figure 3, the plasma processing system ia further includes a direct current (DC) power supply 5 turns that is coupled to the upper electrode 52 facing the base. The upper electrode 52 can enclose a plate. The electrode plate may comprise a stone electrode plate. Further, the electrode plate may include a replacement stone electrode plate. The DC power supply can include a variable DC power supply. Additionally, the DC power supply can include a bipolar % power supply. The % electric device 50 can include a system for monitoring, adjusting, or controlling the polarity, current, and voltage of the Gn/Qff state of the DC power supply 5 〇 at least one of the wires. — The 'Dc power supply 115G promotes the formation of a ballistic electron beam. The turtle filter can be used to remove the light Rp power from the DC power supply 50. » For example, 5, the voltage of the DC voltage applied to the electrode 52 by the DC power supply 50 = volts (V) to about coffee V. If you want, the DC voltage is greater than about 1〇0V ’ and more desirable, the absolute voltage of the DC voltage is V500V. In addition, the desired person has a negative polarity for the DC house. Zhuang i ^ 'the voltage of the dc voltage of the negative voltage is greater than that produced from the surface of the upper electrode 52. The pumping system 3G can include pump speeds of up to 5 liters per second (and empty pump (TM?), and regulating chamber pressure). The gate valve. In the dry-type Lai New device wipes, can (4) touch the mT per second: Bu (four) in the lower processing, usually less than 50 crying pump processing (that is, greater than 1GGmTGrr), can be employed Mechanical advancement (4) Pu. Further, it can be used to monitor the pressure of the chamber. The pressure measuring device can be, for example, from tniments, Inc. (Andover, ΜΑ) ΐ Type 628Β 19 200828432

Baratron絕對電容壓力計。 ^然參關3,賴處理緒以包含含 二Hii 輕合於產生器40、阻抗匹配網路 42、DC電源供應器50、氣體注入***(未顯示)、直 絲 尸:後側氣體,系統(未顯示)、基板/基板支座溫度量二 箝位系統(未顯示),並與其交換資訊。 理的教不來啟動上狀處勒統之 從騰师咖·,Austin,Texas ㈣Baratron absolute capacitance manometer. ^Parameter 3, Lai processing to include two Xii light in the generator 40, impedance matching network 42, DC power supply 50, gas injection system (not shown), straight body: back side gas, system (not shown), substrate/substrate support temperature 2 clamp system (not shown), and exchange information with it. The teaching of the science does not come to start the top of the leno. From Tengjia, · Austin, Texas (4)

WORKSTATION 610™ 〇 ^151UJN 控制器90可以位於電漿處理系統^的相對近端 部網路而位於錢處理系、统1a的相對遠端。因匕 少:盘if處^結 ' 内部網路、或是網路的其中至 端(亦即裝置製造商等者)的__、 部網路、或是網路的其中至少一個來 干之ί ΞΠϊΐί例中’電裝處理系統1b類似於圖2或3所 的均;度,除了如參照及=静善止電^ 應用是熟知本技藝麵廣知的。;#統6()。祕磁㈣5又计及 所示示中,電聚處理系統1_似於圖2及圖3 源到上1極52的選=阻抗匹配網路72齡Μ電 生抑70。典型應用在上電極52的RF電源 20 200828432 頻率範圍在大約0.1 MHz到大約200MHz。此外,血型應用在美 板支座20的電源頻率範圍在大約ai g到大約l〇〇M^z。舉^列 而言,輕合於上電極52的RF頻率可以是相對高於耦合於基板支 座20的RF頻率。更進一步,Rp產生器7〇產生到上電極52的 RP電源可以是振幅調變的、或是RF產生器4〇產生到基板支座 20的RP電源可以是振幅調變的、或是二妳電源皆可是振幅調變 的。所欲者為,較高RF頻率之RF電源為振幅調變的。此外,為 了要控制RF電源施加於上電極70,控制器9〇輕合於jyp產生二 70及阻抗匹配網路72。上電極的設計及應用式熟知本技藝者磨The WORKSTATION 610TM 〇 ^ 151 UJN controller 90 can be located in the relatively proximal end of the plasma processing system and located at the opposite end of the money handling system 1a. Because there is less: at least one of the internal network, or the __, the network, or the network of the network (that is, the device manufacturer, etc.) In the example of the <RTIgt; </ RTI> <RTIgt; </ RTI> <RTIgt; </ RTI> <RTIgt; </ RTI> <RTIgt; </ RTI> <RTIgt; </ RTI> <RTIgt; ;#统6(). The magnetism (4) 5 is also taken into account. In the illustrated embodiment, the electro-polymerization processing system 1_ is similar to the selection of the impedance-matching network of the source-to-upper pole 52 of FIG. 2 and FIG. Typical application of RF power to the upper electrode 52 20 200828432 The frequency range is from about 0.1 MHz to about 200 MHz. In addition, the blood type is applied to the power supply frequency of the board support 20 in the range of about aig to about l〇〇M^z. For the column, the RF frequency that is lightly coupled to the upper electrode 52 can be relatively higher than the RF frequency coupled to the substrate holder 20. Further, the RP power source generated by the Rp generator 7 to the upper electrode 52 may be amplitude-modulated, or the RP power generated by the RF generator 4 to the substrate holder 20 may be amplitude-modulated or The power supply can be amplitude modulated. As desired, the RF power supply at a higher RF frequency is amplitude modulated. Further, in order to control the application of the RF power to the upper electrode 70, the controller 9 is lightly coupled to the jyp generating unit 70 and the impedance matching network 72. The design and application of the upper electrode are well known to those skilled in the art.

知的。 ^ ^ 、仍然參,¾圖5 ’DC電源供應器50可以直接|馬合至上電極52、 或也可以耗合至從阻抗匹配網路72之輸出端延伸出的奸傳輸線 以連接至上電極52。可以用電濾波器來從Dc電源供應器退搞砂 電源。 在圖6所示之實施例中,電漿處理系統丨d可以類似於圖2、3、 ^ 4所示之實施例,且能更包含經由RP產生器82通過選用的阻 抗匹配為84耦合RF電源的感應線圈8〇。处電源係從感應線圈 80通過介電窗(未顯示)感應地耦合於處理區域15。典型應用於 感應線圈80的RF頻率範圍在大約10MHz到大約1〇〇MHz。相 似地’典型應用於基板支座2〇的電源頻率範圍在大約〇1 MJiz到 大約100 MHz。此外,可以使用切槽式Faraday屏蔽(未顯示)以 降低在感應線圈80及電漿之間的電容性耦合。此外,為了要控制 施加至感應線圈80的電源,控制器90耦合於RF產生器η及阻 抗匹配網路84。在替換性的實施例中,感應線圈8〇可以是如變壓 裔耦合電漿(TCP)反應器中之從上面與處理區域15連接的「螺 線管形」線圈或是「薄餅狀」線圈。感應耦合電漿(lcp)源、或 是電漿耦合變壓器(TCP)源的設計及應用式熟知本技藝者所廣知 的。 、 或者,電漿可以用電子迴旋加速震盪器(ECR)形成。在又 21 200828432 另一實施例中,電漿從發射Helicon波而形成。在又另一實施例 中’電漿係從傳播表面波形成。上述之各個電漿源是熟知本技蓺 者所廣知的。 在圖7所示之貫施例中,電漿處理系統ie可以,例如,類似 於圖3、4、及5所示之實施例,且能更包含第二处產生哭私, 通過另一個選用的阻抗匹配網路46耦合RF電源至基板支^ 2〇。 不論是第- RJF產生器40或是第二RF產生器44或是兩者同時, 典型應用於基板固持20的RF電源頻率範圍在大約〇丨到大 約200 MHz。第二rf產生器44的处頻率可以相對大於第一即 產生器40的頻率。更進一步,從好產生器恥施加於基板支座 20的RF電源可以是振幅調變的,或是從好產生哭私 板支座20的RP電源可以是振幅調變的,或是兩電源皆^ 振幅调變的。所欲者為’較高RP頻率之即電源為振幅調變的。 此外,為了控制施加於基板支座2〇之Rp電源,控制 第二,,及阻抗匹配電路46。基板支座 e十及應用疋沾知本技藝者所廣知的。 十的討論中,呈現細具有彈道電子束的電漿處理系統 來餘刻賴的方法。糊而言,賴處理彡統可吨含元 例如圖1到圖7中之元件及其組合。 圖8顯示根據本發明一實施例之钕刻薄膜方法之流 步 驟500以處理遮罩層的51〇作為開始,遮罩層具有圖案形成於其 中,且位在基板上的一薄膜之上方。 W、 遮罩層可以用任何前述的實施例來處理。舉例而今,声 的處理包括暴露遮罩層於含氧電漿、或是含㈣ I 1 氣體電漿、或是其中兩者或更多者的組合。或者, 護層在遮罩層上。又或是另外-者 理可以已括祕群層於缺少原子狀態之鹵素物種的電中。 又或是另外-者、,遮罩層的處理包含上述任何處理之組合。 在52〇中’為了要把形成在遮罩層中的圖案轉移到底下的薄 22 200828432 電漿處理系統中,(處理)帝將σ益二勺/、吊,例如LER。在 ;處理氣斷的=及導 合DC電源到賴處理系财的電極及藉的==的。糟由竊 里位準取決於施加在電極之DC電壓大小的J能。f I^C電源是I馬合至電漿處理系統。舉例而言 應,加於繼理系統之DC電壓範圍—】二= 到大約ωοοv。所欲者為,DC電壓的值^巧=寸(v) =v ’更欲者為,DC賴的絕對值等於或是 卜:所欲者為’ DC電壓具有負極性。更進,所為啻 壓是具有絕對值大於由電赞虚疎糸#所奴者為DC電 壓電壓的負電壓。處理糸統之紐表面所產生的自身偏 已經詳細描述·蚊實_,熟知本技藝者當可 ^易了~ ’在不麟本㈣之新驗示仍呈: 【圖式簡單說明】 在附圖中: 之實施_電祕理魏的概略表示圖; if不根據本發明之另-實施例的電漿處理系_概二. 圖4減不根據本發明之另—實施綱電漿處理彡統的^· ,5顯示根據本發明之另—實關的電漿處n 圖;細根據本發明之另—實施例的電漿處理系統的概略ΰ 圖8頒不使用根據本發明之一實施例的電装的基板處理方法。 23 200828432 主要元件符號說明: 1 :電漿處理系統 la :電漿處理系統 lb :電漿處理系統 lc ··電漿處理系統 Id :電漿處理系統 le ··電漿處理系統 2 :基板支座 3 :基板 4 ·· AC電源系統 5 : DC電源系統 6:氣體分佈系統 7:控制器 8 :電漿處理室 9 :電極 10 ··電漿處理室 15 :電漿處理區域 20 :基板支座 25 :基板 30 :真空幫浦系統 40 : RF產生器 42 :阻抗匹配網路 44 :第二RF產生器 46 :阻抗匹配網路 50 : DC電源供應器 52 :上電極 60:磁場系統 70 : RF產生器 72 :阻抗匹配網路 200828432 80 :感應線圈 82 : RJF產生器 84 :阻抗匹配網路 90 &gt;控制器 120 : 第一電極 . 125 130 • 135 140 φ 150 170 172 500 510 520 基板 電漿 彈道電予束 第一 RF產生器 DC電源供應器 第二RF產生器 第二電極 步驟 處理在基板上之一遮罩層 在處理該遮罩層之後,使用電子束辅助的電將來蝕刻該基板 25Known. ^^, still referenced, the DC power supply 50 can be directly coupled to the upper electrode 52, or can be consuming to the smear transmission line extending from the output of the impedance matching network 72 to connect to the upper electrode 52. An electric filter can be used to reclaim the sand power from the Dc power supply. In the embodiment shown in FIG. 6, the plasma processing system 丨d can be similar to the embodiment shown in FIGS. 2, 3, and 4, and can further include 84-coupled RF via the RP generator 82 through selective impedance matching. The induction coil of the power supply is 8 turns. The power source is inductively coupled to the processing region 15 from the induction coil 80 through a dielectric window (not shown). The RF frequency typically applied to the induction coil 80 ranges from about 10 MHz to about 1 〇〇 MHz. Similarly, the power supply frequency typically applied to the substrate support 2〇 ranges from approximately M1 MJiz to approximately 100 MHz. In addition, a slotted Faraday shield (not shown) can be used to reduce the capacitive coupling between the induction coil 80 and the plasma. Additionally, to control the power applied to the induction coil 80, the controller 90 is coupled to the RF generator η and the impedance matching network 84. In an alternative embodiment, the inductive coil 8 can be a "spigot-shaped" coil or a "pancake-like" coil that is connected to the processing region 15 from above in a transformer-coupled plasma (TCP) reactor. . The design and application of inductively coupled plasma (lcp) sources, or plasma coupled transformer (TCP) sources, are well known to those skilled in the art. Alternatively, the plasma can be formed using an electron cyclotron oscillating oscillator (ECR). In yet another embodiment of 21 200828432, the plasma is formed by emitting a Helicon wave. In yet another embodiment, the plasma is formed from a propagating surface wave. Each of the above plasma sources is well known to those skilled in the art. In the embodiment shown in FIG. 7, the plasma processing system ie can, for example, be similar to the embodiment shown in Figures 3, 4, and 5, and can further include a second place to generate crying, through another selection The impedance matching network 46 couples the RF power to the substrate support. Whether it is the first-RJF generator 40 or the second RF generator 44 or both, the RF power supply typically applied to the substrate holding 20 ranges from about 〇丨 to about 200 MHz. The frequency at which the second rf generator 44 is located may be relatively greater than the frequency of the first instant generator 40. Further, the RF power source applied to the substrate holder 20 from the generator shame may be amplitude-modulated, or the RP power source from which the crying board holder 20 is generated may be amplitude-modulated, or both power sources may be ^ Amplitude modulation. The desired one is 'higher RP frequency, that is, the power supply is amplitude-modulated. Further, in order to control the Rp power applied to the substrate holder 2, the second, and impedance matching circuit 46 is controlled. Substrate holders e and applications are well known to those skilled in the art. In the discussion of X, a plasma processing system with a fine ballistic electron beam was presented to sculpt the method. In the case of pastes, the components of the lanthanum can be used, such as the elements of Figures 1 through 7, and combinations thereof. Figure 8 shows a flow step 500 of a method of etching a film in accordance with an embodiment of the present invention to process 51 Å of a mask layer having a pattern formed thereon and positioned over a film on a substrate. W. The mask layer can be processed by any of the foregoing embodiments. For example, the processing of sound includes exposing the mask layer to an oxygen-containing plasma, or containing (IV) I 1 gas plasma, or a combination of two or more thereof. Alternatively, the sheath is on the mask layer. Or, in addition, it may have been included in the electricity of halogen species lacking atomic states. Alternatively or additionally, the processing of the mask layer comprises a combination of any of the above. In the 52〇, in order to transfer the pattern formed in the mask layer to the bottom 22 200828432 in the plasma processing system, (processing) Emperor will take two spoons / hang, such as LER. In the treatment of the air-breaking = and the conduction of the DC power supply to the processing of the fuel electrode and borrowed ==. The level of the scam is determined by the J energy applied to the DC voltage of the electrode. f I ^ C power supply is I Ma to the plasma processing system. For example, the DC voltage range applied to the processing system -] two = to about ωοοv. The desired value is that the value of the DC voltage is ^ = = inch (v) = v ‘ more desirable, the absolute value of DC 赖 is equal to or 卜: the desired one is 'the DC voltage has a negative polarity. Further, the pressure is a negative voltage having an absolute value greater than the voltage of the DC voltage that is absent from the electric praise. The self-biasing of the surface of the New Zealand has been described in detail. · Mosquito _, knowing the skill of the artist can be easy~ 'The new test in the non-Linben (4) is still: [Simple description of the picture] In the drawings: the implementation of the schematic diagram of the electrical secrets Wei; if not according to another embodiment of the invention, the plasma processing system _ two. Figure 4 minus the other according to the invention - implementation of the plasma treatment 彡Figure 5 shows an outline of a plasma processing system according to another embodiment of the present invention; a schematic diagram of a plasma processing system according to another embodiment of the present invention. Figure 8 is not used in accordance with one embodiment of the present invention. The substrate processing method of the electrical equipment of the example. 23 200828432 Main component symbol description: 1 : Plasma processing system la : Plasma processing system lb : Plasma processing system lc · Plasma processing system Id : Plasma processing system le · Plasma processing system 2 : Substrate support 3: Substrate 4 · AC power supply system 5 : DC power supply system 6 : Gas distribution system 7 : Controller 8 : Plasma processing chamber 9 : Electrode 10 · Plasma processing chamber 15 : Plasma processing region 20 : Substrate support 25: Substrate 30: Vacuum pump system 40: RF generator 42: Impedance matching network 44: Second RF generator 46: Impedance matching network 50: DC power supply 52: Upper electrode 60: Magnetic field system 70: RF Generator 72: impedance matching network 200828432 80: induction coil 82: RJF generator 84: impedance matching network 90 &gt; controller 120: first electrode. 125 130 • 135 140 φ 150 170 172 500 510 520 substrate plasma Ballistic electric pre-beam first RF generator DC power supply second RF generator second electrode step processing one of the mask layers on the substrate after processing the mask layer, using electron beam-assisted electricity to etch the substrate 25

Claims (1)

200828432 十、申請專利範圍: 1. 一種钱刻方法, 化遮罩層之一薄 遮罩層處理步驟,藉由ϋ 含鹵素電漿、或一惰性氣200828432 X. Patent application scope: 1. A method of engraving, one of the mask layers is a thin mask treatment step, by ϋ halogen-containing plasma, or an inert gas 中來處理該遮罩層;及Processing the mask layer; and 蝕刻步驟, 層之一圖案到言Etching step, one of the layers is patterned ’在一電漿系統中從一處理氣體形成電 電漿形成步驟,Forming an electrical plasma forming step from a process gas in a plasma system, 基板恭露步驟,將該基板暴露於該電漿及該電子束中。A substrate grooming step exposes the substrate to the plasma and the electron beam. 3·如申請專利範圍第2項之蝕刻方法,其中該遮罩層處理步驟更 包含將該遮罩層暴露於N2、H2、CN、鈍氣、献其中兩者或 更多者的組合之中。 4·如申’專利範圍第2項之飯刻方法,其中該遮罩層處理步驟更 包含將6亥遮罩層暴露於一含鹵素氣體中。 5·如申請專利範圍第〗項之蝕刻方法,其中該遮罩層處理步驟包 含將該遮罩層暴露於利用Cl2、Br2、F2 、HBr、Ha、HF、C2H4Br2、 SiOrNFs'SF6、或是其中兩者或更多者的組合所形成的電漿 之中。 26 200828432 6.如申請專利範圍第5項之餘古 包含將該遮罩層暴露/方法’其中該遮罩層處理步驟更 更多者的組合之中。2 2、CN、鈍氣、或是其中兩者或 7t如申請專利範圍第5項 包含將該群層暴露於—含m其中該鱗層處理步驟更 8·如申請專利範圍第1項之钱 含將該遮罩縣露於在竹^、’/、巾該遮罩層處理步驟包 漿係藉由將系統中形成的電聚中,該電 另電極、或疋輕合到-基板支二 或更多者的組合之中而形成。Α ^疋耗口到上述中之兩者 9. 如申明專利範圍第8項之钱刻方法,其中該罩声 暴露於利用功率位準低於或是等於: 成之一低功率電漿中。 η7° 10. 1項之爛方法,其中該遮罩層處理步驟包 ifΐί罩層恭路於形成在輕合至該電装處理系統的一遠端 電漿源中的電漿。 响 n· 專利圍第1項之茲刻方法,其中該Dc電源_合步驟 包含電壓範圍在大約一2000 V到大約1〇〇〇乂的DC電源之耦 合。 12.如申請專利顧第丨項之_方法,其中該%獅耦合步驟 包含耦合具有負極性的DC電源,其中該Dc電源之絕對值大 於或等於大約500 V。 27 200828432 13.如申請專利範圍第丨項之蝕 =合DC電源到面對著設置在二基驟 14·如申請專利範圍第13項之蝕 含射頻(RF)電源耦合步驟,其該電漿形成步驟包 或是麵合到該電極以外的另一電極雜合到該電極、 或是_谢之蝴辑卜基板支座、 丄其中該射頻,電源耦 及以低於該第一 合^f到該上電極、 到該基板支座。 奸解_合—第二处電源 16·如=請專利範圍第14項之綱方法,更包含. ^該RP電源之振幅,以調整該電子束之電子束通量的空間 17. ί:ϊ5ΐί,1項之餘刻方法,其中在祕刻步驟之前, 該含氧電槳或是該含«電漿中使於該 X 守开y成在該遮罩層中的線緣粗度減小。 18. ϊΓίΐ=,1 ΐ之侧方法,其中該遮罩層處理步驟實 度形成中 刻時使該圖案化的遮罩阻止線緣粗 頁之烟方法,其中該遮罩層處理步驟包 k遮罩層恭路在以He、Ne、Ar、Xe、、或是其中兩者 28 200828432 或更多者的組合所形成的電漿中 20·如申請專利範圍第i項之蝕刻方法,更包含: ^遮罩層處理步驟之前,為了要改γ該遮罩層, g原子狀態的鹵素物種的-縣軸彳電子束預先處理該遮罩、 21· 方法,其巾該_層冑畔㈣ ,^ 乐一灿頻率耦合一第二RF電源至丨丨缔装 板支座,且其中該第二RF電源小於或等於大約i〇〇wy4 專利範圍第21項之綱方法,其中該第二即電源實際 5法二:以蝕刻形成在一基板上且於其上方具有圖宰 化遮罩層之-賴,該侧方法包含: 扣茶 電子基板設置在用來形成—電漿及一彈道 23. :子束之一電漿處理系統中的一基板支座上. 露於步驟漿處理系統中之該遮罩層暴 者或更多者的組合、或是其中兩 子束;及 &lt;甲不處理該遮罩層,且無須形成一彈道電 為形成步驟’在該遮罩層處理步驟之後, 在該電漿處二絲,移該圖案化光罩之一圖案到該薄膜,布 連電水處理錢中形成-電漿及-彈道電子束。 24. :;;ί處理系統’用來侧-基板,包含: 29 200828432 一氣體供應系統,用來供應一氣體到該處理室中; 一基板支座,耦合於該處理室且用來支撐該基板;’ 一電極,設置於該處理室之内部; :AC電源系統,合_處理室,且為了要在該處理室 ,合於該處理室’且為了要形成通過該電漿 彈k電子束’而將- Dc電壓信號執合到該電極 應系統、該AC電源系統、及該 遮^層處理步驟,藉由將該電漿處理系 電漿、一含峨漿、或-惰性氣體、或 ϋ ί者的組合之中來處理該遮罩層,且無須形成-彈道電 電彈道電子束开&gt;成步驟,在該遮罩層處理步驟之後, ίί轉移該圖案化光罩之一圖案到該薄膜,而 在該電漿處理糸統中形成一電漿及一彈道電子束。 Α 刻形成在—基板上且於其上方具有圖案 化遮罩層之一溥膜,該蝕刻方法包含: 罩^護/形成步驟’在該遮罩層上形成一保護層以保護該遮 以刻步驟㈣在該保護層形成步驟之後,蝕刻該薄膜, 〜遮罩層之—圖雜制蘭膜;該細綱步 電裝;電漿形成步驟,在-電襞處理系統中從—處理氣體形成 漿處理合步驟’將一直流電源輕合到該電 Γ Ϊ中電極,以在該電衆處理系統中形成-電子 束,俾於該蝕刻時辅助該電漿;及 30 200828432 基板暴露步驟,將該基板暴露於該電漿及該電子束中。 26·如申請專利範圍第25項之姓刻方法,其中該保護層形成步驟 包含將該基板暴露於一沉積氣體電漿中。 27·如申請專利範圍第26項之钱刻方法,其中該保護層形成步驟 包含將該遮罩層暴露於一含碳氫化合物電漿、一含氟碳化合物 電漿、一含碳氟氫化物電漿、或是其中兩者或是更多者的組合 中。 汉如申請專利範圍第26項之钱刻方法,其中該保護層形成步驟 包含將該遮罩層暴露於由 C3H8、C4H6、C4H8、C4H1()、C5H8、c5Hl()、c6h6、c6HiQ、c6Hi2、 c2F6 ^ cf4 ^ c3f8 v c4F8 ^ c5f8 ^ c4f6 ^ ch2f2 &gt; chf3 ^ ch3f &gt; C2HF5、或是其中兩者或更多者所形成的電漿之中。 29.n請專利範圍第28項之侧方法,其中該保護層形成步驟 更匕含將該遮罩層暴露於H2、02、c〇、c〇2、N0、N 、 N2、CN或是其中兩者或更多者的組成中。 30'ΐ1ΐ專利範圍*26項之侧方法,其中該保護層形成步驟 G 3將該遮罩層浸入醇類中。 31.如包====其;^形成步驟 電水係猎由將AC電源麵合到該電極、或是輕合到該電極以外 31 200828432 的另一電極、或是耦合到一基板支座、或是耦合到上述中之兩 者或更多者的組合之中而形成。 Λ 33·如申請專利範圍第32項之蝕刻方法,其中該將該遮罩層暴霖 於電漿中包含將該遮罩層暴露於使用一功率位準大於或曰是 於大約500W之一電源所形成的一低功率電羡中。 、 如申請專利範圍第26項之細方法,其中該將該遮罩声 於?漿中包含將it遮罩層暴露於在齡於該電處u -遠端電漿源中形成的電漿中。 35·如申請專利範圍第25項之蝕刻方法,其中誃 v I,]^:,wo v ^ ^ 36. 如申請專利範圍第25項之餘刻方法,立. 耗合步驟包含具有貞極㈣DC鶴之輕:直Λ()電源 的絕對值大於或是等於大約叙私’其中該%電源 37. 如申請專利範圍第25項之侧方法, 含將DC電源“面對;= ,射頻⑽)電源耦頻漿形5步驟包 或是到上述其中之兩者或更多者是到-基板支座、 39·如申請專利範圍第38項之钱刻方法, 源 其中該射頻(RF)電 32 200828432 電子束通量的空間 41. 如申請專利細第25項之綱方法, 為 該遮罩層上形成該保護層的步 ;^於士,刻之雨,在 線緣粗度。 ~减小蝕刻¥在該遮罩層中的 42·如申請專利範圍第25項 包含藉由以-第,頻率ti!法第驟 及以低於該第-RF頻率之一第_ β 電原到該上電極、 到該基板支座來形成-沉積電漿―,且fig電源 或是等於大約5娜,料二w 於 43.ί:ί專利卿42項之刪杨其t該第:__ 44· 一種蝕刻方法,用以蝕刻形成一 化遮罩層之-薄膜,該韻刻方法包ς:。、上方具有圖案 彈圖走Hi的^罩層上形成一保護層’該保護層且有在-J道j子束辅助電_處理時用以保護該遮罩層:預有定在厚 之一圖了要綱該_及轉移該遮罩 漿侧處理,、在^基板上施行道電子束輔助的電 33 200828432 其中該預定的厚度範關在大約irnn到大約2〇〇nm。 範圍 45.如申請專利範圍第44項之钱刻方法,其中該預定的厚度 從大約50nm到大約lOOnm。 .46· 一^^^^系統,用來铜-基板,該電漿處理系統包含: -氣體供應系統,絲供應_氣翻該處理室中; -基板支座,_合於該處理室,朋以支撐該基板; φ 一電極,設置於該g理室之内部; —AC電源系統,耦合於該處理室,且用來輕合至少 AC ^號到該基板支座、或該電極、或是一〃 t中形成-電漿; $疋此-者’以在_理 - dc電源系統’齡於該處理室,且用綠合—d 到該電極,以形成通過該電漿之一彈道電子束;及 电&amp; 一控制器、,絲控制該氣體供應系統:該Ac電源 及該DC電源系統以施行以下步驟: ,、、、 在该遮罩層之上形成一保護層,以保護該遮罩層. • •及呆護層之後’在該電裝處理系統中开】成-電 侧該薄膜及轉移該圖案化遮罩之圖 47· 方法,収細形成在—基板上膽其上方具有圖荦 化遮罩層之一薄膜,該蝕刻方法包含: a柔 ^層處理步驟,為了要改_遮罩層,而以缺少原 鹵素物種的一預先蝕刻電子束處理該遮罩層;及、^、 在該遮罩層處理步驟之後,為了要轉移該遮罩之-桃,而在-電漿處理系統中_該薄膜,該_步 34 200828432 從一蝕刻氣體中形成—蝕刻電槳; 射,丨ΪΪΪ漿耦合直流⑽)電源至,極以形成- J電子束,俾於該蝕刻時辅助該蝕刻電漿;及 將該基板暴S於該綱電漿及該侧電子束中。 48.=請專利範圍第47項之綱方法,其中該遮罩層處 ^ :將該基板配置_電漿處轉統中,及利_合於該電 水處理糸統之一電子束源處理該遮罩層。 第47項之餘刻方法,其中該遮罩層處理步驟 將該基板配置於該賴處理系賊外的另—基板處理系 層。’及利用輕合於該基板處理系統之一電子束源處理該遮罩 請專利範圍第47項之飯刻方法,其中該遮罩層處理步驟 置步驟,將絲板置於該電漿處理緣巾之—基板支 刻電子束形成步驟’轉合dc電源至該電漿處理***中 之該電極,以形成該預先蝕刻電子束;及 、 步驟’將絲板絲於_先_魏預先蝕刻 51·ΞΓ=巧圍^項之侧方法,其中該預先侧電漿形 成V驟包3攸一或更多種惰性氣體形成該預先钱刻電漿。 兑如申請糊顧W狀爛枝,其巾_先細電聚形 35 200828432 預 成步驟包含從-或更乡鋪性氣體及CKP3的混合物形 先餘刻電漿。 53.如申請專難_ 5〇項线财法,其巾該縣 形錄驟包含將DC電源编合到面對著該基板支座上之m 之’^上電極。 54·如申請糊細第5G項之_方法,其巾該預先綱電 形成步驟包含具有負極性的DC電源之搞合,其 的絕對值大於或是等於大約5〇〇V。 電源 込2請專利範圍第50項之餘刻方法,其中該預先_電_ ,步驟包含將射頻(RF)電源耦合m魏到^ =ί ί:ΐ?严合到該基板支座、或是 贱是合,物娜峨功率位準小 專植㈣47項之蝴方法,其中該預纽刻電 形成步驟包含電壓範圍從大約—勘〇¥到大約咖 電源之搞合。 57 如=專利範圍第47項之侧方法,其中該預先飯刻電子束 形成;/驟包含具有負極性的Dc電源_合 _ 的電壓之絕對值大於或是等於5〇〇v。. /、MDC電源 5δ.ϊΓί=Ξ第47蝴方法,其中該預先侧電子束 =ϊ 電源耦合至面對著設置在-基板支座上之 孩暴板的一上電極。 36 200828432 59.如申請專利範圍第58項之钱刻方 巧以外的另—電極、或是輕合 =又到該電 中之兩者或是更多者的組合。极文|次疋耦合到上述 6〇·如申請專利範圍第59項之侧方法, 驟包含以-第- RF頻輪合—第一即中電源原之耦合步 以低於該第-RF頻率的一第二卵頻率^、_第/一上電極’及 該基板支座。 、 口弟一卵電源至 61·如申請專利範圍第59項之飿刻方法,.更 =該RP電社振幅’《調_電子束之電子束通量的空間 其中在該轉驟之前 轴到時形成於層處理步驟,可減少在 遮罩層處理步驟 圖案化的遮罩阻止線緣 63. 如申請專利範圍第47項之钱刻方法,誃 時間,以她刻時使該… 粗度形成於遮罩層中。 64. 如申請專利範圍第47項之蝕 甘士斗 的電子束能量小於祕刻電子束的電子束能量預先餘刻電子束 -或更多個梯級狀束處理該遮罩層時,成 37 200828432 66· —種餘刻方法,利用具有一 + 在1板上之-_,該二二二1的賴處理祕來侧 在該薄膜上形成包含-圖案的-遮罩】· 形成缺少原子狀態的鹵素物種之二第I雷早 3有該遮罩層之絲板暴露於該第-電子束巾,以處理該遮 在該電漿處理系統中,從一蝕丨 在該電漿處理系統中,形成一第:電漿; 暴露於該_賴及該第二電子束中,讀移該圖案 之一 67.:電==^ 一處理室·, 應系統’用來供應—氣體至該處理室; =25處理室’邱來支撐該基板; 一電極,设置於該處理室内部; 為人石源I系統’輕合於該處理室,用來將至少一個ACM 编δ至該基板支座、或是該電極 \ 形成-電漿; 疋H 在該處理室+ β =電m _合於鱗理室,且絲將-dc電壓叙y 至該電極,_成通過該之_彈道電子束;及 二控,’用來控制該氣體供應系統、該AC電源系統 DC電源糸統以執行下列步驟: 及5 w遮ΐϊ處理步驟’利用缺少原子狀態的鹵素物種的一預先告 』迅子束地理該遮罩層’以改質該遮罩層;及 、 在該遮罩層處理步驟之後,於該電聚處理系統中形成谢 及-彈,電子束,以_該薄膜並將 化的之= 移至該薄膜。 Q茶專 383. The etching method of claim 2, wherein the mask layer processing step further comprises exposing the mask layer to N2, H2, CN, blunt gas, and a combination of two or more of them. . 4. The method of claim 2, wherein the masking layer further comprises exposing the 6-layer mask layer to a halogen-containing gas. 5. The etching method of claim 1, wherein the masking step comprises exposing the mask layer to using Cl2, Br2, F2, HBr, Ha, HF, C2H4Br2, SiOrNFs'SF6, or Among the plasma formed by the combination of two or more. 26 200828432 6. The remainder of the scope of claim 5 includes a combination of the mask layer exposure/method' wherein the mask layer processing step is further increased. 2, CN, blunt gas, or both of them or 7t as in the scope of the patent application, item 5 includes exposing the group layer to - containing m, wherein the scaly layer processing step is further 8 · as claimed in claim 1 The masking county is exposed to the bamboo layer, the '/, the mask layer processing step of the pulping system, by electroforming the electricity formed in the system, the electric electrode, or the crucible is lightly bonded to the substrate substrate Formed by a combination of more or more. Α 疋 疋 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. Η7° 10. The method of ruining, wherein the mask layer processing step includes a layer of plasma formed in a remote plasma source that is lightly coupled to the electrical processing system. The method of engraving the first item of the patent, wherein the Dc power supply_combination step comprises a coupling of a DC power supply having a voltage ranging from about 2,000 V to about 1 Torr. 12. The method of claim 2, wherein the % lion coupling step comprises coupling a DC power source having a negative polarity, wherein the absolute value of the Dc power source is greater than or equal to about 500 volts. 27 200828432 13. The etch of the Scope of the Scope of the Patent Application Scope = the DC power supply to face the eclipse-containing radio frequency (RF) power coupling step set in the second base step 14 Forming a step package or another electrode that is bonded to the other electrode than the electrode, or the substrate support, or the RF, the power supply coupling and lower than the first combination To the upper electrode, to the substrate holder.奸 _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ A residual method of one, wherein before the secreting step, the oxygen-containing electric paddle or the thickness of the wire edge in the plasma layer that causes the X to be yed in the mask layer is reduced. 18. The method of ϊΓίΐ=, 1 ΐ, wherein the mask layer processing step forms a smoke method in which the patterned mask prevents the thick edge of the line edge, wherein the mask layer processing step covers The cover layer is in a plasma formed by a combination of He, Ne, Ar, Xe, or a combination of two of them 2008 200828432 or more. 20 The etching method of the i-th aspect of the patent application includes: Before the mask layer processing step, in order to change γ the mask layer, the g atom state of the halogen species - the county axis 彳 electron beam pre-processes the mask, the method, the towel _ layer 胄 (4), ^ The first RF power source is coupled to a second RF power source to the armor plate carrier, and wherein the second RF power source is less than or equal to about i〇〇wy4, the method of the 21st patent range, wherein the second power source is actually 5 method 2: forming an etch on a substrate and having a patterned mask layer thereon, the side method comprises: the tea-making electronic substrate is disposed to form a plasma and a ballistic 23. One of the bundles in a plasma processing system on a substrate support. a combination of the mask layer or more of the mask layer, or two of the bundles; and &lt; A does not process the mask layer, and does not need to form a ballistic electricity for the forming step 'processing in the mask layer After the step, a pattern of one of the patterned reticle is transferred to the film at the plasma, and a plasma-and-ballistic electron beam is formed in the electric water treatment. 24. :;; ί processing system 'for side-substrate, comprising: 29 200828432 a gas supply system for supplying a gas into the processing chamber; a substrate holder coupled to the processing chamber and for supporting the a substrate; an electrode disposed inside the processing chamber; an AC power system, a processing chamber, and in order to be in the processing chamber, in the processing chamber' and in order to form an electron beam through the plasma bomb 'and the -Dc voltage signal is applied to the electrode system, the AC power system, and the mask processing step by treating the plasma with a plasma, a slurry, or an inert gas, or遮 者 者 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理 处理a film, and a plasma and a ballistic electron beam are formed in the plasma processing system. Forming a germanium film on the substrate and having a patterned mask layer thereon, the etching method comprising: a masking/forming step of forming a protective layer on the mask layer to protect the mask Step (4) after the protective layer forming step, etching the film, the mask layer is patterned, the blue film is formed, the plasma forming step is formed, and the plasma is formed in the electrothermal processing system. a slurry processing step of 'sending a power source to the electrode of the electrode to form an electron beam in the battery processing system, assisting the plasma during the etching; and 30 200828432 substrate exposure step, The substrate is exposed to the plasma and the electron beam. 26. The method of claim 25, wherein the protective layer forming step comprises exposing the substrate to a deposition gas plasma. 27. The method of claim 26, wherein the protective layer forming step comprises exposing the mask layer to a hydrocarbon-containing plasma, a fluorocarbon plasma, a fluorocarbon-containing fluorocarbon. Plasma, or a combination of two or more. The method of claim 26, wherein the protective layer forming step comprises exposing the mask layer to C3H8, C4H6, C4H8, C4H1(), C5H8, c5H1(), c6h6, c6HiQ, c6Hi2 c2F6 ^ cf4 ^ c3f8 v c4F8 ^ c5f8 ^ c4f6 ^ ch2f2 &gt; chf3 ^ ch3f &gt; C2HF5, or a plasma formed by two or more of them. 29. The method of claim 28, wherein the protective layer forming step further comprises exposing the mask layer to H2, 02, c〇, c〇2, N0, N, N2, CN or The composition of two or more. The method of claim 30, wherein the protective layer forming step G3 immerses the mask layer in an alcohol. 31. If the package ==== it; ^ formation step of the electric water system by the AC power supply surface to the electrode, or lightly coupled to the other electrode of the electrode 31 200828432, or coupled to a substrate support Or formed by being coupled to a combination of two or more of the above. 33. The etching method of claim 32, wherein the storming the mask layer to the plasma comprises exposing the mask layer to a power source having a power level greater than or greater than about 500 W. Formed in a low power power unit. The fine method of claim 26, wherein the masking sound in the slurry comprises exposing the it mask layer to a plasma formed in the u-distal plasma source at the electrical location . 35. The etching method of claim 25, wherein 誃v I,]^:, wo v ^ ^ 36. If the method of claim 25 is the remainder of the method, the consumable step comprises having a bungee (four) DC Crane's light: the absolute value of the direct power supply () is greater than or equal to about the private 'in which the power supply 37. As in the side of the patent application scope 25, including the DC power supply "face; =, radio frequency (10)) The power supply coupling slurry 5 step package or to both or more of the above is a substrate support, 39. The method of claim 38, wherein the radio frequency (RF) power 32 200828432 Space for electron beam flux 41. As for the method of applying for the patent item 25, the step of forming the protective layer on the mask layer; ^ Yushi, engraved rain, line edge roughness. ~ Reduce etching In the mask layer, as in the 25th item of the patent application, the second electrode is included in the upper electrode by the -th, frequency ti! method and at a lower than the first -RF frequency. , to the substrate support to form -deposited plasma -, and the fig power supply is equal to about 5 nano, the second is at 43. ί: ί Patent of the 42nd chapter of the patent, Yang Qi, the first: __ 44. An etching method for etching to form a film layer - the film method, the rhyme method includes: A protective layer is formed on the cover layer and is used to protect the mask layer during the processing of the auxiliary beam: the thickness of the mask layer is pre-defined and the mask is transferred. Slurry-side processing, performing electron beam-assisted electricity on the substrate 33 200828432 wherein the predetermined thickness is about irnn to about 2 〇〇 nm. Range 45. For example, the method of claim 44 of the patent application scope, Wherein the predetermined thickness is from about 50 nm to about 100 nm. The system is used for a copper-substrate, and the plasma processing system comprises: - a gas supply system, a wire supply _ gas turning in the processing chamber; a substrate holder, _ entangled in the processing chamber, supporting the substrate; φ an electrode disposed inside the g-chamber; an AC power system coupled to the processing chamber and used to lightly at least AC ^ Number to the substrate support, or the electrode, or a 〃t to form - plasma; $疋此-者' The _-- dc power system is 'aged in the processing chamber, and uses green-d to the electrode to form a ballistic electron beam through the plasma; and the electric &amp; a controller, the wire controls the gas supply System: the Ac power source and the DC power system perform the following steps: ,,, forming a protective layer on the mask layer to protect the mask layer. • • After the guard layer is in the electric equipment In the processing system, the film is formed on the electrical side, and the film is transferred to the patterned mask. The method is formed by thinning a film on the substrate with a patterned mask layer thereon. The etching method comprises: a soft layer processing step of treating the mask layer with a pre-etched electron beam lacking the original halogen species in order to modify the mask layer; and, after the mask layer processing step, in order to transfer the mask layer Mask-Peach, and in the plasma processing system _ the film, the _ step 34 200828432 formed from an etching gas - etching the electric paddle; shooting, smashing coupled DC (10)) power supply, the pole to form - J electron beam, assisting the etching during the etching Pulp; storms and the substrate S in the plasma and the outline of the side electron beam. 48.=Please refer to the method of Article 47 of the patent scope, wherein the mask layer is: the substrate is configured in the plasma system, and the electron beam source is processed in one of the electrothermal treatment systems. The mask layer. The method of claim 47, wherein the mask layer processing step places the substrate on another substrate processing layer outside the thief. And the method of processing the mask by using an electron beam source that is lightly coupled to one of the substrate processing systems, wherein the mask layer processing step is performed, and the wire plate is placed in the plasma processing edge. The substrate-substrate engraving electron beam forming step 'transfers the dc power source to the electrode in the plasma processing system to form the pre-etched electron beam; and, the step of 'sending the wire plate to the _ first_wei pre-etching 51 The method of the side of the method wherein the pre-side plasma forms a V-package of one or more inert gases to form the pre-external plasma. For example, if you apply for a W-shaped rotten stick, the towel will first be fine-shaped. 35 200828432 The pre-formation step consists of a mixture of - or a mixture of gas and CKP3. 53. If the application is difficult, the county record includes the DC power supply being coupled to the upper electrode facing the substrate support. 54. If the method of applying the paste of the fifth item is applied, the step of forming the pre-form includes a DC power supply having a negative polarity, the absolute value of which is greater than or equal to about 5 〇〇V. The power supply 込 2 requires the remaining method of the 50th patent range, wherein the pre- _ _, the step includes coupling the radio frequency (RF) power supply to the substrate support, or贱是合,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,, 57. The method according to item 47 of the patent scope, wherein the pre-cooked electron beam is formed; and the absolute value of the voltage of the DC power source _ _ having a negative polarity is greater than or equal to 5 〇〇 v. /, MDC power supply 5δ.ϊΓί=Ξ 47th method, wherein the pre-side electron beam = ϊ power is coupled to an upper electrode facing the violent board disposed on the substrate holder. 36 200828432 59. A combination of two or more of the other electrodes, or the combination of the other, or the combination of the other ones. The 文 | 疋 疋 疋 上述 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 如 如 如 如 如 如 如 如 如 如 如 如 如 如 如 如 如 如 如 如 如 如 如 如 如 如 如 如 如 如 如a second egg frequency ^, _ / / upper electrode ' and the substrate holder. , the oral source of an egg to 61 · as in the patent application scope of the 59th engraving method, more = the RP electric company amplitude '" tune the electron beam flux space of the space before the rotation of the axis to When formed in the layer processing step, the mask patterned in the mask layer processing step can be reduced to prevent the line edge 63. As claimed in the patent application, the method of engraving, the time is to make the thickness of the ... In the mask layer. 64. If the electron beam energy of the ecstasy bucket of claim 47 is less than the electron beam energy of the secret electron beam, the electron beam is pre-reserved by the electron beam or more than the stepped beam to process the mask layer, 37 200828432 66· - a residual method using a - _ on the 1 plate, the 222 treatment layer to form a pattern containing - pattern on the film - forming a halogen species lacking atomic state a second wire having the mask layer exposed to the first electron beam towel to treat the mask in the plasma processing system, forming an etch from the plasma processing system a: plasma; exposed to the _ depending on the second electron beam, reading one of the patterns 67.: electricity == ^ a processing chamber, should be used to supply - gas to the processing chamber; 25 processing chamber 'Qiu to support the substrate; an electrode disposed inside the processing chamber; a human stone source I system' lightly coupled to the processing chamber for arranging at least one ACM to the substrate support, or The electrode \ forms - plasma; 疋H in the processing chamber + β = electricity m _ fits in the scaly room, and Wire-dc voltage y to the electrode, _ into the ballistic electron beam; and second control, 'used to control the gas supply system, the AC power system DC power system to perform the following steps: and 5 w a concealing treatment step 'using a pre-announcer of a halogen species lacking an atomic state to geography the mask layer' to modify the mask layer; and, after the mask layer processing step, the electropolymerization process In the system, a laser beam is formed, and the electron beam is used to move the film to the film. Q tea special 38
TW096129002A 2006-08-07 2007-08-07 Method of treating a mask layer prior to rerforming an etching process TWI445074B (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/499,678 US7449414B2 (en) 2006-08-07 2006-08-07 Method of treating a mask layer prior to performing an etching process
US11/499,680 US7642193B2 (en) 2006-08-07 2006-08-07 Method of treating a mask layer prior to performing an etching process
US11/499,679 US7572386B2 (en) 2006-08-07 2006-08-07 Method of treating a mask layer prior to performing an etching process

Publications (2)

Publication Number Publication Date
TW200828432A true TW200828432A (en) 2008-07-01
TWI445074B TWI445074B (en) 2014-07-11

Family

ID=39082334

Family Applications (2)

Application Number Title Priority Date Filing Date
TW096129002A TWI445074B (en) 2006-08-07 2007-08-07 Method of treating a mask layer prior to rerforming an etching process
TW102148013A TWI443743B (en) 2006-08-07 2007-08-07 Method of treating a mask layer prior to performing an etching process

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW102148013A TWI443743B (en) 2006-08-07 2007-08-07 Method of treating a mask layer prior to performing an etching process

Country Status (4)

Country Link
JP (1) JP5271267B2 (en)
KR (1) KR101346897B1 (en)
TW (2) TWI445074B (en)
WO (1) WO2008021609A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI495001B (en) * 2011-11-30 2015-08-01

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5578782B2 (en) * 2008-03-31 2014-08-27 東京エレクトロン株式会社 Plasma processing method and computer-readable storage medium
JP5171683B2 (en) * 2009-02-18 2013-03-27 東京エレクトロン株式会社 Plasma processing method
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
JP5662079B2 (en) * 2010-02-24 2015-01-28 東京エレクトロン株式会社 Etching method
JP6243722B2 (en) * 2013-12-10 2017-12-06 東京エレクトロン株式会社 Etching method
US9368368B2 (en) * 2014-07-21 2016-06-14 Tokyo Electron Limited Method for increasing oxide etch selectivity
JP6587580B2 (en) 2016-06-10 2019-10-09 東京エレクトロン株式会社 Etching method
US10020183B1 (en) * 2017-06-29 2018-07-10 Lam Research Corporation Edge roughness reduction
JP2023170791A (en) * 2022-05-20 2023-12-01 東京エレクトロン株式会社 Modification method and modification device

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5641234A (en) * 1979-09-10 1981-04-17 Asahi Chem Ind Co Ltd Novel molding dope composition
US5597438A (en) * 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
US6093332A (en) * 1998-02-04 2000-07-25 Lam Research Corporation Methods for reducing mask erosion during plasma etching
US7169695B2 (en) 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
JP4538209B2 (en) * 2003-08-28 2010-09-08 株式会社日立ハイテクノロジーズ Manufacturing method of semiconductor device
JP4672456B2 (en) * 2004-06-21 2011-04-20 東京エレクトロン株式会社 Plasma processing equipment
JP4672455B2 (en) * 2004-06-21 2011-04-20 東京エレクトロン株式会社 Plasma etching apparatus, plasma etching method, and computer-readable storage medium
US7829243B2 (en) * 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
JP4827081B2 (en) * 2005-12-28 2011-11-30 東京エレクトロン株式会社 Plasma etching method and computer-readable storage medium
JP5011782B2 (en) * 2006-03-28 2012-08-29 東京エレクトロン株式会社 Semiconductor device manufacturing method, plasma processing apparatus, and storage medium.
US8083961B2 (en) * 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI495001B (en) * 2011-11-30 2015-08-01

Also Published As

Publication number Publication date
KR20090037495A (en) 2009-04-15
JP5271267B2 (en) 2013-08-21
TWI443743B (en) 2014-07-01
KR101346897B1 (en) 2014-01-02
TW201419411A (en) 2014-05-16
JP2010500758A (en) 2010-01-07
TWI445074B (en) 2014-07-11
WO2008021609A1 (en) 2008-02-21

Similar Documents

Publication Publication Date Title
TW200828432A (en) Method of treating a mask layer prior to performing an etching process
TWI231540B (en) Method and apparatus for removing material from chamber and wafer surfaces by high temperature hydrogen-containing plasma
TW394989B (en) Semiconductor device manufacturing and reaction room environment control method for dry etching device
TWI358764B (en)
TWI355019B (en) Hydrogen ashing enhanced with water vapor and dilu
TW538476B (en) Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
TWI509684B (en) A plasma etch device, a plasma etch method, and a computer readable memory medium
TWI478234B (en) Method of etching silicon nitride films
TWI375991B (en) Method for multi-layer resist plasma etch
TWI230967B (en) Method for removing residual metal-containing polymer material and ion implanted photoresist in atmospheric downstream plasma jet system
TWI364631B (en) Methods of removing photoresist from substrates
JP2008512854A (en) Method for removing photoresist on a substrate
US7449414B2 (en) Method of treating a mask layer prior to performing an etching process
TWI514516B (en) Method for protecting exposed low-k surface
TW200818306A (en) Etch method in the manufacture of an integrated circuit
TW201118943A (en) Plasma processing apparatus and plasma processing method
TW200814188A (en) Plasma etching method and computer-readable storage medium
TW200941575A (en) Method for etching silicon-containing ARC layer with reduced CD bias
TW200809959A (en) Photoresist stripping chamber and methods of etching photoresist on substrates
JP3339920B2 (en) Method for plasma etching SiOx material and method for creating metal connection between layers in an integrated circuit
JP2014096594A (en) Plasma etching device and plasma etching method
US7572386B2 (en) Method of treating a mask layer prior to performing an etching process
TWI240366B (en) Method of manufacturing semiconductor device
US20080032507A1 (en) Method of treating a mask layer prior to performing an etching process
TW200926286A (en) Method for etching metal nitride with high selectivity to other materials

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees