JP2002115068A - シャワーヘッド、基板処理装置および基板製造方法 - Google Patents

シャワーヘッド、基板処理装置および基板製造方法

Info

Publication number
JP2002115068A
JP2002115068A JP2000310854A JP2000310854A JP2002115068A JP 2002115068 A JP2002115068 A JP 2002115068A JP 2000310854 A JP2000310854 A JP 2000310854A JP 2000310854 A JP2000310854 A JP 2000310854A JP 2002115068 A JP2002115068 A JP 2002115068A
Authority
JP
Japan
Prior art keywords
substrate
shower head
processed
process chamber
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000310854A
Other languages
English (en)
Inventor
Keiichi Tanaka
啓一 田中
Yasunori Yokoyama
靖典 横山
Takashi Suzuki
敬 鈴木
Terukazu Aitani
輝一 藍谷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to JP2000310854A priority Critical patent/JP2002115068A/ja
Priority to US09/973,124 priority patent/US20020042192A1/en
Publication of JP2002115068A publication Critical patent/JP2002115068A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 プロセスチャンバ内に安定した高密度プラズ
マを発生させることができるシャワーヘッド、基板処理
装置、基板製造方法を提供する。 【解決手段】 プラズマCVD装置1のプロセスチャン
バ2内には、シャワーヘッド10が配置されている。シ
ャワーヘッド10は複数のガス導入孔11を有してお
り、プロセスガスがそれらのガス導入孔11を介して、
ペデスタル5上に置かれたウェハWに向けて供給され
る。シャワーヘッド10におけるペデスタル5と対向す
る面には、全面にわたって、ビーズブラスト処理が施さ
れた粗面部Bが形成されている。これにより、シャワー
ヘッド10におけるペデスタル5と対向する面の面積が
増大するため、プロセスチャンバ2内に均一で高密度の
プラズマが発生する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、プロセスチャンバ
内に配置された半導体ウェハ等の被処理基板に対してプ
ロセスガスを供給するシャワーヘッド、及びこのシャワ
ーヘッドを備えた基板処理装置、並びにこの基板処理装
置を使用して被処理基板の表面に対して成膜を行う基板
製造方法に関する。
【0002】
【従来の技術】基板処理装置の1つであるプラズマCV
D装置は、例えば、プロセスチャンバと、このプロセス
チャンバ内に配置され、ウェハを支持するペデスタル
と、プロセスチャンバ内を減圧排気するポンプと、プロ
セスガスをプロセスチャンバ内に導入するガス導入部
と、プロセスチャンバ内にプラズマを発生させるプラズ
マ発生部とを備えている。プロセスチャンバには、複数
のガス導入孔を有するシャワーヘッドが設けられてお
り、ガス導入部からのプロセスガスがシャワーヘッドを
介してペデスタル上のウェハに向けて均一に供給される
ようになっている。
【0003】このようなプラズマCVD装置において、
ポンプにより減圧されたプロセスチャンバ内にウェハを
搬入してペデスタル上に載置する。そして、ウェハ表面
に向けてシャワーヘッドを介してプロセスガスを導入す
ると共に、プラズマ発生部によりプロセスチャンバ内に
プラズマを生成することよって、ウェハの表面に薄膜を
形成する。
【0004】
【発明が解決しようとする課題】しかしながら、従来の
シャワーヘッドでは、その表面が機械切削終端であった
ため、プロセス中のプラズマがプロセスチャンバ内で不
均一に広がり、プラズマ密度不足となっていた。このた
め、ウェハ上での成膜速度の低下や、ウェハ面内の膜厚
均一性の悪化を引き起こす可能性があった。
【0005】本発明の目的は、プロセスチャンバ内に安
定した高密度プラズマを発生させることができるシャワ
ーヘッド、基板処理装置、基板製造方法を提供すること
である。
【0006】
【課題を解決するための手段】本発明は、プロセスチャ
ンバに設けられ、プロセスチャンバ内に配置された被処
理基板に対してプロセスガスを供給するための複数のガ
ス導入孔を有するシャワーヘッドであって、プロセスチ
ャンバ内に被処理基板が配置された時に被処理基板と対
向する面には、略全面にわたって粗面部が形成されてい
る。
【0007】例えばシャワーヘッドを備えたプラズマC
VD装置においては、上記のようにシャワーヘッドにお
ける被処理基板と対向する面に粗面部を形成することに
より、被処理基板と対向する面の面積が増大するため、
プロセスチャンバ内には略均一でかつ高密度のプラズマ
が発生するようになる。
【0008】好ましくは、粗面部は、被処理基板と対向
する面にビーズブラスト処理を施すことによって形成さ
れている。これにより、粗さ、形状、面積等が安定した
粗面部の形成を、簡単かつ低コストで実現できる。
【0009】この場合、好ましくは、ビーズブラスト処
理に用いるブラスト材の粒径は、♯220〜♯20であ
る。これにより、シャワーヘッドにおける被処理基板と
対向する面を効果的に粗すことができる。
【0010】また、好ましくは、ビーズブラスト処理に
用いるブラスト材のヌープ硬度は、1000〜5000
kg/mm2である。これにより、シャワーヘッドにお
ける被処理基板と対向する面を効果的に粗すことができ
る。
【0011】例えば、ビーズブラスト処理に用いるブラ
スト材の材質は、アルミナ、SiC、SiO2、CO2
いずれかである。
【0012】また、本発明の基板処理装置は、被処理基
板を処理するプロセスチャンバと、プロセスチャンバに
設けられ、プロセスチャンバ内に配置された被処理基板
に向けてプロセスガスを供給するための複数のガス導入
孔を有するシャワーヘッドと、プロセスチャンバ内にプ
ラズマを発生させるプラズマ発生部とを備え、プロセス
チャンバ内に被処理基板が配置された時にシャワーヘッ
ドにおける被処理基板と対向する面には、略全面にわた
って粗面部が形成されている。
【0013】このように被処理基板と対向する面に粗面
部を形成したシャワーヘッドを設けることにより、上述
したようにプロセスチャンバ内に安定した高密度プラズ
マを発生させることができる。
【0014】また、本発明は、上記の基板処理装置を使
用して被処理基板の表面に対して成膜を行う基板製造方
法であって、プロセスチャンバ内に被処理基板を搬入
し、プロセスガスを被処理基板の表面に向けて供給する
と共に、プロセスチャンバ内にプラズマを生成すること
によって成膜を行うものである。
【0015】このように被処理基板と対向する面に粗面
部を形成したシャワーヘッドを備えた基板処理装置を使
用することにより、成膜処理において、上述したように
プロセスチャンバ内に安定した高密度プラズマを発生さ
せることができる。
【0016】好ましくは、被処理基板としてシリコンウ
ェハを用い、このシリコンウェハの表面にチタンシリサ
イド膜を形成する。
【0017】また、被処理基板として酸化シリコンウェ
ハを用い、この酸化シリコンウェハの表面にチタン膜を
形成してもよい。
【0018】この場合、例えば、プロセスガスとしてT
iCl4ガスを含むガスを使用する。
【0019】
【発明の実施の形態】以下、本発明に係るシャワーヘッ
ド、基板処理装置、基板製造方法の好適な実施形態につ
いて図面を参照して説明する。
【0020】図1は、本発明に係る基板処理装置の一実
施形態としてプラズマCVD装置を示した概略構成図で
ある。同図において、プラズマCVD装置1はプロセス
チャンバ2を備えており、このプロセスチャンバ2は、
チャンバ本体3と、このチャンバ本体3の上部に設けら
れた蓋体4とを有している。
【0021】プロセスチャンバ2内には、ウェハ(被処
理基板)Wを支持するペデスタル5が配置され、このペ
デスタル5内には、ウェハWを加熱するためのヒータ
(図示せず)が設けられている。ペデスタル5は、ニッ
ケル等の導電性金属からなる下部電極を構成しており、
かつ接地されている。
【0022】また、プロセスチャンバ2には、スロット
ルバルブ6を介して真空ポンプ7が接続されている。こ
の真空ポンプ7は、チャンバ2内部を減圧すると共に、
チャンバ2内部を排気する。
【0023】蓋体4には、ガス混合部8aを含むガス流
路8が設けられ、ガス混合部8aには配管14,15が
接続されている。蓋体4の下面部には、ボルト止めされ
たブロッカープレート9が設けられ、このブロッカープ
レート9には複数のガス導入孔(図示せず)が形成され
ている。
【0024】また、ブロッカープレート9の下方には、
ペデスタル5に対向するようにシャワーヘッド10が配
置されており、このシャワーヘッド10の縁部が蓋体4
の下面部にボルトで固定されている。このシャワーヘッ
ド10は、図2に示すように、上部電極を構成する円形
プレートであり、ニッケル等の導電性金属からなってい
る。シャワーヘッド10は、複数のガス導入孔11を有
し、ガス流路8よりブロッカープレート9を介して送ら
れていたプロセスガスが、それらのガス導入孔11を通
って、ペデスタル5上に置かれたウェハWに向けて供給
される。このガス導入孔11の径は、例えば1mm弱程
度となっている。
【0025】シャワーヘッド10におけるペデスタル5
と対向する面(以下、シャワーヘッド10の表面とい
う)には、全面にわたって、ビーズブラスト処理が施さ
れた粗面部Bが形成されている。このビーズブラスト処
理は、例えばエアーブラスト機によって圧縮空気を利用
してブラスト材を噴射することにより行う。ブラスト材
としては、アルミナ、アルミナ、SiC、SiO2、C
2の固体(商標名:ドライアイス)等が使用される。
ブラスト材の粒径は、♯220〜♯20であることが好
ましい。ここで、♯(メッシュ)は、Tylerによる
粒体の粒の粗さを表わす単位である。また、ブラスト材
のヌープ硬度は、1000〜5000kg/mm2であ
ることが好ましい。以上により、シャワーヘッド10の
表面を効果的に粗すことができる。また、ビーズブラス
ト処理によって粗面部Bを形成したので、粗さ、形状、
面積等がほぼ一定な粗面部を、簡単にかつ低コストで加
工できる。
【0026】このようなシャワーヘッド10には、整合
器12を介して高周波電源13が接続されており、高周
波電源13を投入すると、シャワーヘッド10とペデス
タル5との間の空間Sに例えば350kHzの高周波電
力が印加され、プラズマを発生させる。
【0027】以上のようなプラズマCVD装置1を使用
して、酸化シリコンウェハの成膜プロセスを行う基板製
造方法について説明する。まず、真空ポンプ7により所
望の真空度まで減圧したプロセスチャンバ2の内部に、
シリコンウェハWをウェハ搬送ロボット(図示せず)に
よりウェハ搬入口3aから搬入して、所望の温度に加熱
されたペデスタル5上に置く。
【0028】そして、ヘリウムをキャリアガスとする気
化四塩化チタンガス(TiCl4ガス)を配管14より
導入すると共に、水素ガス(H2ガス)を配管15より
導入する。なお、TiCl4ガス及びH2ガスは、MFC
(図示せず)により流量制御された状態で導入される。
これらTiCl4ガス及びH2ガスは、混合部8aで混合
される。この混合ガスは、ガス流路8及びブロッカープ
レート9を介してシャワーヘッド10に供給され、この
シャワーヘッド10の各ガス導入孔11よりシリコンウ
ェハWに向けて均一に拡散される。
【0029】そして、プロセスチャンバ2内に導入され
た混合ガスをスロットルバルブ6により圧力制御した状
態で、高周波電源13を投入してシャワーヘッド10と
ペデスタル5との間の空間Sに高周波電力を印加する。
すると、TiCl4ガスとH2ガスとの混合ガスが空間S
でプラズマ化し、TiCl4ガスとH2ガスとが分解し、
ラジカル化した塩素と水素との結合反応が促進され、酸
化シリコンウェハ上に金属チタン(Ti)膜が生成され
る。
【0030】また、純シリコンウェハの成膜プロセスに
おいては、シャワーヘッド10とペデスタル5との間の
空間Sにプラズマを発生させたときに、ラジカル化した
塩素と水素との結合反応に加えて、シリコンとチタン界
面で結合反応が起こり、シリコンウェハ上にチタンシリ
サイド(TiSx)膜が生成される。
【0031】ここで、従来のようにシャワーヘッド10
の表面にビーズブラスト処理を施さず、機械切削終端の
ままである場合には、成膜プロセス中のプラズマがプロ
セスチャンバ2内で不均一に広がり、プラズマ密度不足
となる。このため、ウェハWの成膜速度が低下したり、
ウェハW面内の膜厚が不均一になってしまう可能性があ
る。また、成膜プロセスによって発生するチタンを含む
塩化チタン(TiCl x)系副生成物が、シャワーヘッ
ド10の表面に不均一に堆積し、成膜の連続安定性を損
なう可能性もある。さらに、シャワーヘッド10からウ
ェハWへの輻射熱が不均一になるため、特に純シリコン
ウェハW上のチタンシリサイド膜の生成において、膜厚
均一性が更に低下する可能性もある。また、シャワーヘ
ッド10の個体差も大きくなる。
【0032】これに対し、シャワーヘッド10の表面に
ビーズブラスト処理を施した場合には、シャワーヘッド
10の表面の面積が増大するため、プロセスチャンバ2
内には高密度のプラズマが発生することになる。また、
ビーズブラスト処理を施すことでシャワーヘッド10の
表面の粗さが均一になるので、プロセスチャンバ2内に
発生するプラズマは均一となる。従って、反応効率が良
くなり、ウェハWの成膜速度やウェハ面内の膜厚均一性
が向上する。また、成膜プロセスによって発生するTi
及びTiClx系副生成物等は、高密度かつ均一に発生
したプラズマによってシャワーヘッド10の表面に均一
に堆積するため、成膜の連続安定性が向上する。さら
に、シャワーヘッド10からウェハWへの輻射熱が均一
化されるので、特に純シリコンウェハ上のチタンシリサ
イド膜の膜厚均一性が大幅に向上する。また、シャワー
ヘッド10の個体差が、極めて小さくなるこのようにシ
ャワーヘッド10の表面にビーズブラスト処理を施した
場合と、そうでない場合とを比較した結果の一例を図3
〜図6に示す。
【0033】図3は、酸化シリコンウェハ上に形成され
たチタン膜のシート抵抗値及びその均一性を示したもの
である。同図において、黒四角Nsは、ビーズブラスト
処理を施さない場合のチタン膜のシート抵抗値を示し、
黒三角Bsは、ビーズブラスト処理を施した場合のチタ
ン膜のシート抵抗値を示している。白四角Nuは、ビー
ズブラスト処理を施さない場合のチタン膜のシート抵抗
値の均一性を示し、白三角Buは、ビーズブラスト処理
を施した場合のチタン膜のシート抵抗値の均一性を示し
ている。また、横軸はウェハWのカウント数を示し、縦
軸の左側はチタン膜のシート抵抗値を示し、縦軸の右側
はチタン膜のシート抵抗値の均一性を示している。な
お、1枚のウェハ面内の測定ポイントは49ポイントで
あり、シート抵抗値の均一性は、以下の式から算出され
たものである。
【0034】(1枚のウェハ面内49ポイントの標準偏
差)/(1枚のウェハ面内49ポイントの平均値)×1
00% この図3から分かるように、シャワーヘッド10の表面
にビーズブラスト処理を施すことによって、ウェハW毎
のシート抵抗値の均一性(平均値)のばらつきが少なく
なる。
【0035】図4は、純シリコンウェハ上に形成された
チタンシリサイド膜のシート抵抗値及びその均一性を示
したものである。なお、この特性図の見方は、図3と同
様である。この図から分かるように、チタンシリサイド
膜の成膜においても、シャワーヘッド10の表面にビー
ズブラスト処理を施すことによって、ウェハW毎のシー
ト抵抗値の均一性(平均値)のばらつきが少なくなる。
【0036】図5は、1枚の酸化シリコンウェハW上に
形成されたチタン膜のシート抵抗値の分布を示したもの
であり、(a)がビーズブラスト処理を施さない場合の
分布を示し、(b)がビーズブラスト処理を施した場合
の分布を示している。なお、この場合も、測定ポイント
は49ポイントとしてある。
【0037】図5(a)では、シート抵抗値の最大値が
155.1ohms/sq、シート抵抗値の最小値が124.85ohms/sq
であり、その偏差は30.25ohms/sqとなっている。一方、
図5(b)では、シート抵抗値の最大値が122.74ohms/s
q、シート抵抗値の最小値が109.24ohms/sqであり、その
偏差は13.5ohms/sqとなっている。この結果から、シャ
ワーヘッド10の表面にビーズブラスト処理を施すこと
によって、1枚のウェハ面内におけるシート抵抗値の均
一性が向上することが分かる。また、図5から分かるよ
うに、シャワーヘッド10の表面にビーズブラスト処理
を施した場合には、シート抵抗値の分布が良好になる。
さらに、シート抵抗値が低下することで、成膜速度が向
上するようになる。
【0038】図6は、1枚の純シリコンウェハW上に形
成されたチタンシリサイド膜のシート抵抗値の分布を示
したものであり、(a)がビーズブラスト処理を施さな
い場合の分布を示し、(b)がビーズブラスト処理を施
した場合の分布を示している。
【0039】図6(a)では、シート抵抗値の最大値が
49.375ohms/sq、シート抵抗値の最小値が28.432ohms/sq
であり、その偏差は20.943ohms/sqとなっている。一
方、図6(b)では、シート抵抗値の最大値が47.99ohm
s/sq、シート抵抗値の最小値が44.061ohms/sqであり、
その偏差は3.929ohms/sqとなっている。この結果から分
かるように、シャワーヘッド10の表面にビーズブラス
ト処理を施すことによって、1枚のウェハ面内における
シート抵抗値の均一性が向上する。
【0040】なお、本発明は、上記実施形態には限定さ
れない。例えば、上記実施形態は、ウェハW上にチタン
膜およびチタンシリサイド膜を生成するものであるが、
特にこれに限定されるものではなく、アルミニウム膜や
タングステン膜等の他の成膜にも適用できる。
【0041】また、上記実施形態は、プラズマCVD装
置についてであるが、本発明は、シャワーヘッドを備え
た他の基板処理装置にも適用できる。
【0042】さらに、上記実施形態は、シャワーヘッド
10の表面にビーズブラスト処理を施すことによって粗
面部Bを形成するものであるが、特にビーズブラスト処
理に限られず、例えばシャワーヘッド10の表面を紙ヤ
スリ等で削ることによって、好ましくはガス導入孔11
の径よりも細かい粗さを有する粗面部を形成してもよ
い。
【0043】
【発明の効果】本発明によれば、プロセスチャンバ内に
被処理基板が配置された時にシャワーヘッドにおける被
処理基板と対向する面に、粗面部を略全面にわたって形
成するようにしたので、シャワーヘッド及びプラズマ発
生部を備えた基板処理装置において、プロセスチャンバ
内に高密度かつほぼ均一のプラズマを発生させることが
できる。従って、このような基板処理装置を用いて成膜
プロセスを行う場合に、成膜速度や膜厚均一性が向上す
る。プロセス中の被処理基板への輻射熱が均一化される
ので、この点でも膜厚均一性の向上に寄与できる。更
に、プロセス中に発生する副生成物等は、シャワーヘッ
ドにおける被処理基板と対向する面に均一に堆積するた
め、連続成膜プロセスにおいても、安定した成膜特性が
得られる。
【図面の簡単な説明】
【図1】本発明に係る基板処理装置の一実施形態として
プラズマCVD装置を示した概略構成図である。
【図2】図1に示すシャワーヘッドの裏面図である。
【図3】酸化シリコンウェハ上に形成されたチタン膜の
シート抵抗値及びその均一性の比較例を示した特性図で
ある。
【図4】純シリコンウェハ上に形成されたチタンシリサ
イド膜のシート抵抗値及びその均一性の比較一例を示し
た特性図である。
【図5】1枚の酸化シリコンウェハ上に形成されたチタ
ン膜のシート抵抗値の分布例を示した図である。
【図6】1枚の純シリコンウェハ上に形成されたチタン
シリサイド膜のシート抵抗値の分布例を示した図であ
る。
【符号の説明】
1…プラズマCVD装置(基板処理装置)、2…プロセ
スチャンバ、7…真空ポンプ、10…シャワーヘッド、
11…ガス導入孔、12…整合器(プラズマ発生部)、
13…高周波電源(プラズマ発生部)、B…粗面部、W
…ウェハ(被処理基板)。
フロントページの続き (72)発明者 田中 啓一 千葉県成田市新泉14−3野毛平工業団地内 アプライド マテリアルズ ジャパン 株式会社内 (72)発明者 横山 靖典 千葉県成田市新泉14−3野毛平工業団地内 アプライド マテリアルズ ジャパン 株式会社内 (72)発明者 鈴木 敬 千葉県成田市新泉14−3野毛平工業団地内 アプライド マテリアルズ ジャパン 株式会社内 (72)発明者 藍谷 輝一 千葉県成田市新泉14−3野毛平工業団地内 アプライド マテリアルズ ジャパン 株式会社内 Fターム(参考) 4K030 AA03 AA17 BA48 FA03 KA17 4M104 BB14 BB25 DD44 DD45 HH20

Claims (10)

    【特許請求の範囲】
  1. 【請求項1】 プロセスチャンバに設けられ、前記プロ
    セスチャンバ内に配置された被処理基板に向けてプロセ
    スガスを供給するための複数のガス導入孔を有するシャ
    ワーヘッドであって、 前記プロセスチャンバ内に前記被処理基板が配置された
    時に前記被処理基板と対向する面には、略全面にわたっ
    て粗面部が形成されているシャワーヘッド。
  2. 【請求項2】 前記粗面部は、前記被処理基板と対向す
    る面にビーズブラスト処理を施すことによって形成され
    ているシャワーヘッド。
  3. 【請求項3】 前記ビーズブラスト処理に用いるブラス
    ト材の粒径は、♯220〜♯20である請求項2記載の
    シャワーヘッド。
  4. 【請求項4】 前記ビーズブラスト処理に用いるブラス
    ト材のヌープ硬度は、1000〜5000kg/mm2
    である請求項2または3記載のシャワーヘッド。
  5. 【請求項5】 前記ビーズブラスト処理に用いるブラス
    ト材の材質は、アルミナ、SiC、SiO2、CO2のい
    ずれかである請求項2〜4のいずれか一項記載のシャワ
    ーヘッド。
  6. 【請求項6】 被処理基板を処理するプロセスチャンバ
    と、 前記プロセスチャンバに設けられ、前記プロセスチャン
    バ内に配置された前記被処理基板に向けてプロセスガス
    を供給するための複数のガス導入孔を有するシャワーヘ
    ッドと、 前記プロセスチャンバ内にプラズマを発生させるプラズ
    マ発生部とを備え、 前記プロセスチャンバ内に前記被処理基板が配置された
    時に前記シャワーヘッドにおける前記被処理基板と対向
    する面には、略全面にわたって粗面部が形成されている
    基板処理装置。
  7. 【請求項7】 請求項6記載の基板処理装置を使用して
    被処理基板の表面に対して成膜を行う基板製造方法であ
    って、前記プロセスチャンバ内に前記被処理基板を搬入
    し、前記プロセスガスを前記被処理基板に向けて供給す
    ると共に、前記プロセスチャンバ内にプラズマを生成す
    ることによって成膜を行う基板製造方法。
  8. 【請求項8】 前記被処理基板としてシリコンウェハを
    用い、このシリコンウェハの表面にチタンシリサイド膜
    を形成する請求項7記載の基板製造方法。
  9. 【請求項9】 前記被処理基板として酸化シリコンウェ
    ハを用い、この酸化シリコンウェハの表面にチタン膜を
    形成する請求項7記載の基板製造方法。
  10. 【請求項10】 前記プロセスガスとしてTiCl4
    スを含むガスを使用する請求項8または9記載の基板製
    造方法。
JP2000310854A 2000-10-11 2000-10-11 シャワーヘッド、基板処理装置および基板製造方法 Pending JP2002115068A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2000310854A JP2002115068A (ja) 2000-10-11 2000-10-11 シャワーヘッド、基板処理装置および基板製造方法
US09/973,124 US20020042192A1 (en) 2000-10-11 2001-10-09 Shower head, substrate treatment apparatus and substrate manufacturing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000310854A JP2002115068A (ja) 2000-10-11 2000-10-11 シャワーヘッド、基板処理装置および基板製造方法

Publications (1)

Publication Number Publication Date
JP2002115068A true JP2002115068A (ja) 2002-04-19

Family

ID=18790725

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000310854A Pending JP2002115068A (ja) 2000-10-11 2000-10-11 シャワーヘッド、基板処理装置および基板製造方法

Country Status (2)

Country Link
US (1) US20020042192A1 (ja)
JP (1) JP2002115068A (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100712124B1 (ko) 2005-01-18 2007-04-27 삼성에스디아이 주식회사 용량결합형 플라즈마 처리 장치
JP2009120859A (ja) * 2004-12-28 2009-06-04 Asm Genitech Inc 原子層蒸着装置
JP2012097356A (ja) * 2010-11-01 2012-05-24 Semes Co Ltd 基板処理装置及び方法
JP2012114425A (ja) * 2010-11-05 2012-06-14 Semiconductor Energy Lab Co Ltd 光電変換装置の作製方法
JP2017126717A (ja) * 2016-01-15 2017-07-20 東京エレクトロン株式会社 載置台の表面処理方法、載置台及びプラズマ処理装置

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007517133A (ja) * 2003-09-11 2007-06-28 ハネウェル・インターナショナル・インコーポレーテッド 粒子トラップを形成するために蒸着処理構成要素を処理する方法及び粒子トラップを表面上に有する蒸着処理構成要素
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7514125B2 (en) * 2006-06-23 2009-04-07 Applied Materials, Inc. Methods to improve the in-film defectivity of PECVD amorphous carbon films
US7901508B2 (en) * 2007-01-24 2011-03-08 Widetronix, Inc. Method, system, and apparatus for the growth of SiC and related or similar material, by chemical vapor deposition, using precursors in modified cold-wall reactor
JP2009255277A (ja) * 2008-03-19 2009-11-05 Tokyo Electron Ltd 表面処理方法、シャワーヘッド部、処理容器及びこれらを用いた処理装置
US8111978B2 (en) * 2008-07-11 2012-02-07 Applied Materials, Inc. Rapid thermal processing chamber with shower head
US20100294742A1 (en) * 2009-05-22 2010-11-25 Enrico Magni Modifications to Surface Topography of Proximity Head
US20130284092A1 (en) * 2012-04-25 2013-10-31 Applied Materials, Inc. Faceplate having regions of differing emissivity
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10100408B2 (en) * 2014-03-03 2018-10-16 Applied Materials, Inc. Edge hump reduction faceplate by plasma modulation
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
KR20160147482A (ko) * 2015-06-15 2016-12-23 삼성전자주식회사 가스 혼합부를 갖는 반도체 소자 제조 설비
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
JP7176860B6 (ja) * 2017-05-17 2022-12-16 アプライド マテリアルズ インコーポレイテッド 前駆体の流れを改善する半導体処理チャンバ
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2021206919A1 (en) * 2020-04-09 2021-10-14 Applied Materials, Inc. Faceplate with localized flow control

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07201742A (ja) * 1993-12-28 1995-08-04 Matsushita Electric Ind Co Ltd プラズマcvd装置
JPH0953196A (ja) * 1995-08-15 1997-02-25 Nikkoshi Prod Kk 電極材料と、その製造方法
JPH09129563A (ja) * 1995-11-02 1997-05-16 Ulvac Japan Ltd シャワープレート
JPH1041237A (ja) * 1996-05-20 1998-02-13 Fuji Electric Co Ltd 成膜装置および太陽電池
JPH1140518A (ja) * 1997-07-16 1999-02-12 Tokyo Electron Ltd CVD−Ti膜の成膜方法
JPH11181570A (ja) * 1997-12-17 1999-07-06 Toshiba Corp プラズマcvd装置用電極板及びその表面処理方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07201742A (ja) * 1993-12-28 1995-08-04 Matsushita Electric Ind Co Ltd プラズマcvd装置
JPH0953196A (ja) * 1995-08-15 1997-02-25 Nikkoshi Prod Kk 電極材料と、その製造方法
JPH09129563A (ja) * 1995-11-02 1997-05-16 Ulvac Japan Ltd シャワープレート
JPH1041237A (ja) * 1996-05-20 1998-02-13 Fuji Electric Co Ltd 成膜装置および太陽電池
JPH1140518A (ja) * 1997-07-16 1999-02-12 Tokyo Electron Ltd CVD−Ti膜の成膜方法
JPH11181570A (ja) * 1997-12-17 1999-07-06 Toshiba Corp プラズマcvd装置用電極板及びその表面処理方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009120859A (ja) * 2004-12-28 2009-06-04 Asm Genitech Inc 原子層蒸着装置
KR100712124B1 (ko) 2005-01-18 2007-04-27 삼성에스디아이 주식회사 용량결합형 플라즈마 처리 장치
JP2012097356A (ja) * 2010-11-01 2012-05-24 Semes Co Ltd 基板処理装置及び方法
JP2012114425A (ja) * 2010-11-05 2012-06-14 Semiconductor Energy Lab Co Ltd 光電変換装置の作製方法
JP2017126717A (ja) * 2016-01-15 2017-07-20 東京エレクトロン株式会社 載置台の表面処理方法、載置台及びプラズマ処理装置

Also Published As

Publication number Publication date
US20020042192A1 (en) 2002-04-11

Similar Documents

Publication Publication Date Title
JP2002115068A (ja) シャワーヘッド、基板処理装置および基板製造方法
TW201935595A (zh) 增進製程均勻性的方法及系統
TW200305189A (en) Evaluation of chamber components having textured coatings
JP6426893B2 (ja) コンタクト層の形成方法
JPH07245193A (ja) プラズマ発生装置及びプラズマ処理装置
TW201531580A (zh) Ti膜之成膜方法
JP4416402B2 (ja) 機能層を形成するためのプラズマ装置及び機能層の形成方法
JPH05315268A (ja) プラズマcvd装置
JP3267306B2 (ja) 半導体装置の製造方法
JP2017126717A (ja) 載置台の表面処理方法、載置台及びプラズマ処理装置
JPH08209349A (ja) プラズマcvd装置
JP3246780B2 (ja) 硬質カーボン膜の形成方法および形成装置
JPH0797690A (ja) プラズマcvd装置
JPS60123033A (ja) プラズマ処理装置
JPH04211115A (ja) Rfプラズマcvd装置ならびに該装置による薄膜形成方法
JP4210141B2 (ja) 硬質窒化炭素膜の形成方法
JPH0111721Y2 (ja)
JP2000109989A (ja) プラズマ処理装置の内壁保護部材
JP2017025389A (ja) プラズマcvd装置及び成膜方法
JP2001140077A (ja) 半導体製造装置
JPH06128747A (ja) 化学的気相合成法による硬質炭素質薄膜の形成方法及びその装置
JP2891991B1 (ja) プラズマcvd装置
JP3472227B2 (ja) 炭素薄膜形成方法
JP2017014596A (ja) プラズマcvd装置及び成膜方法
TW202342806A (zh) 具有加熱噴頭的噴頭組件

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070914

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100715

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100720

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101020

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101216

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110203