CN203225233U - 一种陶瓷侧气体喷射器 - Google Patents

一种陶瓷侧气体喷射器 Download PDF

Info

Publication number
CN203225233U
CN203225233U CN2012206910670U CN201220691067U CN203225233U CN 203225233 U CN203225233 U CN 203225233U CN 2012206910670 U CN2012206910670 U CN 2012206910670U CN 201220691067 U CN201220691067 U CN 201220691067U CN 203225233 U CN203225233 U CN 203225233U
Authority
CN
China
Prior art keywords
inch
chamber
gas ejector
gas
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CN2012206910670U
Other languages
English (en)
Inventor
丹尼尔·阿瑟·布朗
杰夫·A·博加特
伊恩·J·肯沃西
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Application granted granted Critical
Publication of CN203225233U publication Critical patent/CN203225233U/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/32119Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一种陶瓷侧气体喷射器,该陶瓷侧气体喷射器配置成安装在8个对称排列的气体喷射器安装孔中的任何一个里,该安装孔位于能加工半导体衬底的等离子体反应室的室顶界面侧壁上,该侧气体喷射器由上游部分直径大于下游部分的阶梯式圆柱形陶瓷本体以及通过该陶瓷本体的轴端表面沿轴向延伸的直径均一的中心孔组成。

Description

一种陶瓷侧气体喷射器
本申请是申请号为201090000551.3、申请日为2010年9月10日、名称为“等离子体处理装置的可替换上室部件”的中国专利申请(PCT申请号为PCT/US2010/002473)的分案申请。 
本申请根据美国法典第35编第119条,要求2009年9月10日提交的申请号为61/241,321、题为“等离子体处理装置的可替换上室部件”的美国临时申请的优先权,该临时申请的全部内容作为参考并入本申请中。 
技术领域
本发明总体涉及半导体衬底制造技术,尤其涉及等离子体室上室部分的可替换部件,更尤其涉及陶瓷侧气体喷射器。 
背景技术
在衬底(例如半导体衬底或用于平板显示器制造的玻璃面板)的加工中,经常采用等离子体。例如,作为衬底加工的部分,该衬底被分割为多个模具或多个矩形区域,任意一个模具或矩形区域将变为集成电路。然后通过一系列选择性去除(蚀刻)和沉积(沉积)材料的步骤加工该衬底,以便在其上形成电器元件。 
在示例性的等离子体处理中,蚀刻前用硬化乳胶薄膜(即,如光刻胶掩膜)涂覆衬底。然后,选择性去除硬化乳胶区域,使得底层元件暴露。然后在等离子体处理室中将该衬底置于衬底支撑结构上,该衬底支撑结构包含单极或双极电极,称为卡盘或底座。 然后让适当的蚀刻剂源流入室内,激发以形成等离子体来蚀刻该衬底的暴露区域。 
现参见图1,所示为电感耦合等离子体处理***元件的简单示意图。一般来说,等离子体室(室)202由形成室侧壁的底室部分250、形成室侧壁的上室部分244以及顶部252组成。一组合适的气体从气体分配***222流入室202。这些等离子体处理气体随后可离子化形成等离子体220,以便加工(例如,蚀刻或沉积)位于静电卡盘(卡盘)216与边环215上的衬底224(如半导体衬底或玻璃面板)的暴露区域。气体分配***222通常由装有等离子体处理气体(例如C4F8、C4F6、CHF3、CH2F3、CF4、HBr、CH3F、C2F4、N2、O2、Ar、Xe、He、H2、NH3、SF6、BCl3、Cl2等)的压缩气筒(未显示)组成。 
感应圈231通过形成上室壁的介电窗口204与等离子体分隔开,通常在等离子体处理气体中诱发时变电流,从而产生等离子体220。所述窗口不仅保护感应圈免受等离子体220的影响,而且允许产生的射频磁场208在等离子体处理室内产生感应电流211。与感应圈231进一步耦合的匹配网络232,可进一步耦合到射频发生器234。匹配网络232试图将通常在约13.56兆赫以及约50欧姆运行的射频发生器234的阻抗与等离子体220的阻抗相匹配。另外,第二射频能量源238也可通过匹配网络236耦合到衬底224以便造成等离子体的偏压,并引导等离子体离开等离子体处理***内的位置(structure)而接近衬底。通过泵220去除室内气体和副产品。 
通常,某种类型的冷却***240耦合到卡盘216以便在等离子体被点燃后达到热平衡。该冷却***自身由通过该卡盘中的腔泵送冷却剂的冷却器以及在卡盘和衬底间泵送的氦气组成。除了去除所产生的热量,氦气也允许该冷却***迅速控制热量消散。即,增加氦气压力增大了传热速率。大多数等离子体处理***也由包含 操作软件程序的精密计算机控制。在典型的作业环境中,通常为特定的等离子体处理***和具体配方配置生产工艺参数(如电压、气流混合物、气体流速、压力等)。 
此外,加热和冷却装置246可运行以控制等离子体处理装置202上室部分244的温度,使得该运行时暴露于等离子体的上室部分244的内表面维持在控制温度。该加热和冷却装置246由一些不同材料层组成,以适应加热和冷却操作。 
该上室部分自身通常由耐等离子体材料构建,该材料接地或者对该等离子体处理***内产生的射频磁场透明(即,涂覆或未涂覆的铝、陶瓷等)。 
例如,上室部分可为铝加工件,可将其拆除以进行清洁或替换。上室部分的内表面优选用耐等离子体材料涂覆,如热喷涂氧化钇涂层。由于该类型的陶瓷涂层容易损坏,清洁很成问题,而且由于一些等离子体工艺处理的灵敏性,有时候更趋向替换上室部分而不是将其拆除以进行清洁。 
此外,维护后正确重安装上室部分通常很困难,因为它必须与室底部分恰当对齐,以便一套垫片恰当地密封上室部分周围。轻微的偏差将妨碍正确的安装布置。 
上室部分材料的容积也倾向向等离子体处理***增加可观的热质。热质是指能长期储存热能的材料。一般说来,等离子体工艺倾向于对温度变化非常敏感。例如,已建立的处理窗口外的温度变化可直接影响聚合物薄膜(如聚氟)在衬底表面的蚀刻率或沉积率。通常需要衬底间的温度有可重复性,因为许多等离子体处理配方也可能要求温度变化处于约零点几摄氏度的级别。鉴于此,上 室部分经常加热或冷却以便将该等离子体工艺大体上维持在已建立的参数内。 
随着等离子体的点燃,衬底吸收热能,随后测量该热能,然后通过冷却***去除。同样地,该上室部分可进行热控制。但是,等离子处理可要求在多步处理中的温度变化,也许有必要将上室部分加热到100℃以上的温度,例如120、130、140、150或160℃或其间的任何温度,然而在先的上室部分在较低温度约60℃运行。更高的温度可导致如室底部分的相邻元件不希望的温度升高。例如,如果预期在约130到150℃的温度运行上室部分和上置的介电窗口以及在约30℃的周围温度运行室底部分,热量可从更热的上室部分流入室底部分并充分升高其温度以影响半导体衬底经受的等离子体处理条件。因此,上室部分引起的热流变化可导致衬底温度变化超过精密的配方参数。 
鉴于上述情况,具有优化等离子体处理***中等离子体工艺的理想匹配特征的可替换上室部件将产生有益的效果。 
发明内容
一种陶瓷侧气体喷射器,其中该陶瓷侧气体喷射器配置成安装在8个对称排列的气体喷射器安装孔中的任何一个里,该安装孔位于能加工半导体衬底的等离子体反应室的室顶界面侧壁上,该侧气体喷射器由上游部分直径大于下游部分的阶梯式圆柱形陶瓷本体以及通过该陶瓷本体的轴端表面沿轴向延伸的直径均一的中心孔组成 
在优选的实施方式中,能加工半导体衬底的等离子体反应室,其上室部分的可替换室顶界面,包含单片金属圆筒,该单片金属圆筒具有直径一致的内表面,从该内表面水平延伸的上部真空 密封面以及从该内表面水平延伸的下部真空密封面;适于密封该等离子体室的介电窗口的上部环状真空密封面;适于密封该等离子体室的底部部分的下部环状真空密封面;该圆筒上部的热质,该热质由该圆筒的更宽部分限定在该内表面和沿着该上凸缘垂直延伸的外表面之间,该热质在让该内表面的方位温度均匀方面是有效的,以及该圆筒下部的、能有效减少通过下部真空密封面的热转移的热阻风门,该热阻风门由厚度小于0.25英寸且延伸达该内表面长度的至少25%的薄金属部分限定。 
在另一项实施方式中,加工半导体衬底的等离子体反应室的上室部分的可替换窗,包含厚度均一的陶瓷磁盘,至少一个配置成容纳温度监控传感器的盲孔,适用于密封室顶界面的上部真空密封面的真空密封面,以及配置成容纳输送处理气体进入该室中心的顶部气体喷射器的中心孔。 
在进一步的实施方式中,配置成供应气体到安装于室顶界面侧壁上的侧喷射器的气体输送***包含从共用供给器接收调谐气体的分岔气体管线,以及配置成将调谐气体从共用供给器等距离流入喷射器的气体管。该侧喷射器可包括围绕室顶界面对称排列的8个喷射器,该气体管线可包括八条气体管线,其中两条等长度的主要气体管线从该共用供给器延伸,两条等长度的第二气体管线从该主要气体管线出口延伸,四条等长度的第三气体管线从该第二气体管线出口延伸。该主要气体管线长于该第二气体管线,该第二气体管线长于该第三气体管线。该主要气体管线连于该第二气体管线的中点,该第二气体管线连于该第三气体管线的中点。设计该气体输送***以安装于室顶界面的外表面中环形槽限定的小容积内。 
附图说明
图1所示为等离子体处理***的简单示意图; 
图2所示为本文所述的包含窗口、室顶界面以及侧喷射器气体供应***的示例性等离子体室的透视图。 
图3A-D所示为本文所述的室顶界面的细节。 
图4A-H所示为本文所述的陶瓷窗口的细节。 
图5A-K所示为本文所述的侧气体喷射输送***的细节。 
图6A-B所示为安装于室顶界面侧壁上开口的通过侧气体喷射***供应气体的气体喷射器的细节。 
具体实施方式
现参考在附图中示出的数个优选实施例,详细描述本发明。在以下描述中,将阐明数个具体的细节以提供对本发明的透彻的理解。但是,明显地,对于本领域的技术人员而言,没有这些特定细节中的某些或全部,本发明也可实施。在另一些情形下,公知的处理步骤和/或结构未具体描述,以避免不必要地让本发明不显著。本文所用的术语“约”应阐释为包含所述值上或者下浮动达10%的值。 
本文述及了等离子体室的上室部分的可替换部件,如图2所示。该部件包括陶瓷窗口、室顶界面和侧气体喷射输送***。 
图2示出的等离子体***包括室10,该室10包括下部室12和上部室14。该上部室14包括支撑介电窗口16的室顶界面15。射频线圈18上置于该窗口,为室内激发工艺气体进入等离子体状态提供射频功率。顶部气体喷射器安装于窗口中心,用于从气体供应管线20输送工艺气体。 
图3A-D示出室顶界面15的细节。图3A是室顶界面15的顶视图,图3B是侧横截面视图,其图解了加厚壁部分15a、薄壁部分15b、上部真空密封面15c、下部真空密封面15d以及侧墙15f上的侧喷射口15e。图3C示出上部真空密封面15c的细节,其中阐明了该表面和O-环接口槽15g的不同尺寸。图3D是示出外墙15i中环形槽15h上的侧气体喷射器的位置的透视图。 
图4A示出窗口16的细节,该窗口16包括作为顶部喷射器接口的中心开口16a,上表面16c中作为温度传感器接口的盲孔16b,以及外侧面16f的底凸缘16e中的钟状(clocking)特征部分16d。图4B是图4A所示窗口的侧视图。图4C示出涂覆了如等离子体喷涂氧化钇的陶瓷涂层的槽面外面的真空密封面16g的细节。图4D是该窗外边缘的横截面,其中圆形槽16i延伸入侧壁16f。图4E示出盲孔16b之一的细节和尺寸。图4F示出钟状特征部分16d的细节和尺寸,该钟状特征部分16d是半径为0.625英寸,在单一位置延伸入窗口侧的槽,且该槽的边缘与半径中心形成90°夹角。图4G示出该窗口的细节和尺寸,图4H示出该窗口的横截面,图示了盲孔的相对深度。 
图5A示出该室的顶视图,其中圆柱室顶界面15位于上部室14的方形外壳中。图5B是图5A左上角的扩大图,图示了支撑室顶界面的支架装置。图5C图解了侧喷射气体供应***50,其包括共用气体供应供给器50a,两个等长度的主要气体管线50b,两个等长度的第二气体管线50c,四个等长度的第三气体管线50d,以及输送调谐气体到8个侧喷射器位置的八个连结50e。图5D示出气体喷射***50(其中调谐气体从共用供给器50a到每个侧喷射器等距离运送)如何安装在室顶界面15的外表面中环形槽限定的小容积内。图5E示出共用气体供给器50a以及两个终止于连结50f的主要气体管线50b的细节。图5F示出通过气体连结50g(其连结于气体连结50f)分岔的第二气体管线50c之一以及通过连于第二 气体管线50c末端的气体连结50h分岔的两个第三气体管线50d的细节。图5G示出安装于室顶界面15侧壁中的侧气体喷射器50i的细节。图5H示出主要气体管线50b如何安装在室顶界面15的侧壁中环形槽内的细节。图5I示出该气体喷射***如何输送气体到侧喷射器50i之一的细节。图5J示出侧气体喷射器50i,图5K示出安装在侧气体喷射器50i的面和室顶界面15的侧喷射器口15e反面之间的垫圈50j。 
在一项优选的实施方式中,室顶界面是具有安装过程支持硬件(射频输入线圈、温度控制窗口、对齐特征部分、室温度控制硬件、侧气体喷射器、气体输送管道等)、密封真空和从部件中导出电流的特征的硬阳极化铝圆筒。真空密封优选为在圆筒顶部和底部的一个或更多O-环。导电的建立优先考虑通过使用装入圆筒上凹槽内并接触相邻元件上的裸金属条的金属弹簧射频垫圈。增加该圆筒的上部分重量和热质以达到预期的温度均匀。内部等离子体暴露表面可涂覆如等离子体喷涂氧化钇的陶瓷材料。 
图6A示出该八个侧气体喷射器50i之一的近端的端视图,图6B示出沿图6A中A-A线所取的该喷射器50i的横截面。该喷射器50i包括具有均一(uniform)直径为0.038至0.042英寸(优选0.040英寸)的中心孔61的喷射器本体60,该中心孔61通过喷射器本体60的近端和远端表面轴向延伸。该喷射器本体60总长0.52至0.53英寸,优选0.526英寸,在上游部分62的外直径为0.2至0.3英寸,优选0.25英寸,该在上游部分62延伸0.275至0.285英寸,优选0.278英寸,以及在下游部分63的外直径为0.12至0.13英寸,优选0.125英寸,该下游部分63的长度为0.245至0.250英寸,优选为0.248英寸。中心孔的高宽比至少为10,优选13至14。该孔的这个高宽比可控制进入喷射器的反扩散以预防不锈钢气体供给器管线的腐蚀。下游端63的远端64包括45°(±10°)的凹槽,其形成0.005至0.015英寸,优选0.01英寸宽的环面65。下游 部分63的外表面与垂直于上游部分和下游部分的外表面并在上游部分和下游部分的外表面之间延伸的表面67之间的角66是圆狐形的,半径为0.005至0.015英寸,优选0.001英寸。该面67支持图5K所示垫圈50j。上游部分62的近端表面68支持环绕如图5I所示的连结50e的出口的另一垫圈。如图5I所示,喷射器50i的远端突出侧壁。喷射器50i的远端延伸优选0.05至0.2英寸,更优选约为0.1英寸入室。 
根据一项优选的实施方式,该窗口是中部有与陶瓷气体喷射器相连的孔的陶瓷磁盘。其还具有约0.008英寸的凸出衬垫(landing pad),其从与室顶界面相连的底部外径(outer diameter,OD)的外边缘延伸出0.5英寸。窗口和室顶界面之间的界面上有O-环密封圈。该陶瓷磁盘约1英寸厚,其由如氧化铝这样的低损耗正切高纯度陶瓷材料制成并用氧化钇涂覆在底部槽面上以抵抗等离子体。该盘上表面有两个盲孔,用来容纳热电偶(TC)和电阻温度检测器(RTD)。选择TC和RTD的位置和深度,实现预期的加工温度检测,避免损坏窗口。TC和RTD孔的底部有球形半径以降低孔的应力集中。 
室顶界面和窗口的接触面积确定这两个元件之间热交换的量。在等离子体处理过程中,窗口中部是热的,需要该接触区域导热到窗口边缘以助于该底部外径的温度接近中部温度。闲置时(室内不产生等离子体时),窗口中部是冷的,需要该接触区域不导任何热到窗口,以匹配窗口中部的温度。 
通过确定窗口的中性轴(零应力线,其为分割拉伸和压缩应力的线)所在位置并保持孔底部在该轴之上来设定TC和RTD的深度。该分析还可以确定测量点和目的点有什么温度差异。用软件进行分析时需考虑此差异。 
还可分析TC和RTD的位置以确定需要在软件中考虑多少偏差。该窗口应力与窗口中部和窗口OD间的温度差异相关。由于顶部气体喷射器的存在,窗口中部的温度无法测量,因此,此分析可能涉及窗口中部温度和测量点的温度。 
如果孔底部不具有球形半径,窗口在孔所在处有高应力集中。因为窗口内部受室内真空压力,如果孔底部非圆形,那么存在一个从窗口中央到边缘的热梯度可使窗口断裂。在孔底部增加球形半径消除了尖锐边缘,从而降低了应力和断裂的可能性。 
陶瓷窗口可替换、维修、养护,易于生产。窗口优选厚约1英寸,直径约22英寸。TC和RTD的孔的预期尺寸为钇涂覆表面以下0.494±0.009英寸,该孔是以窗口中部为圆心,半径约为5.6英寸的半圆。该孔总深度约0.5英寸,45°锥形的孔入口16b具有0.390英寸的直径。孔底部直径约为0.130英寸。 
侧喷射***可输送调谐气体,该***设计为可去除、可维护、可养护、可生产、防漏、耐等离子体、可对称供给8个口的气体喷射***。其可在20℃-120℃的温度范围内运行,以及使用1/4不锈钢管道和特别定制的低收缩真空密封界面。侧气体喷射***的几乎所有表面都安装在0.750英寸宽1.612英寸高的矩形截面形状内,同时,几乎所有表面都不超过22.5英寸的直径。 
侧气体喷射***的功能是均匀提供调谐气体进入等离子体。侧气体喷射***的流速和流动均匀性是8个侧气体喷射器的内孔直径的主要功能,其由固体氧化钇,气体输送管道的总容积,以及从单一气体供给器位置到所有喷射器位置的对称路径构成。该气体喷射***的尺寸可为:每个固体氧化钇喷射器的内孔直径:0.040±0.002英寸,VCR配件(共用供给器50a的上游末端的气体配件) 的气体喷射***的容积:2.922立方英寸,到VCR配件的每个喷射器的气体运送路径长度:37.426英寸。 
真空安装界面比例如K1S配件更小,氧化钇侧气体喷射器作为唯一直接暴露于等离子体的***部分,可减小尺寸,耗损时可替换,而不用替换贵得多的不锈钢焊接件。 
室顶界面是阳极化陶瓷涂覆的铝圆筒,该铝圆筒在顶部和底部具有O-环密封圈,以及与陶瓷窗口配对的顶部接触表面。主动加热室顶并维持在一定温度以重复加工晶片。 
窗口边缘的温度主要由室顶界面控制。该室界面主要控制多少热进入或逸出窗口边缘。因此为了保持边缘闲置时是冷的、晶片加工时是热的,需要闲置时或加工时保持小的温度梯度,以便提供通过界面的最佳热流。闲置时过多热流将导致边缘过热和窗口断裂。晶片加工时过少热流将导致边缘过冷,引起断裂。主要控制热流通过界面的界面特征是空中室与窗口的接触表面区域。空中接触区域是指从O-环槽外径到该室的外径,窗口和室的接触区域。这些尺寸可以通过分析和测试闲置时和晶片加工时的热流进行优化。 
此外,该界面的平面度是热传递的关键,必须维持。多种预期尺寸规格包括O-环槽外径
Figure DEST_PATH_GDA00003305895100111
英寸,室接触区域外径
Figure DEST_PATH_GDA00003305895100112
英寸,室接触区域平面度0.002英寸。 
通过披露示例性实施方式和最佳模式,对该披露的实施方式存在的更改和变化均落入所附权利要求所限定的本发明主旨和实质范围内。 

Claims (8)

1.一种陶瓷侧气体喷射器,其中该陶瓷侧气体喷射器配置成安装在8个对称排列的气体喷射器安装孔中的任何一个里,该安装孔位于能加工半导体衬底的等离子体反应室的室顶界面侧壁上,该侧气体喷射器由上游部分直径大于下游部分的阶梯式圆柱形陶瓷本体以及通过该陶瓷本体的轴端表面沿轴向延伸的直径均一的中心孔组成。 
2.根据权利要求1所述的气体喷射器,其中该中心孔直径为0.038至0.042英寸,长度为0.52至0.53英寸。 
3.根据权利要求1所述的气体喷射器,其中该陶瓷本体完全由氧化钇组成。 
4.根据权利要求1所述的气体喷射器,其中调节该上游部分尺寸以安装在孔的较宽部分内,调节该下游部分尺寸以安装在室顶界面侧壁的等离子体暴露表面的开口内,以便该喷射器远端突出该等离子体暴露表面0.05至0.2英寸。 
5.根据权利要求1所述的气体喷射器,其中该陶瓷本体包括该上游部分的轴端表面的第一垫圈啮合面和在该上游部分和该下游部分间垂直延伸的第二垫圈啮合面。 
6.根据权利要求1所述的气体喷射器,其中该中心孔的高宽比为13至14。 
7.根据权利要求1所述的气体喷射器,其中所述陶瓷本体长度为0.52至0.53英寸,该上游部分直径为0.2至0.3英寸,该下游部分直径为0.12至0.13英寸。 
8.根据权利要求1所述的气体喷射器,其中该上游部分长度为0.27至0.28英寸,该下游部分长度为0.24至0.25英寸。 
CN2012206910670U 2009-09-10 2010-09-10 一种陶瓷侧气体喷射器 Expired - Lifetime CN203225233U (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US24132109P 2009-09-10 2009-09-10
US61/241,321 2009-09-10

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2010900005513U Division CN202855717U (zh) 2009-09-10 2010-09-10 等离子体反应室的可替换上室部件

Publications (1)

Publication Number Publication Date
CN203225233U true CN203225233U (zh) 2013-10-02

Family

ID=43646760

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2010900005513U Expired - Lifetime CN202855717U (zh) 2009-09-10 2010-09-10 等离子体反应室的可替换上室部件
CN2012206910670U Expired - Lifetime CN203225233U (zh) 2009-09-10 2010-09-10 一种陶瓷侧气体喷射器

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN2010900005513U Expired - Lifetime CN202855717U (zh) 2009-09-10 2010-09-10 等离子体反应室的可替换上室部件

Country Status (8)

Country Link
US (2) US9076634B2 (zh)
JP (1) JP3178295U (zh)
KR (2) KR200479181Y1 (zh)
CN (2) CN202855717U (zh)
DE (1) DE212010000009U1 (zh)
MY (2) MY179709A (zh)
TW (1) TWM412453U (zh)
WO (1) WO2011031321A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106711006A (zh) * 2015-11-13 2017-05-24 北京北方微电子基地设备工艺研究中心有限责任公司 上电极组件及半导体加工设备
CN107195526A (zh) * 2017-06-08 2017-09-22 上海华力微电子有限公司 一种减少机台的部件之间摩擦的方法

Families Citing this family (370)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
KR200475462Y1 (ko) * 2009-03-27 2014-12-03 램 리써치 코포레이션 플라즈마 처리 장치의 교체 가능한 상부 챔버 섹션
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
MY179709A (en) * 2009-09-10 2020-11-11 Lam Res Corp Replaceable upper chamber parts of plasma processing apparatus
US9245717B2 (en) * 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US20130014697A1 (en) * 2011-07-12 2013-01-17 Asm Japan K.K. Container Having Multiple Compartments Containing Liquid Material for Multiple Wafer-Processing Chambers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130102156A1 (en) * 2011-10-21 2013-04-25 Lam Research Corporation Components of plasma processing chambers having textured plasma resistant coatings
US9947512B2 (en) * 2011-10-25 2018-04-17 Lam Research Corporation Window and mounting arrangement for twist-and-lock gas injector assembly of inductively coupled plasma chamber
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130105083A1 (en) * 2011-11-01 2013-05-02 Lam Research Corporation Systems Comprising Silicon Coated Gas Supply Conduits And Methods For Applying Coatings
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9437400B2 (en) * 2012-05-02 2016-09-06 Lam Research Corporation Insulated dielectric window assembly of an inductively coupled plasma processing apparatus
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9314854B2 (en) 2013-01-30 2016-04-19 Lam Research Corporation Ductile mode drilling methods for brittle components of plasma processing apparatuses
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8893702B2 (en) 2013-02-20 2014-11-25 Lam Research Corporation Ductile mode machining methods for hard and brittle components of plasma processing apparatuses
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10008368B2 (en) 2013-03-12 2018-06-26 Applied Materials, Inc. Multi-zone gas injection assembly with azimuthal and radial distribution control
WO2014149200A1 (en) 2013-03-15 2014-09-25 Applied Materials, Inc. Plasma reactor with highly symmetrical four-fold gas injection
US9384948B2 (en) * 2013-06-13 2016-07-05 Lam Research Corporation Hammerhead TCP coil support for high RF power conductor etch systems
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US20150041062A1 (en) * 2013-08-12 2015-02-12 Lam Research Corporation Plasma processing chamber with removable body
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US20150118416A1 (en) * 2013-10-31 2015-04-30 Semes Co., Ltd. Substrate treating apparatus and method
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9873940B2 (en) 2013-12-31 2018-01-23 Lam Research Corporation Coating system and method for coating interior fluid wetted surfaces of a component of a semiconductor substrate processing apparatus
JP6542245B2 (ja) 2014-02-14 2019-07-10 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 射出アセンブリ付きの上方ドーム
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
KR101598463B1 (ko) * 2014-04-30 2016-03-02 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10883168B2 (en) 2014-09-11 2021-01-05 Massachusetts Institute Of Technology Processing system for small substrates
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10253412B2 (en) 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
KR102452722B1 (ko) 2015-08-27 2022-10-06 삼성전자주식회사 기판 처리 장치
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
WO2018034715A1 (en) * 2016-08-18 2018-02-22 Mattson Technology, Inc. Separation grid for plasma chamber
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
WO2019113351A1 (en) 2017-12-07 2019-06-13 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11087961B2 (en) * 2018-03-02 2021-08-10 Lam Research Corporation Quartz component with protective coating
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
EP3788115A1 (en) 2018-04-20 2021-03-10 The Procter & Gamble Company Adhesive composition for absorbent articles
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11019715B2 (en) * 2018-07-13 2021-05-25 Mks Instruments, Inc. Plasma source having a dielectric plasma chamber with improved plasma resistance
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
CN111564399B (zh) * 2020-05-25 2023-12-22 北京北方华创微电子装备有限公司 半导体工艺设备中的匀流机构及半导体工艺设备
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112509901B (zh) * 2020-11-19 2022-03-22 北京北方华创微电子装备有限公司 工艺腔室及半导体工艺设备
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220277933A1 (en) * 2021-02-26 2022-09-01 Taiwan Semiconductor Manufacturing Company Limited Wafer treatment system and method of treating wafer
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5095189A (en) * 1990-09-26 1992-03-10 General Electric Company Method for reducing plasma constriction by intermediate injection of hydrogen in RF plasma gun
US6095083A (en) * 1991-06-27 2000-08-01 Applied Materiels, Inc. Vacuum processing chamber having multi-mode access
CH687258A5 (de) * 1993-04-22 1996-10-31 Balzers Hochvakuum Gaseinlassanordnung.
US5597439A (en) * 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5480678A (en) * 1994-11-16 1996-01-02 The B. F. Goodrich Company Apparatus for use with CVI/CVD processes
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
DE29517100U1 (de) * 1995-10-17 1997-02-13 Zimmer, Johannes, Klagenfurt Strömungsteilungs- und -umformungskörper
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
JP3591977B2 (ja) * 1996-03-18 2004-11-24 キヤノン株式会社 マイクロ波プラズマcvd法を用いた膜堆積方法および膜堆積装置
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
EP0958401B1 (en) * 1996-06-28 2004-09-08 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition or etching
US6308654B1 (en) * 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US5983906A (en) * 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
JP2923879B2 (ja) * 1997-02-06 1999-07-26 日本電気株式会社 プラズマ処理装置
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
JP3483733B2 (ja) * 1997-06-04 2004-01-06 株式会社日立国際電気 半導体製造装置及び基板処理方法
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US6074953A (en) * 1998-08-28 2000-06-13 Micron Technology, Inc. Dual-source plasma etchers, dual-source plasma etching methods, and methods of forming planar coil dual-source plasma etchers
US6486081B1 (en) * 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6972071B1 (en) * 1999-07-13 2005-12-06 Nordson Corporation High-speed symmetrical plasma treatment system
US6298784B1 (en) * 1999-10-27 2001-10-09 Talley Defense Systems, Inc. Heat transfer delay
CN1251294C (zh) 1999-11-15 2006-04-12 兰姆研究有限公司 等离子体加工装置的温度控制***
US6450117B1 (en) * 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
US6325855B1 (en) * 2000-08-09 2001-12-04 Itt Manufacturing Enterprises, Inc. Gas collector for epitaxial reactors
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
IT1319599B1 (it) * 2000-12-20 2003-10-20 Rosaldo Fare Testa di melt-blown e procedimento ad alimentazione controllata per laproduzione di fibrille di materiale polimerico
DE10100670A1 (de) * 2001-01-09 2002-08-14 Univ Braunschweig Tech Zuführvorrichtung für eine CVD-Anlage
AU2002240261A1 (en) 2001-03-02 2002-09-19 Tokyo Electron Limited Method and apparatus for active temperature control of susceptors
JP4727057B2 (ja) * 2001-03-28 2011-07-20 忠弘 大見 プラズマ処理装置
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US6776848B2 (en) * 2002-01-17 2004-08-17 Applied Materials, Inc. Motorized chamber lid
US7223321B1 (en) * 2002-08-30 2007-05-29 Lam Research Corporation Faraday shield disposed within an inductively coupled plasma etching apparatus
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
KR100509231B1 (ko) * 2003-01-03 2005-08-22 주식회사 아이피에스 박막증착용 반응용기
US7273638B2 (en) * 2003-01-07 2007-09-25 International Business Machines Corp. High density plasma oxidation
US7001491B2 (en) * 2003-06-26 2006-02-21 Tokyo Electron Limited Vacuum-processing chamber-shield and multi-chamber pumping method
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US7449220B2 (en) * 2004-04-30 2008-11-11 Oc Oerlikon Blazers Ag Method for manufacturing a plate-shaped workpiece
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7625981B2 (en) * 2004-06-04 2009-12-01 Sumitomo Chemical Company, Limited Process for producing modified polymer rubber
US7780791B2 (en) * 2004-06-30 2010-08-24 Lam Research Corporation Apparatus for an optimized plasma chamber top piece
KR101063737B1 (ko) * 2004-07-09 2011-09-08 주성엔지니어링(주) 기판 제조장비의 샤워헤드
US7749446B2 (en) * 2004-10-02 2010-07-06 Peterman Jr John William Optimized gas cell
US7722737B2 (en) * 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
US7571698B2 (en) * 2005-01-10 2009-08-11 Applied Materials, Inc. Low-frequency bias power in HDP-CVD processes
KR100854995B1 (ko) * 2005-03-02 2008-08-28 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치
US20070029046A1 (en) * 2005-08-04 2007-02-08 Applied Materials, Inc. Methods and systems for increasing substrate temperature in plasma reactors
US20070084408A1 (en) * 2005-10-13 2007-04-19 Applied Materials, Inc. Batch processing chamber with diffuser plate and injector assembly
KR100917118B1 (ko) 2005-10-24 2009-09-11 주식회사 코미코 가스 인젝터 및 이를 갖는 반도체 소자의 제조 장치
US20070209781A1 (en) * 2006-03-10 2007-09-13 Qnx Cooling Systems Inc. Heat exchanger easy mount system
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US9218944B2 (en) * 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
KR20080056428A (ko) 2006-12-18 2008-06-23 세메스 주식회사 가스 공급 장치 및 이를 포함하는 막 형성 장치
US8444926B2 (en) * 2007-01-30 2013-05-21 Applied Materials, Inc. Processing chamber with heated chamber liner
US7789993B2 (en) * 2007-02-02 2010-09-07 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
KR20080073001A (ko) 2007-02-05 2008-08-08 삼성전자주식회사 반도체 디바이스 제조설비의 가스 링
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
JP4486135B2 (ja) * 2008-01-22 2010-06-23 東京エレクトロン株式会社 温度制御機構およびそれを用いた処理装置
US8096116B2 (en) 2008-01-22 2012-01-17 Ocean Power Technologies, Inc. Mooring of multiple arrays of buoy-like WECs
KR100963291B1 (ko) * 2008-02-22 2010-06-11 주식회사 유진테크 기판처리장치 및 기판처리방법
US20090275206A1 (en) * 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
JP2010062318A (ja) * 2008-09-03 2010-03-18 Tokyo Electron Ltd ガス供給部材およびプラズマ処理装置
KR200475462Y1 (ko) * 2009-03-27 2014-12-03 램 리써치 코포레이션 플라즈마 처리 장치의 교체 가능한 상부 챔버 섹션
US8382939B2 (en) * 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
MY179709A (en) * 2009-09-10 2020-11-11 Lam Res Corp Replaceable upper chamber parts of plasma processing apparatus
KR101095172B1 (ko) * 2009-10-01 2011-12-16 주식회사 디엠에스 플라즈마 반응 챔버의 사이드 가스 인젝터
JP2012004196A (ja) * 2010-06-15 2012-01-05 Tokyo Electron Ltd プラズマ処理装置及びその処理ガス供給構造
DE102010056021B3 (de) * 2010-12-23 2012-04-19 Centrotherm Sitec Gmbh Düsenanordnung und CVD-Reaktor
US20130102156A1 (en) * 2011-10-21 2013-04-25 Lam Research Corporation Components of plasma processing chambers having textured plasma resistant coatings
US9947512B2 (en) * 2011-10-25 2018-04-17 Lam Research Corporation Window and mounting arrangement for twist-and-lock gas injector assembly of inductively coupled plasma chamber
US9437400B2 (en) * 2012-05-02 2016-09-06 Lam Research Corporation Insulated dielectric window assembly of an inductively coupled plasma processing apparatus
US10465288B2 (en) * 2014-08-15 2019-11-05 Applied Materials, Inc. Nozzle for uniform plasma processing
JP6504017B2 (ja) * 2015-10-21 2019-04-24 東京エレクトロン株式会社 基板処理装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106711006A (zh) * 2015-11-13 2017-05-24 北京北方微电子基地设备工艺研究中心有限责任公司 上电极组件及半导体加工设备
CN107195526A (zh) * 2017-06-08 2017-09-22 上海华力微电子有限公司 一种减少机台的部件之间摩擦的方法

Also Published As

Publication number Publication date
CN202855717U (zh) 2013-04-03
US20150279621A1 (en) 2015-10-01
KR20140006037U (ko) 2014-12-02
JP3178295U (ja) 2012-09-13
KR200478069Y1 (ko) 2015-08-24
WO2011031321A3 (en) 2011-06-23
MY179709A (en) 2020-11-11
KR200479181Y1 (ko) 2015-12-30
WO2011031321A2 (en) 2011-03-17
TWM412453U (en) 2011-09-21
DE212010000009U1 (de) 2011-05-26
US9076634B2 (en) 2015-07-07
MY165356A (en) 2018-03-21
US20110056626A1 (en) 2011-03-10
KR20120004158U (ko) 2012-06-12
US10074521B2 (en) 2018-09-11

Similar Documents

Publication Publication Date Title
CN203225233U (zh) 一种陶瓷侧气体喷射器
US11130142B2 (en) Showerhead having a detachable gas distribution plate
CN101523592B (zh) 用于光刻胶剥除和后金属蚀刻钝化的高室温工艺和室设计
CN103681304B (zh) 电容耦合等离子体处理装置中的喷头电极组件
CN202307788U (zh) 可调间隔电容耦合等离子体处理室的耗材隔离环
CN105185729B (zh) 适合于蚀刻高纵横比特征的真空处理室
CN202285227U (zh) 一种用作电容耦合等离子体处理室的部件的限定环
CN110603634A (zh) 在高温陶瓷加热器上的集成衬底温度测量
TW201445630A (zh) 多模式蝕刻腔室源組件
CN102315150A (zh) 用于等离子体处理室的可移动基环
TW201641741A (zh) 用於電感耦合電漿蝕刻反應器之氣體分配噴淋頭
TWI595536B (zh) 電感耦合電漿處理設備之絕緣介電窗組件
CN201820736U (zh) 可更换的等离子处理设备的上部室部分
CN107460451B (zh) 自居中底座加热器
JP2003243492A (ja) ウエハ処理装置とウエハステージ及びウエハ処理方法
CN110352482A (zh) 基板载置台及其电浆处理装置以及电浆处理方法
US20210265144A1 (en) Temperature-tuned substrate support for substrate processing systems
US20170047235A1 (en) Cover Plate, Plasma Treatment System Including the Same and Plasma Treatment Method of the Plasma Treatment System
TW202036751A (zh) 用於基板處理系統的利用介電窗之蜂巢型注射器
EP4189731A1 (en) Thin shadow ring for low-tilt trench etching

Legal Events

Date Code Title Description
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20131002