KR100744888B1 - 소재를 초임계 처리하기 위한 장치 및 방법 - Google Patents

소재를 초임계 처리하기 위한 장치 및 방법 Download PDF

Info

Publication number
KR100744888B1
KR100744888B1 KR1020027005570A KR20027005570A KR100744888B1 KR 100744888 B1 KR100744888 B1 KR 100744888B1 KR 1020027005570 A KR1020027005570 A KR 1020027005570A KR 20027005570 A KR20027005570 A KR 20027005570A KR 100744888 B1 KR100744888 B1 KR 100744888B1
Authority
KR
South Korea
Prior art keywords
module
supercritical
processing module
supercritical processing
processing
Prior art date
Application number
KR1020027005570A
Other languages
English (en)
Other versions
KR20020047315A (ko
Inventor
비버거맥시밀리언에이
레이맨프레드릭폴
숫톤토마스로버트
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20020047315A publication Critical patent/KR20020047315A/ko
Application granted granted Critical
Publication of KR100744888B1 publication Critical patent/KR100744888B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Extraction Or Liquid Replacement (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

소재의 초임계 처리(supercritical processing) 및 비초임계 처리(non-supercritical processing)를 위한 장치는 이송 모듈, 초임계 처리 모듈, 비초임계 처리 모듈 및 로봇을 포함한다. 이송 모듈은 입구를 갖는다. 초임계 처리 모듈 및 비초임계 처리 모듈은 이송 모듈에 연결된다. 로봇은 이송 모듈내에 위치되는 것이 바람직하다. 작동에 있어서, 로봇은 소재를 이송 모듈의 입구에서 초임계 처리 모듈로 이송시킨다. 초임계 처리 후, 로봇은 소재를 초임계 처리 모듈에서 비초임계 처리 모듈로 이송시킨다. 비초임계 처리 후, 상기 로봇은 소재를 상기 이송 모듈의 입구로 복귀시킨다. 변형 실시예에서, 비초임계 처리는 초임계 처리 전에 실행된다.

Description

소재를 초임계 처리하기 위한 장치 및 방법{METHOD AND APPARATUS FOR SUPERCRITICAL PROCESSING OF A WORKPIECE}
본 발명은 초임계 처리 분야에 관한 것이다. 특히, 본 발명은 소재가 초임계 환경에서 처리되고, 그리고 소재가 비초임계(non-supercritical) 환경에서 처리되는 초임계 처리 분야에 관한 것이다.
반도체 제조는 이온 주입시 포토레지스트(photoresist), 에칭 및 다른 처리 단계를 사용한다. 이온 주입 단계시, 포토레지스트는 불순물이 주입되지 않는 반도체 기재의 영역을 마스킹한다. 에칭 단계에 있어서, 포토레지스트는 에칭되지 않는 반도체 기재의 영역을 마스킹한다. 다른 처리 단계의 예는 처리된 웨이퍼의 블랭킷 보호 피복 또는 MEMS[마이크로 전기-기계적 시스템(micro electro-mechanical system)] 장치의 블랭킷 보호 피복으로서 포토레지스트를 사용하는 단계를 포함한다. 하기의 이온 주입 단계에 있어서, 포토레지스트는 젤리형 코어를 덮는 단단한 외피를 나타낸다. 단단한 외피는 포토레지스트 제거시에 어려움을 초래한다. 에칭 단계 후, 남아있는 포토레지스트는 포토레지스트 제거를 어렵게 하는 경화된 특성을 나타낸다. 에칭 단계 후, 잔류물(에칭 잔류물과 혼합된 포토레지스트 잔류물)은 에칭 특징부의 측벽을 피복한다. 에칭 단계 및 에칭되는 재료의 형태에 따라서, 에칭 잔류물과 혼합된 포토레지스트 잔류물은 제거가 어려운 문제점을 나타내는데, 이는 에칭 잔류물과 혼합된 포토레지스 잔류물이 종종 에칭 특징부의 측벽에 강하게 결합되기 때문이다.
일반적으로, 종래기술에 있어서, 포토레지스트 및 잔류물은 습식-클린 배스(wet-clean bath)에서의 클리닝 후 O2 플라즈마에서의 플라즈마 애싱(plasma ashing)에 의해 제거된다. 종래기술의 반도체 에칭 및 금속화 처리는 도 1의 블럭도에 도시되어 있다. 반도체 에칭 및 금속화 처리(10)는 포토레지스트 도포 단계(12), 포토레지스트 노출 단계(14), 포토레지스트 현상 단계(16), 유전체 에칭 단계(18), 애싱 단계(20), 습식 클리닝 단계(22) 및 금속 증착 단계(24)를 포함한다. 포토레지스트 도포 단계(12)에 있어서, 포토레지스트는 노출된 산화물 층을 갖는 웨이퍼에 도포된다. 포토레지스트 노출 단계(14)에 있어서, 포토레지스트는 마스크에 의해 부분적으로 차단되는 빛에 노출된다.
포토레지스트가 포지티브 포토레지스트인가 또는 네가티브 포토레지스트인가에 따라서, 노출된 포토레지스트 또는 노출되지 않은 포토레지스트는 각기 포토레지스트 현상 단계(16)에서 제거되어 산화물 층상에 노출된 패턴을 남긴다. 유전체 에칭 단계(18)에 있어서, 산화물 층상의 노출된 패턴은 이 노출된 패턴을 산화물 층내로 에칭하는 RIE[반응성 이온 에칭(reactive ion etch)] 공정에서 에칭되어 에칭된 패턴을 형성하는 한면 부분적으로 포토레지스트를 에칭한다. 이러한 것은 에칭 특징부의 측벽을 피복하는 한면 포토레지스트를 경화시키는 잔류물을 생성한다. 애싱 단계(20)에 있어서, O2 플라즈마는 포토레지스트 및 잔류물을 산화 또는 부분적으로 제거시킨다. 습식 클리닝 단계(22)에 있어서, 잔류 포토레지스트 및 잔류물은 습식-클린 배스에서 클리닝된다.
금속 증착 단계(24)에 있어서, 금속층이 웨이퍼상에 증착되어 에칭 패턴을 메우며 또한 에칭되지 않은 영역을 덮는다. 이후의 처리에 있어서, 에칭되지 않은 영역을 덮는 금속중 적어도 일부는 회로를 형성하기 위해 제거된다.
1990년 7월 31일자로 니시카와 등에게 허여된 미국 특허 제 4,944,837 호는 액화된 가스 또는 초임계 가스를 사용하여 레지스트를 제거하는 종래의 방법을 개시한다. 레지스트를 갖는 기재는 압력 용기내에 탑재되며, 상기 압력 용기는 액화된 가스 또는 초임계 가스를 수용한다. 소정의 시간이 지난 후, 액화된 가스 또는 초임계 가스는 신속히 팽창되어, 레지스트를 제거한다.
상기 미국 특허 제 4,944,837 호에는 초임계 CO2가 포토레지스트용 현상액으로서 사용될 수 있음을 개시한다. 포토레지스트 층을 갖는 기재가 소정의 패턴으로 빛에 노출되며, 따라서 잠상(latent image)을 형성한다. 포토레지스트 및 잠상을 갖는 기재가 초임계 CO2 배스에 30분동안 놓인다. 그런 후 초임계 CO2가 응축되어 포토레지스트의 패턴을 남긴다. 미국 특허 제 4,944,837 호에는 0.5 중량%의 메틸 이소부틸 케톤(MIBK)이 초임계 CO2에 첨가되어, 초임계 CO2의 효율을 향상시키고, 따라서 현상 시간을 30분에서 5분으로 줄일 수 있음을 더욱 개시한다.
또한, 미국 특허 제 4,944,837 호에는 초임계 CO2 및 7 중량%의 MIBK를 사용함으로써 포토레지스트가 제거될 수 있다는 것이 개시되어 있다. 포토레지스트를 갖는 기재는 초임계 CO2 및 MIBK에 30분 내지 45분동안 놓인다. 초임계 CO2를 응축시킴으로써, 포토레지스트가 제거된다.
미국 특허 제 4,944,837 호에 개시된 방법은 여러 이유로 인해 반도체 제조 라인용으로 적절하지 않다. 포토레지스트를 기재에서 제거하기 위해 액화된 가스 또는 초임계 가스를 신속하게 팽창시키는 것은 기재를 파손시킬 수 있다. 30분 정도 소요되는 포토레지스트 현상 처리는 너무 비효율적이다. MIBK를 사용하는 포토레지스트 현상 또는 제거 처리는 바람직하지 않은데, 이는 MIBK가 유독성이며 보다 적절한 선택이 없을 경우에만 MIBK가 사용되기 때문이다.
1995년 1월 3일자로 스미스 2세 등에게 허여된 미국 특허 제 5,377,705 호는 소재로부터 오염물을 클리닝하기 위한 시스템을 개시한다. 오염물은 유기물, 미립자 및 이온성 오염물을 포함한다. 상기 시스템은 가압가능한 클리닝 용기, 액체 CO2 저장 용기, 펌프, 용매 이송 시스템, 분리기, 응축기 및 각종 밸브를 포함한다. 펌프는 CO2 가스 및 용매를 클리닝 용기로 이송시켜 CO2 가스를 초임계 CO2로 가압한다. 초임계 CO2 및 용매는 소재로부터 오염물을 제거한다. 밸브는 초임계 CO2 및 용매중 일부가 클리닝 용기로부터 취출하는 반면 펌프는 초임계 CO2 및 용매를 보충시킨다. 분리기는 초임계 CO2로부터 용매를 분리시킨다. 응축기는 CO2를 액체 CO2로 응축시켜 액체 CO2 저장 용기가 보충될 수 있다.
포토레지스트 및 잔류물을 제거하기 위해 미국 특허 제 5,377,705 호에 개시된 것과 같은 시스템의 사용은 다수의 어려움이 있다. 가압가능한 클리닝 용기는 반도체 기재를 적절히 핸들링(handling)하도록 구성되어 있지 않다. 클리닝동안 초임계 CO2 및 용매를 취출하는 것이 비효율적이다. 이러한 시스템은 반도체 제조 라인의 스루풋 요구치를 만족시키기 쉽지 않다. 이러한 시스템은 반도체 제조 라인에서 중요한 안전한 반도체 기재 핸들링에 기여하지 않는다. 이러한 시스템은 반도체 기재 처리에 대해 경제적이지 못하다.
반도체 제조 라인에 적합한 초임계 이산화탄소를 사용하여 포토레지스트를 현상하는 방법이 요구된다.
반도체 제조 라인에 적합한 초임계 이산화탄소를 사용하여 포토레지스트를 제거하는 방법이 요구된다.
반도체 기재를 핸들링하도록 구성된 초임계 처리 시스템이 요구된다.
처리 챔버내에 유체 유동을 형성하기 위해 초임계 CO2 및 용매를 처리 챔버에서 반드시 취출시키지 않아도 되는 초임계 처리 시스템이 요구된다.
반도체 제조 라인의 스루풋 요구치를 만족시키는 초임계 처리 시스템이 요구된다.
안전한 반도체 기재의 핸들링을 제공하는 초임계 처리 시스템이 요구된다.
경제적인 반도체 기재 처리를 제공하는 초임계 처리 시스템이 요구된다.
에칭 처리와 초임계 처리를 조합하는 장치가 요구된다.
증착 처리와 초임계 처리를 조합하는 장치가 요구된다.
초임계 처리와 비초임계 처리를 조합하는 장치가 요구된다.
발명의 요약
본 발명은 소재의 초임계 처리 및 비초임계 처리를 위한 장치에 관한 것이다. 본 장치는 이송 모듈, 초임계 처리 모듈, 비초임계 처리 모듈 및 로봇을 포함한다. 이송 모듈은 입구를 갖는다. 초임계 처리 모듈 및 비초임계 처리 모듈은 이송 모듈에 연결된다. 로봇은 이송 모듈내에 바람직하게 위치된다. 작동시, 로봇은 소재를 이송 모듈의 입구에서 초임계 처리 모듈로 이송시킨다. 초임계 처리 후, 로봇은 소재를 초임계 처리 모듈에서 비초임계 처리 모듈로 이송시킨다. 비초임계 처리 후, 로봇은 소재를 이송 모듈의 입구로 복귀시킨다. 변형 실시예에서, 비초임계 처리는 초임계 처리전에 실시된다.
도 1은 종래 기술의 반도체 에칭 및 금속화 처리를 위한 처리 플로우의 블럭도,
도 2는 본 발명의 반도체 에칭 및 금속화 처리를 위한 처리 플로우의 블럭도,
도 3은 본 발명의 초임계 제거 처리의 블럭도,
도 4는 본 발명의 바람직한 반도체 처리 시스템을 도시하는 도면,
도 5는 본 발명의 바람직한 반도체 처리 모듈을 도시하는 도면,
도 6은 본 발명의 제 1 변형 실시예의 반도체 처리 시스템을 도시하는 도면,
도 7은 본 발명의 제 2 변형 실시예의 반도체 처리 시스템을 도시하는 도면,
도 8은 본 발명의 제 3 변형 실시예의 반도체 처리 시스템을 도시하는 도면,
도 9는 본 발명의 제 8 변형 실시예의 반도체 처리 시스템을 도시하는 도면.
본 발명의 반도체 에칭 및 금속화 처리가 도 2에 블럭도로서 도시되어 있다. 반도체 에칭 및 금속화 처리(30)는 포토레지스트 도포 단계(32), 포토레지스트 노출 단계(34), 포토레지스트 현상 단계(36), 유전체 에칭 단계(38), 초임계 제거 처리(40) 및 금속 증착 단계(42)를 포함한다. 포토레지스트 도포 단계(32)에 있어서, 포토레지스트는 노출된 산화물 층을 갖는 웨이퍼에 도포된다. 포토레지스트 노출 단계(34)에 있어서, 포토레지스트는 마스크에 의해 부분적으로 차단되는 빛에 노출된다.
포토레지스트가 포지티브 포토레지스트인가 또는 네가티브 포토레지스트인가에 따라서, 노출된 포토레지스트 또는 노출되지 않은 포토레지스트는 각기 포토레지스트 현상 단계(36)에서 제거되어 산화물 층에 노출된 패턴을 남긴다. 유전체 에칭 단계(38)에 있어서, 산화물 층상의 노출된 패턴은 바람직하게 이 노출된 패턴을 산화물 층에 에칭하는 한면 포토레지스트를 부분적으로 에칭하는 RIE[반응성 이온 에칭(reactive ion etch)] 공정에서 에칭된다. 이러한 것은 에칭 특징부의 측벽을 피복하는 한면 포토레지스트를 경화시키는 잔류물을 형성한다.
초임계 제거 처리(40)에 있어서, 초임계 이산화탄소 및 용매는 포토레지스트 및 잔류물을 제거하도록 사용된다. 금속 증착 단계(42)에 있어서, 금속층이 웨이퍼상에 증착되어 에칭 패턴을 메우며 또한 에칭되지 않은 영역을 덮는다. 이후의 처리에 있어서, 에칭되지 않은 영역을 덮는 금속중 적어도 일부는 회로를 형성하기 위해 제거된다.
본 발명의 초임계 제거 처리(40)가 도 3에 블럭도로서 도시되어 있다. 초임계 제거 처리(40)는 웨이퍼상에 포토레지스트 및 잔류물을 갖는 웨이퍼를 압력 챔버내에 위치시키고 상기 압력 챔버를 제 1 처리 단계(52)에서 밀봉함으로써 개시된다. 제 2 처리 단계(54)에 있어서, 이산화탄소가 초임계 이산화탄소(SCCO2)로 될 때까지 압력 챔버는 이산화탄소에 의해 가압된다. 제 3 처리 단계(56)에 있어서, 초임계 이산화탄소는 용매를 처리 챔버로 이송시킨다. 제 4 처리 단계(58)에 있어서, 포토레지스트 및 잔류물이 웨이퍼로부터 제거될 때까지 초임계 이산화탄소 및 용매는 웨이퍼와 접촉한 상태로 유지된다. 제 4 처리 단계(58)에 있어서, 용매는 포토레지스트 및 잔류물을 적어도 부분적으로 용해시킨다. 제 5 처리 단계(60)에 있어서, 압력 챔버는 부분적으로 배기된다. 제 6 처리 단계(62)에 있어서, 웨이퍼는 린싱된다. 제 7 처리 단계(64)에 있어서, 초임계 제거 단계(40)는 압력 챔버를 감압하고 웨이퍼를 제거함으로써 종료된다.
유전체 에칭 단계(38), 초임계 제거 처리(40) 및 금속 증착 단계(42)는 본 발명의 바람직한 반도체 처리 시스템에 의해 반도체 제조 라인에서 바람직하게 실행되며, 이는 도 4에 도시되어 있다. 바람직한 반도체 처리 시스템(70)은 이송 모듈(72), 에칭 모듈(74), 초임계 처리 모듈(76), 대기실(77), 대기실 로봇(79), 증착 모듈(78), 이송 모듈 로봇(80) 및 제어 전자기기(82)를 포함한다. 이송 모듈(72)은 제 1 내지 제 3 처리 포트(84 내지 86) 및 이송 모듈 입구(90)를 포함한다. 이송 모듈 입구(90)는 제 1 핸드-오프 스테이션(92) 및 제 2 핸드-오프 스테이션(94), 그리고 제 1 입구(96) 및 제 2 입구(98)를 포함한다.
에칭 모듈(74), 대기실(77)을 통한 초임계 처리 모듈(76) 및 증착 모듈(78)은 각기 제 1 내지 제 3 처리 포트(84 내지 86)를 통해 이송 모듈(72)에 바람직하게 연결된다. 바람직하게는, 이송 모듈 로봇(80)은 이송 모듈(72)의 중심에서 이송 모듈(72)에 연결된다. 제 1 및 제 2 핸드-오프 스테이션(92, 94)은 각기 제 1 및 제 2 입구(96, 98)를 통해 이송 모듈에 연결된다. 바람직하게는, 제 1 및 제 2 핸드-오프 스테이션(92, 94)은 각기 제 1 및 제 2 로드록을 포함한다. 제어 전자기기(82)는 이송 모듈(72)에 연결된다.
바람직하게는, 이송 모듈(72)은 낮은 진공 내지 높은 진공에서 작동한다. 바람직하게는, 에칭 모듈(74)은 RIE(반응성 이온 에칭) 모듈이다. RIE 모듈은 높은 진공에서 작동하는 것이 바람직하다. 바람직하게는, 증착 모듈(78)은 PVD(물리적 증착) 모듈이다. PVD 모듈은 매우 높은 진공 또는 초고 진공에서 작동하는 것이 바람직하다.
당업자는 RIE 모듈이 플라즈마 에칭 모듈과 같은 다른 에칭 모듈로 대체될 수 있음을 쉽게 알 수 있다. 또한, 당업자는 PVD 모듈이 CVD(화학적 증착) 모듈과 같은 다른 증착 모듈로 대체될 수 있음을 쉽게 알 수 있다. 또한, 당업자는 바람직한 반도체 처리 시스템(70)이 에칭 모듈(74) 및 초임계 처리 모듈(76)로 구성될 수 있거나, 또는 초임계 처리 모듈(76) 및 증착 모듈(78)로 구성될 수 있음을 쉽게 알 수 있다.
이송 모듈 로봇(80)은 로봇 베이스(100), 로봇 아암(102) 및 앤드 이펙터(end effector)(104)를 포함하는 것이 바람직하다. 로봇 베이스는 이송 모듈(72)에 연결된다. 로봇 아암(102)은 앤드 이펙터(104)를 로봇 베이스(100)에 연결하는 2개의 로봇 아암인 것이 바람직하다. 앤드 이펙터(104)는 소재를 파지하도록 위치되게 구성된다. 바람직하게, 앤드 이펙터(104)는 웨이퍼를 파지하도록 위치되게 구성된다. 변형 실시예에서, 앤드 이펙터(104)는 퍽(puck) 또는 다른 기재를 파지하도록 위치되게 구성된다. 변형 실시예에서, 이중 아암 로봇이 이송 모듈 로봇(80)을 대체하며, 여기서 이중 아암 로봇은 2개의 아암 및 2개의 앤드 이펙터를 포함한다.
초임계 처리 모듈(76)은 제 1 게이트 밸브(106)를 포함하는 것이 바람직하다. 제 1 게이트 밸브(106)는 소재 캐비티(cavity)(112)를 대기실(77)에 연결시킨다. 대기실(77)은 제 2 게이트 밸브(108)를 포함하는 것이 바람직하다. 제 2 게이트 밸브(108)는 대기실(77)을 이송 모듈(72)에 연결시킨다.
바람직하게는, 작동시 이송 모듈 로봇(80)은 소재(118)를 제 1 핸드-오프 스테이션(92)으로부터 유전체 에칭 단계(38)가 실시되는 에칭 모듈(74)로 이송시킨다. 그 후, 이송 모듈 로봇(80)은 웨이퍼(118)를 에칭 모듈(74)로부터 초임계 처리 모듈(76)의 대기실(77)로 이송시킨다. 그런 후, 제 2 게이트 밸브(108)가 폐쇄되고 대기실(77)이 이산화탄소로 가압되는 것이 바람직하다. 그 후, 대기실 로봇(79)은 소재(118)를 대기실(77)로부터 초임계 처리 모듈(76)로 이송시키며, 상기 모듈에서 초임계 제거 처리(40)가 실시된다. 그 후, 소재는 대기실 로봇(79)에 의해 초임계 처리 모듈(76)로부터 대기실(77)로 제거된다. 그 후, 대기실은 진공 펌프(도시되지 않음)에 의해 배기된다. 바람직하게, 진공 펌프는 터보-펌프를 포함하는 것이 바람직하다. 그런 후, 제 2 게이트 밸브(108)가 개방되고 이송 모듈 로봇(80)은 소재(118)를 초임계 처리 모듈(76)로부터 증착 모듈(78)로 이송시키며, 상기 증착 모듈에서 금속 증착 단계(42)가 실행된다. 그 후, 이송 모듈 로봇(80)은 소재(118)를 금속 증착 모듈(78)로부터 제 2 핸드-오프 스테이션(94)으로 이송시킨다.
바람직하게는 소재(118)는 웨이퍼이다. 바람직하게는, 웨이퍼는 이송 모듈 로봇(80)이 웨이퍼를 에칭 모듈(74)로 이동시키기 전에 제 1 핸드-오프 스테이션(92)에서 다른 웨이퍼와 함께 제 1 카세트내에 있다. 당업자는 나머지 웨이퍼중 일부는 상기 웨이퍼와 동시에 처리될 수 있음을 쉽게 알 수 있다. 예를 들면, 웨이퍼가 증착 모듈(78)내에 있는 동안, 제 2 웨이퍼는 초임계 처리 모듈(76)내에 있을 수 있으며, 제 3 웨이퍼는 에칭 모듈(74)내에 있을 수 있다.
바람직하게는, 웨이퍼는 금속 증착 단계 후 이송 모듈 로봇(80)에 의해 제 2 핸드-오프 스테이션(94)의 제 2 카세트내에 위치된다. 변형 실시예에서, 웨이퍼는 나머지 웨이퍼와 함께 제 1 핸드-오프 스테이션(92)의 제 1 카세트에서 개시 및 종료하는 반면 웨이퍼의 제 2 그룹은 제 2 핸드-오프 스테이션(94)의 제 2 카세트에서 개시 및 종료한다.
당업자는 제 2 핸드-오프 스테이션(94)이 제거될 수 있거나 또는 추가적인 핸드-오프 스테이션이 바람직한 반도체 처리 시스템(70)에 부가될 수 있음을 쉽게 알 수 있다. 또한, 당업자는 이송 모듈 로봇(80)이 소재(118)를 이송시키도록 구성된 이송 장치로 대체될 수 있음을 쉽게 알 수 있다. 또한, 당업자는 제 1 및 제 2 카세트가 전방 개방식 일체화된 포드(pods)일 수 있으며 상기 포드는 표준 기계적 계면 개념을 사용하여 웨이퍼가 주위 환경과 분리된 청정 환경에 유지될 수 있다.
본 발명의 초임계 처리 모듈(76)이 도 5에 도시되어 있다. 초임계 처리 모듈(76)은 이산화탄소 공급 용기(132), 이산화탄소 펌프(134), 압력 챔버(136), 화학제품 공급 용기(138), 순환 펌프(140) 및 배기 가스 수집 용기(144)를 포함한다. 이산화탄소 공급 용기(132)는 이산화탄소 펌프(134) 및 이산화탄소 배관(146)을 통해 압력 챔버(136)에 연결된다. 이산화탄소 배관(146)은 이산화탄소 펌프(134)와 압력 챔버(136) 사이에 위치된 이산화탄소 가열기(148)를 포함한다. 압력 챔버(136)는 압력 챔버 가열기(150)를 포함한다. 순환 펌프(140)는 순환 라인(152)상에 위치되고, 상기 순환 라인은 순환 입구(154) 및 순환 출구(156)에서 압력 챔버(136)에 연결된다. 화학제품 공급 용기(138)는 제 1 주입 펌프(159)를 포함하는 화학제품 공급 라인(158)을 통해 순환 라인(152)에 연결된다. 린스제 공급 용기(160)는 제 2 주입 펌프(163)를 포함하는 린스 공급 라인(162)을 통해 순환 라인(152)에 연결된다. 배기 가스 수집 용기(144)는 배기 가스 배관(164)을 통해 압력 챔버(136)에 연결된다.
이산화탄소 공급 용기(132), 이산화탄소 펌프(134) 및 이산화탄소 가열기(148)는 이산화탄소 공급 장치(149)를 형성한다. 화학제품 공급 용기(138), 제 1 주입 펌프(159), 린스제 공급 용기(160) 및 제 2 주입 펌프(163)는 화학제품 및 린스제 공급 장치(165)를 형성한다.
당업자는 초임계 처리 모듈(76)이 밸브 장치, 제어 전자기기, 필터 및 전형적인 초임계 유체 처리 시스템인 다용도 후크업(hookups)을 포함할 수 있음을 쉽게 알 수 있다.
도 3 내지 도 5를 참조하면, 초임계 제거 방법(40)의 실행은 제 1 처리 단계(52)에 의해 개시되고, 여기서 포토레지스트 또는 잔류물(또는 포토레지스트와 잔류물 양자)을 갖는 웨이퍼는 대기실 로봇(79)에 의해 압력 챔버(136)의 웨이퍼 캐비티(112)내에 삽입되고, 그런 후 압력 챔버(136)는 게이트 밸브(106)를 폐쇄시킴으로써 밀봉된다. 제 2 처리 단계(54)에 있어서, 압력 챔버(136)는 이산화탄소 펌프(134)에 의해 이산화탄소 공급 용기(132)로부터의 이산화탄소로 가압된다. 제 2 단계(54) 동안, 이산화탄소는 이산화탄소 가열기(148)에 의해 가열되는 한면 압력 챔버(136)는 압력 챔버 가열기(150)에 의해 가열되어 압력 챔버(136)내의 이산화탄소의 온도가 임계 온도 이상으로 되는 것을 보장한다. 이산화탄소를 위한 임계 온도는 31℃이다. 바람직하게는, 압력 챔버(136)내의 이산화탄소의 온도는 45℃ 내지 75℃ 범위내에 있다. 변형 실시예에 있어서, 압력 챔버(136)내의 이산화탄소의 온도는 31℃ 내지 약 100℃의 범위내에 유지된다.
초기의 초임계 조건에 도달하면, 제 1 주입 펌프(159)는 용매를 화학제품 공급 용기(138)로부터 순환 라인(152)을 통해 압력 챔버(136)로 펌핑하는 한면, 이산화탄소 펌프는 제 3 처리 단계(56)에서 초임계 이산화탄소를 더욱 가압한다. 용매 주입의 개시시, 압력 챔버(136)내의 압력은 약 1,100 psi 내지 1,200 psi(7.58 MPa 내지 8.27 MPa)인 것이 바람직하다. 소정량의 용매가 압력 챔버(136)내로 펌핑되어 소망의 초임계 조건에 도달하면, 이산화탄소 펌프(134)는 압력 챔버(136)를 가압하는 것을 중단하고, 제 1 주입 펌프(159)는 용매를 압력 챔버(136)내로 펌핑하는 것을 중단하며, 제 4 처리 단계(58)에서 순환 펌프(140)는 초임계 이산화탄소 및 용매를 순환시키는 것을 개시한다. 바람직하게, 상기 시점에서의 압력은 약 2,700 psi 내지 2,800 psi(18.62 MPa 내지 19.31 MPa)이다. 초임계 이산화탄소 및 용매를 순환시킴으로써, 초임계 이산화탄소는 용매가 웨이퍼와 접촉하는 것을 유지시킨다. 또한, 초임계 이산화탄소 및 용매를 순환시킴으로써, 유체 유동은 포토레지스트 및 잔류물을 웨이퍼로부터 제거하는 것을 향상시킨다.
바람직하게, 제 4 처리 단계(58) 동안 웨이퍼는 압력 챔버(136)내에 정지된채 유지된다. 변형 실시예에서, 제 4 처리 단계(58) 동안 웨이퍼는 압력 챔버(136)내에서 회전된다.
포토레지스트 및 잔류물이 웨이퍼로부터 제거된 후, 압력 챔버(136)내의 조건을 제 5 처리 단계(60)시의 초기 초임계 조건에 가깝게 복귀시키기 위해 압력 챔버(136)는 초임계 이산화탄소, 용매, 제거된 포토레지스트 및 제거된 잔류물중 일부를 배기 가스 수집 용기(144)로 배출시킴으로써 부분적으로 감압된다. 바람직하게는, 압력 챔버(136)내의 압력은 압력을 증가시킴으로써 상기 시점에서 적어도 한번 순환되며 그 후 압력 챔버(136)를 부분적으로 다시 배기시킨다. 이러한 것은 압력 챔버(136)내의 청결도를 향상시킨다. 제 5 처리 단계(60)에 있어서, 압력 챔버는 임계 온도 이상 및 임계 압력 이상으로 유지되는 것이 바람직하다. 이산화탄소에 대한 임계 압력은 1,070 psi(7.38 MPa)이다.
제 6 처리 단계(62)에 있어서, 제 2 주입 펌프(163)는 린스제를 린스제 공급 용기(160)로부터 순환 라인을 통해 압력 챔버(136)내로 펌핑하는 반면, 이산화탄소 펌프(134)는 압력 챔버(136)를 소망의 초임계 조건에 가깝게 가압하고, 그런 후 웨이퍼를 린싱하기 위해 순환 펌프(140)는 초임계 이산화탄소 및 린스제를 순환시킨다. 바람직하게는, 린스제는 물, 알콜, 아세톤 및 이들의 혼합물로 이루어진 그룹에서 선택된다. 보다 바람직하게는, 린스제는 알콜과 물의 혼합물이다. 바람직하게는, 알콜은 이소프로필 알콜, 에탄올 및 다른 저분자량 알콜로 이루어진 그룹에서 선택된다. 더욱 바람직하게는, 알콜은 이소프로필 알콜 및 에탄올로 이루어진 그룹에서 선택된다. 가장 바람직하게는, 알콜은 에탄올이다.
바람직하게는, 제 6 처리 단계(62) 동안 웨이퍼는 압력 챔버(136)내에 정지 상태로 유지된다. 변형 실시예에서, 제 6 처리 단계(62) 동안 웨이퍼는 압력 챔버(136)내에서 회전한다.
제 7 처리 단계(64)에 있어서, 압력 챔버(136)는 압력 챔버(136)를 배기 가스 수집 용기(144)에 배기시킴으로써 감압되고, 게이트 밸브(106)가 개방되며, 웨이퍼는 대기실 로봇(77)에 의해 압력 챔버(136)로부터 제거된다.
본 발명의 변형 실시예의 초임계 제거 처리는 하기의 특허, 즉 2000년 10월 25일자로 출원된 미국 특허 출원 제 09/697,227 호(또한 2000년 11월 1일자로 제 PCT/US00/30218 호로 국제 출원되었으며, 2001년 5월 10일자로 제 WO 01/33613 호로 공개됨), 1998년 5월 27일자로 출원된 미국 특허 출원 제 09/085,391 호(2001년 10월 23일자로 미국 특허 제 6,306,564 호로 특허 허여됨), 및 1997년 5월 27일자로 출원된 미국 가 특허 출원 제 60/047,739 호(상기 출원은 미국 특허 제 6,306,564 호에 대해 우선권을 제공함)에 개시되어 있으며, 이들 모두는 본원에 참조로서 인용된다.
본 발명의 제 1 변형 실시예의 반도체 처리 시스템이 도 6에 도시되어 있다. 제 1 변형 실시예의 반도체 처리 시스템(170)은 대기실(77) 및 대기실 로봇(79)을 바람직한 반도체 처리 시스템(70)으로부터 제거한다. 제 1 변형 실시예의 반도체 처리 시스템(170)에 있어서, 초임계 처리 모듈(76)은 제 2 처리 포트(85)에 직접 연결되는 것이 바람직하고, 진공 펌프는 초임계 처리 모듈(76)에 연결되는 것이 바람직하다. 따라서, 제 1 변형 실시예의 반도체 처리 시스템(170)에 있어서, 초임계 처리 모듈(76)은 진공 조건과 초임계 조건 사이에서 작동한다.
본 발명의 제 2 변형 실시예의 반도체 처리 시스템이 도 7에 도시되어 있다. 제 2 변형 실시예의 반도체 처리 시스템(220)은 제 3 핸드-오프 스테이션(222), 제 2 이송 모듈(224), 제 2 이송 모듈 로봇(226)을 바람직한 반도체 처리 시스템(70)에 부가시킨다. 제 2 변형 실시예의 반도체 처리 시스템(220)에 있어서, 제 3 핸드-오프 스테이션(222)은 이송 모듈(72)을 제 2 이송 모듈(224)에 연결시킨다. 제 2 이송 모듈 로봇(226)은 제 2 이송 모듈(224)내에 존재하는 것이 바람직하다. 에칭 모듈(74) 및 증착 모듈(78)은 이송 모듈(72)에 연결되는 것이 바람직하며, 초임계 처리 모듈(76)은 제 2 이송 모듈(224)에 연결되는 것이 바람직하다. 따라서, 제 2 변형 실시예의 반도체 처리 시스템(220)이 초임계 처리 모듈(76)을 진공에서 작동하는 에칭 및 증착 모듈(74, 78)로부터 분리시키는 것이 바람직하다. 이러한 방법에 있어서, 처리 청결도는 향상된다. 선택적으로, 제 2 변형 실시예의 반도체 처리 시스템(220)에 있어서, 제 4 핸드-오프 스테이션은 이송 모듈(72)과 제 2 이송 모듈(224) 사이에 부가된다.
본 발명의 제 3 변형 실시예의 반도체 처리 시스템이 도 8에 도시되어 있다. 제 3 변형 실시예의 반도체 처리 시스템(200)은 이송 모듈(72), 초임계 처리 모듈(76), 이송 모듈 로봇(80) 및 비초임계 처리 모듈(202)을 포함한다. 비초임계 처리 모듈은 반도체 처리 모듈인 것이 바람직하다. 반도체 처리 모듈은 에칭 모듈, 물리적 증착 모듈, 화학적 증착 모듈, 전기 도금 모듈, 화학적 기계적 평탄화 모듈, 사진 석판술 모듈, 애싱 모듈, 스크러빙 모듈(scrubbing module) 및 다른 반도체 처리 모듈로 이루어진 그룹에서 선택되는 것이 바람직하다.
본 발명의 제 4 변형 실시예의 반도체 처리 시스템에 있어서, 바람직한 반도체 처리 시스템(70)의 이송 모듈(72)은 진공에서 작동되지 않으며, 제 1 및 제 2 핸드-오프 스테이션(92, 94)은 로드록이 아닌 것이 바람직하다. 차라리, 이송 모듈(72)은 대기압 또는 주위 환경에 대해 약간의 포지티브 압력에서 작동하며 상기 약간의 포지티브 압력은 불활성 가스 주입 장치에 의해 발생된다. 불활성 가스 주입 장치는 Ar, CO2, 또는 N2와 같은 불활성 가스를 이송 모듈(72)내에 주입시킨다. 이러한 것은 이송 모듈이 진공에서 작동되지 않을 경우 이송 모듈(72)내에 보다 청정한 처리 환경을 보장한다.
본 발명의 제 5 변형 실시예의 반도체 처리 시스템은 제 4 변형 실시예의 반도체 처리 시스템의 이송 모듈(72)을 제거한다. 제 5 변형 실시예의 반도체 처리 시스템에 있어서, 이송 모듈 로봇(80)은 이송 모듈(72)에 의해 제공되는 폐쇄 효과로 인한 이득없이 소재를 제 1 및 제 2 핸드-오프 스테이션(92, 94)과 에칭 모듈(74), 초임계 처리 모듈(76)과 증착 모듈(78) 사이에서 이동시키도록 구성된 간단한 로봇이다.
본 발명의 제 6 변형 실시예의 반도체 처리 시스템은 바람직한 반도체 처리 시스템(70)에 검사 스테이션을 부가한다. 제 6 변형 실시예의 반도체 처리 시스템에 있어서, 소재(118)는 증착 모듈(78)로 이송되기 전에 검사 스테이션으로 이송된다. 검사 스테이션에서, 소재(118)를 검사함으로써 포토레지스트 및 잔류물이 소재로부터 제거되었음을 보장한다. 바람직하게, 검사 스테이션은 소재를 검사하기 위해 분광기를 사용한다. 선택적으로, 검사 스테이션은 초임계 처리 모듈(76)내에 결합될 수 있다.
제 6 변형 실시예의 반도체 처리 시스템의 작동에 있어서, 만일 포토레지스 트가 에칭 완료되었으며 잔류물이 증착되지 않았음을 예측하는 경우 소재(118)는 에칭 모듈(74)로부터 직접 검사 스테이션으로 이송된다. 따라서, 검사 스테이션에서 어떠한 포토레지스트도 남아있지 않으며 어떠한 잔류물도 발견되지 않는다면, 초임계 제거 처리(40)는 생략된다.
본 발명의 제 7 변형 실시예의 반도체 처리 시스템은 바람직한 반도체 처리 시스템(70)에 사전 준비 로봇(front-end robot)을 부가시킨다. 제 7 변형 실시예의 반도체 처리 시스템에 있어서, 사전 준비 로봇은 이송 모듈(72)에 대한 입구의 외측에 존재하며 제 1 및 제 2 카세트는 제 1 및 제 2 핸드-오프 스테이션(92, 94)와 이격되게 위치된다. 사전 준비 로봇은 웨이퍼를 제 1 카세트에서 제 1 핸드-오프 스테이션(92)으로 이동시키도록 구성되는 것이 바람직하며, 또한 웨이퍼를 제 2 핸드-오프 스테이션(94)에서 제 2 카세트로 이동시키도록 구성되는 것이 바람직하다.
본 발명의 제 8 변형 실시예의 반도체 처리 시스템이 도 9에 도시되어 있다. 제 8 변형 실시예의 반도체 처리 시스템(210)은 다른 이송 모듈(212)과 로봇 트랙(214)을 포함한다.
본 발명의 제 9 변형 실시예의 반도체 처리 시스템은 바람직한 반도체 처리 시스템(70)에 웨이퍼 배향 장치를 부가시킨다. 웨이퍼 배향 장치는 플랫(flat), 노치(notch) 또는 다른 배향 지시기에 따라 웨이퍼를 배향시킨다. 바람직하게는, 웨이퍼는 제 1 핸드-오프 스테이션(92)에 배향된다. 변형 실시예에 있어서, 웨이퍼는 제 2 핸드-오프 스테이션(94)에 배향된다.
본 발명의 제 1 변형 실시예의 초임계 처리 모듈은 압력 챔버(136)와 게이트 밸브(106)를 다른 압력 챔버로 대체한다. 다른 압력 챔버는 챔버 하우징과 유압 구동식 웨이퍼 플래튼(platen)을 포함한다. 챔버 하우징은 바닥에서 개방되는 원통형 캐비티를 포함한다. 유압 구동식 웨이퍼 플래튼은 원통형 캐비티의 외측을 하우징하는 챔버를 밀봉하도록 구성된다. 작동시, 웨이퍼는 유압 구동식 웨이퍼 플래튼상에 위치된다. 그런 후, 유압 구동식 웨이퍼 플래튼은 상방으로 이동하여 챔버 하우징과 밀봉된다. 웨이퍼가 처리되면, 유압 구동식 웨이퍼 플래튼은 하강되고 웨이퍼는 취출된다.
본 발명의 제 2 변형 실시예의 초임계 처리 모듈은 웨이퍼 캐비티(112)에 도입하기 위한 순환 라인(152)용 변형 입구를 웨이퍼 캐비티(112)의 원주에 위치시키고 변형 출구를 웨이퍼 캐비티(112)의 상부 중앙에 위치시킨다. 변형 입구는 초임계 이산화탄소를 웨이퍼 캐비티(112)에 의해 형성된 평면에 주입시키도록 바람직하게 구성된다. 바람직하게, 변형 입구는 웨이퍼 캐비티(112)의 잔류물에 대해 경사져 작동시 변형 입구 및 변형 출구는 웨이퍼 캐비티(112)내에 와류를 형성한다.
당업자는 첨부된 특허청구범위에 의해 규정된 본 발명의 정신 및 범위를 벗어나는 일 없이 각종 다른 변형이 바람직한 실시예에 실시될 수 있음을 쉽게 알 수 있다.

Claims (63)

  1. 소재(workpiece)를 초임계 처리하기 위한 장치에 있어서,
    ⓐ 입구를 갖는 이송 모듈(72)과,
    ⓑ 처리 동안에 상기 소재를 유지하기 위한 일정한 부피를 갖는 웨이퍼 캐비티(cavity)를 구비하고, 상기 웨이퍼 캐비티내의 압력을 주기적으로 변화시키도록 구성된 제 1 초임계 처리 모듈(76)과,
    ⓒ 상기 제 1 초임계 처리 모듈인 단일의 처리 모듈에 상기 이송 모듈을 연결하고, 1,000psi(6.89MPa) 이상의 압력을 견디도록 구성된 대기실(antechamber)과,
    ⓓ 상기 대기실을 상기 제 1 초임계 처리 모듈에 연결하는 제 1 게이트 밸브와,
    ⓔ 상기 이송 모듈에 연결되고, 제 2 초임계 처리 모듈, 진공 처리 모듈 및 대기압 처리 모듈중 하나인 제 2 처리 모듈(74, 78, 202)과,
    ⓕ 상기 이송 모듈에 연결되고, 상기 이송 모듈과 상기 대기실 사이에서 상기 소재를 이동시키도록 구성된 제 1 이송 장치와,
    ⓖ 상기 대기실에 연결되고, 상기 대기실과 상기 제 1 초임계 처리 모듈 사이에서 상기 소재를 이동시키도록 구성된 제 2 이송 장치를 포함하는
    소재를 초임계 처리하기 위한 장치.
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 그 표면상에 잔류물을 갖는 제 1 소재(118)를 초임계 처리하는 방법에 있어서,
    ⓐ 상기 제 1 소재를 이송 모듈(72)의 입구(92, 94)로부터 상기 이송 모듈내로 이송하는 단계와,
    ⓑ 상기 제 1 소재를 청정 환경에 유지하면서 상기 제 1 소재를 소재 캐비티를 갖는 초임계 처리 모듈(76)로 이송하는 단계로서,
    ① 상기 제 1 소재를 상기 이송 모듈로부터 대기실로 이송하는 단계와,
    ② 상기 대기실을 1,000psi(6.89MPa) 이상의 압력으로 가압하는 단계와,
    ③ 상기 제 1 소재를 상기 대기실로부터 상기 소재 캐비티로 이송하는 단계를 포함하는, 상기 제 1 소재를 상기 초임계 처리 모듈로 이송하는 단계와,
    ⓒ 상기 잔류물의 적어도 일부가 상기 제 1 소재의 표면으로부터 제거될 때까지 초임계 환경의 상기 소재 캐비티내에서 상기 제 1 소재를 처리하는 단계로서, 상기 소재 캐비티가 처리 동안에 거의 일정한 부피를 유지하는, 상기 제 1 소재의 처리 단계와,
    ⓓ 상기 제 1 소재를 청정 환경에 유지하면서 상기 제 1 소재를 비초임계(non-supercritical) 처리 모듈(74, 78, 202)로 이송하는 단계와,
    ⓔ 상기 제 1 소재를 상기 비초임계 처리 모듈에서 처리하는 단계와,
    ⓕ 상기 제 1 소재를 상기 이송 모듈의 입구로 복귀시키는 단계를 포함하는
    소재를 초임계 처리하는 방법.
  27. 제 26 항에 있어서,
    상기 이송 모듈의 상기 입구는 핸드-오프 스테이션(hand-off station)(92)을 포함하는
    소재를 초임계 처리하는 방법.
  28. 제 27 항에 있어서,
    상기 이송 모듈의 상기 입구는 추가적인 핸드-오프 스테이션(94)을 더 포함하는
    소재를 초임계 처리하는 방법.
  29. 삭제
  30. 삭제
  31. 제 1 항에 있어서,
    상기 제 2 처리 모듈은 에칭 모듈, 전자 도금 모듈, 화학적 기계적 평탄화 모듈, 사진 석판술(photolithography) 모듈, 증착 모듈, 및 이들 조합중 하나인
    소재를 초임계 처리하기 위한 장치.
  32. 제 1 항에 있어서,
    상기 제 1 초임계 처리 모듈에 연결되고, 상기 제 1 초임계 처리 모듈내로 물질을 가압하도록 구성된 가압 장치(pressurizer)를 더 포함하는
    소재를 초임계 처리하기 위한 장치.
  33. 제 32 항에 있어서,
    상기 가압 장치는,
    ⓐ CO2 공급 용기와,
    ⓑ 상기 CO2 공급 용기를 상기 제 1 초임계 처리 모듈에 연결하는 펌프를 포함하는
    소재를 초임계 처리하기 위한 장치.
  34. 제 1 항에 있어서,
    상기 이송 모듈은 상기 이송 모듈내에 진공을 형성하기 위한 수단을 포함하는
    소재를 초임계 처리하기 위한 장치.
  35. 제 34 항에 있어서,
    상기 이송 모듈은 주위 환경에 대해 약간의 정압으로 되도록 상기 이송 모듈내의 압력을 유지시키기 위한 수단을 더 포함하는
    소재를 초임계 처리하기 위한 장치.
  36. 제 35 항에 있어서,
    상기 이송 모듈 내부를 약간의 정압으로 유지시키기 위한 수단은 불활성 가스 주입 장치를 포함하는
    소재를 초임계 처리하기 위한 장치.
  37. 제 1 항에 있어서,
    상기 제 1 이송 모듈은 제 1 로봇을 포함하는
    소재를 초임계 처리하기 위한 장치.
  38. 제 37 항에 있어서,
    제 2 이송 모듈은 제 2 로봇을 포함하는
    소재를 초임계 처리하기 위한 장치.
  39. 제 1 항에 있어서,
    상기 제 1 초임계 처리 모듈은,
    ⓐ 상기 웨이퍼 캐비티의 원주부를 따라 위치되고, 상기 웨이퍼 캐비티내로 초임계 물질을 주입하도록 구성된 다수의 입구와,
    ⓑ 상기 웨이퍼 캐비티의 상부 중앙을 따라 위치되고, 상기 웨이퍼 캐비티로부터 상기 초임계 물질을 배출하도록 구성된
    소재를 초임계 처리하기 위한 장치.
  40. 제 31 항에 있어서,
    상기 증착 모듈은 물리적 증착 모듈, 화학적 증착 모듈 및 이들 조합중 하나인
    소재를 초임계 처리하기 위한 장치.
  41. 제 1 항에 있어서,
    상기 대기실은 하나의 소재만을 수용하는 크기를 갖는
    소재를 초임계 처리하기 위한 장치.
  42. 제 1 항에 있어서,
    상기 이송 모듈을 상기 대기실에 연결하는 제 2 게이트 밸브를 더 포함하는
    소재를 초임계 처리하기 위한 장치.
  43. 제 42 항에 있어서,
    상기 제 1 게이트 밸브 및 상기 제 2 게이트 밸브는 모두 1,000psi(6.89MPa) 이상의 압력을 견디도록 구성된
    소재를 초임계 처리하기 위한 장치.
  44. 제 1 항에 있어서,
    상기 제 1 초임계 처리 모듈은 8인치보다 큰 직경을 갖는 웨이퍼를 수용하는 크기를 갖는
    소재를 초임계 처리하기 위한 장치.
  45. 소재를 초임계 처리하기 위한 장치에 있어서,
    ⓐ 이송 모듈과,
    ⓑ 처리 동안에 상기 소재를 유지하기 위한 웨이퍼 캐비티를 구비하는 제 1 초임계 처리 모듈로서, 상기 웨이퍼 캐비티는 캐비티 벽에 의해 규정되고, 상기 캐비티 벽은 처리 동안에 실질적으로 강성을 유지하는, 상기 제 1 초임계 처리 모듈과,
    ⓒ 상기 제 1 초임계 처리 모듈인 단일의 처리 모듈에 상기 이송 모듈을 연결하고, 1,000psi(6.89MPa) 이상의 압력을 견디도록 구성된 대기실과,
    ⓓ 상기 이송 모듈에 연결되고, 제 2 초임계 처리 모듈, 진공 처리 모듈 및 대기압 처리 모듈중 하나인 제 2 처리 모듈과,
    ⓔ 상기 이송 모듈에 연결되고, 상기 이송 모듈과 상기 대기실 사이에서 상기 소재를 이동시키도록 구성된 제 1 이송 장치와,
    ⓕ 상기 대기실에 연결되고, 상기 대기실과 상기 제 1 초임계 처리 모듈 사이에서 상기 소재를 이동시키도록 구성된 제 2 이송 장치를 포함하는
    소재를 초임계 처리하기 위한 장치.
  46. 제 26 항에 있어서,
    상기 제 1 소재를 상기 초임계 처리 모듈에서 처리하는 단계는,
    ⓐ 처리 물질을 상기 초임계 처리 모듈내로 도입하는 단계와,
    ⓑ 상기 초임계 처리 모듈내의 상기 처리 물질을 초임계 상태로 조정하는 단계를 포함하는
    소재를 초임계 처리하는 방법.
  47. 제 46 항에 있어서,
    상기 처리 물질은 이산화탄소인
    소재를 초임계 처리하는 방법.
  48. 제 26 항에 있어서,
    상기 이송 모듈 내부를 약간의 정압으로 유지하는 단계를 더 포함하는
    소재를 초임계 처리하는 방법.
  49. 제 26 항에 있어서,
    상기 이송 모듈 내부를 대략 대기압과 동일한 압력으로 유지하는 단계를 더 포함하는
    소재를 초임계 처리하는 방법.
  50. 제 48 항에 있어서,
    상기 약간의 정압으로 유지하는 단계는 불활성 가스를 상기 이송 모듈내로 주입하는 단계를 포함하는
    소재를 초임계 처리하는 방법.
  51. 제 50 항에 있어서,
    상기 불활성 가스는 본질적으로 Ar, CO2 및 N2로 구성되는 그룹으로부터 선택되는
    소재를 초임계 처리하는 방법.
  52. 제 26 항에 있어서,
    상기 제 1 소재를 상기 비초임계 처리 모듈에서 처리하는 단계는, 검사 단계, 정렬 단계, 에칭 단계, 물리적 증착 단계, 화학적 증착 단계, 전기 도금 단계, 화학적 기계적 평탄화 단계, 사진 석판화 단계, 애싱 단계, 스크러빙(scrubbing) 단계중 하나를 포함하는
    소재를 초임계 처리하는 방법.
  53. 제 46 항에 있어서,
    상기 제 1 소재를 상기 초임계 처리 모듈에서 처리하는 단계는,
    ⓐ 상기 초임계 처리 모듈내에 초임계 상태를 발생시키는 단계와,
    ⓑ 용매를 상기 초임계 처리 모듈내로 주입하는 단계와,
    ⓒ 상기 처리 물질 및 용매를 상기 초임계 처리 모듈내에서 순환시키는 단계와,
    ⓓ 상기 처리 물질 및 용매중 일부를 상기 초임계 처리 모듈로부터 배기하는 단계를 포함하는
    소재를 초임계 처리하는 방법.
  54. 제 53 항에 있어서,
    상기 제 1 소재를 상기 초임계 처리 모듈에서 처리하는 단계 동안에 상기 제 1 소재를 고정 상태로 유지하는 단계를 더 포함하는
    소재를 초임계 처리하는 방법.
  55. 제 53 항에 있어서,
    상기 제 1 소재를 상기 초임계 처리 모듈에서 처리하는 단계 동안에 상기 제 1 소재를 회전시키는 단계를 더 포함하는
    소재를 초임계 처리하는 방법.
  56. 제 53 항에 있어서,
    ⓐ 상기 초임계 처리 모듈내의 압력을 조정하는 단계와,
    ⓑ 상기 처리 물질 및 용매를 상기 초임계 처리 모듈로부터 배기하는 단계를 더 포함하는
    소재를 초임계 처리하는 방법.
  57. 제 53 항에 있어서,
    ⓐ 린스제를 상기 초임계 처리 모듈내로 도입하는 단계와,
    ⓑ 상기 처리 물질을 상기 초임계 처리 모듈내로 도입하는 단계와,
    ⓒ 상기 처리 물질 및 상기 린스제를 상기 초임계 처리 모듈내에서 순환시키는 단계와,
    ⓓ 상기 처리 물질 및 상기 린스제를 상기 초임계 처리 모듈로부터 배기하는 단계를 더 포함하는
    소재를 초임계 처리하는 방법.
  58. 제 57 항에 있어서,
    상기 린스제는 본질적으로 물, 알콜, 아세톤 및 이들 혼합물로 이루어진 그룹으로부터 선택되는
    소재를 초임계 처리하는 방법.
  59. 제 58 항에 있어서,
    상기 알콜은 본질적으로 이소프로필 알콜, 에탄올 및 다른 저분자량 알콜로 이루어진 그룹으로부터 선택되는
    소재를 초임계 처리하는 방법.
  60. 제 26 항에 있어서,
    상기 제 1 소재가 상기 소재 캐비티에서 처리되는 동안에 제 2 소재를 상기 비초임계 처리 모듈에서 처리하는 단계를 더 포함하는
    소재를 초임계 처리하는 방법.
  61. 제 26 항에 있어서,
    상기 잔류물은 포토레지스트 잔류물 및 에칭 잔류물중 하나인
    소재를 초임계 처리하는 방법.
  62. 제 26 항에 있어서,
    상기 웨이퍼 캐비티내의 압력은 1,500psi(10.34MPa)를 초과하는
    소재를 초임계 처리하는 방법.
  63. 제 26 항에 있어서,
    상기 제 1 소재는 8인치보다 큰 직경을 갖는
    소재를 초임계 처리하는 방법.
KR1020027005570A 1999-11-02 2000-11-01 소재를 초임계 처리하기 위한 장치 및 방법 KR100744888B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16312199P 1999-11-02 1999-11-02
US60/163,121 1999-11-02

Publications (2)

Publication Number Publication Date
KR20020047315A KR20020047315A (ko) 2002-06-21
KR100744888B1 true KR100744888B1 (ko) 2007-08-01

Family

ID=22588579

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020027005569A KR100742473B1 (ko) 1999-11-02 2000-11-01 제 1 및 제 2 소재를 초임계 처리하는 장치 및 방법
KR1020027005570A KR100744888B1 (ko) 1999-11-02 2000-11-01 소재를 초임계 처리하기 위한 장치 및 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020027005569A KR100742473B1 (ko) 1999-11-02 2000-11-01 제 1 및 제 2 소재를 초임계 처리하는 장치 및 방법

Country Status (9)

Country Link
US (2) US7060422B2 (ko)
EP (2) EP1234322A2 (ko)
JP (2) JP4621400B2 (ko)
KR (2) KR100742473B1 (ko)
CN (2) CN1175470C (ko)
AU (2) AU3267201A (ko)
CA (2) CA2387373A1 (ko)
TW (1) TW484169B (ko)
WO (2) WO2001033615A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200081347A1 (en) 2018-09-12 2020-03-12 Semes Co., Ltd. Apparatus for treating substrate

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6497239B2 (en) 1999-08-05 2002-12-24 S. C. Fluids, Inc. Inverted pressure vessel with shielded closure mechanism
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
US6748960B1 (en) 1999-11-02 2004-06-15 Tokyo Electron Limited Apparatus for supercritical processing of multiple workpieces
EP1277233A2 (en) * 2000-04-25 2003-01-22 Tokyo Electron Corporation Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
WO2002009147A2 (en) * 2000-07-26 2002-01-31 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate
US6562146B1 (en) 2001-02-15 2003-05-13 Micell Technologies, Inc. Processes for cleaning and drying microelectronic structures using liquid or supercritical carbon dioxide
US6800210B2 (en) * 2001-05-22 2004-10-05 Reflectivity, Inc. Method for making a micromechanical device by removing a sacrificial layer with multiple sequential etchants
US6958123B2 (en) * 2001-06-15 2005-10-25 Reflectivity, Inc Method for removing a sacrificial material with a compressed fluid
US6706641B2 (en) 2001-09-13 2004-03-16 Micell Technologies, Inc. Spray member and method for using the same
US6666928B2 (en) 2001-09-13 2003-12-23 Micell Technologies, Inc. Methods and apparatus for holding a substrate in a pressure chamber
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US6782900B2 (en) 2001-09-13 2004-08-31 Micell Technologies, Inc. Methods and apparatus for cleaning and/or treating a substrate using CO2
US6763840B2 (en) 2001-09-14 2004-07-20 Micell Technologies, Inc. Method and apparatus for cleaning substrates using liquid carbon dioxide
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
FR2838422A1 (fr) * 2002-04-11 2003-10-17 Memscap Procede de fabrication de composants microelectromecaniques
CN100423179C (zh) * 2002-06-21 2008-10-01 应用材料股份有限公司 用于真空处理***的传送处理室
US6722642B1 (en) * 2002-11-06 2004-04-20 Tokyo Electron Limited High pressure compatible vacuum chuck for semiconductor wafer including lift mechanism
US6880560B2 (en) 2002-11-18 2005-04-19 Techsonic Substrate processing apparatus for processing substrates using dense phase gas and sonic waves
JP3861798B2 (ja) * 2002-11-19 2006-12-20 株式会社日立ハイテクサイエンスシステムズ レジスト現像処理装置とその方法
JP2004228526A (ja) * 2003-01-27 2004-08-12 Tokyo Electron Ltd 基板処理方法および半導体装置の製造方法
US20040194886A1 (en) * 2003-04-01 2004-10-07 Deyoung James Microelectronic device manufacturing in coordinated carbon dioxide processing chambers
US7226512B2 (en) * 2003-06-18 2007-06-05 Ekc Technology, Inc. Load lock system for supercritical fluid cleaning
KR100505693B1 (ko) * 2003-06-26 2005-08-03 삼성전자주식회사 미세 전자 소자 기판으로부터 포토레지스트 또는 유기물을세정하는 방법
DE102004029077B4 (de) * 2003-06-26 2010-07-22 Samsung Electronics Co., Ltd., Suwon Vorrichtung und Verfahren zur Entfernung eines Photoresists von einem Substrat
US7323064B2 (en) * 2003-08-06 2008-01-29 Micron Technology, Inc. Supercritical fluid technology for cleaning processing chambers and systems
US20050181310A1 (en) * 2004-02-17 2005-08-18 Shun-Fa Yang Method for etching metal surface of golf club head
US20060201074A1 (en) * 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
TWI298895B (en) * 2004-06-02 2008-07-11 Applied Materials Inc Electronic device manufacturing chamber and methods of forming the same
US7250374B2 (en) * 2004-06-30 2007-07-31 Tokyo Electron Limited System and method for processing a substrate using supercritical carbon dioxide processing
US20060070640A1 (en) * 2004-10-01 2006-04-06 Darko Babic Method and system for injecting chemistry into a supercritical fluid
US7767145B2 (en) 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US20070012337A1 (en) * 2005-07-15 2007-01-18 Tokyo Electron Limited In-line metrology for supercritical fluid processing
US8322299B2 (en) * 2006-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster processing apparatus for metallization processing in semiconductor manufacturing
KR100829923B1 (ko) * 2006-08-30 2008-05-16 세메스 주식회사 스핀헤드 및 이를 이용하는 기판처리방법
US20100108636A1 (en) * 2008-10-30 2010-05-06 Seagate Technology Llc Integrated Tool for Fabricating an Electronic Component
US8596648B2 (en) 2010-10-22 2013-12-03 Oshkosh Corporation Pump for vehicle suspension system
US20120266810A1 (en) * 2011-04-20 2012-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Planarization system for high wafer topography
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
JP6817326B2 (ja) 2016-04-08 2021-01-20 オシュコッシュ・コーポレーション 昇降装置、昇降装置用平衡システム、車両及びその制御方法
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10179941B1 (en) * 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102037918B1 (ko) * 2017-11-28 2019-10-29 세메스 주식회사 기판 처리 방법 및 기판 처리 장치
CN112005343A (zh) 2018-03-02 2020-11-27 朗姆研究公司 使用水解的选择性沉积
JP6992625B2 (ja) 2018-03-16 2022-01-13 三菱ケミカル株式会社 コークス製造用粘結材の製造方法
KR102636979B1 (ko) * 2019-04-26 2024-02-14 삼성전자주식회사 멀티 챔버 장치
KR20230092188A (ko) 2021-12-17 2023-06-26 삼성전자주식회사 기판 처리 장치 및 방법

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1991012629A1 (en) 1990-02-16 1991-08-22 Edward Bok Improved installation for wafer transfer and processing

Family Cites Families (204)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2625886A (en) * 1947-08-21 1953-01-20 American Brake Shoe Co Pump
US2617719A (en) 1950-12-29 1952-11-11 Stanolind Oil & Gas Co Cleaning porous media
US2873597A (en) * 1955-08-08 1959-02-17 Victor T Fahringer Apparatus for sealing a pressure vessel
US3521765A (en) * 1967-10-31 1970-07-28 Western Electric Co Closed-end machine for processing articles in a controlled atmosphere
US3623627A (en) * 1969-08-22 1971-11-30 Hunt Co Rodney Door construction for a pressure vessel
US3689025A (en) 1970-07-30 1972-09-05 Elmer P Kiser Air loaded valve
US3744660A (en) * 1970-12-30 1973-07-10 Combustion Eng Shield for nuclear reactor vessel
FR2128426B1 (ko) 1971-03-02 1980-03-07 Cnen
US3890176A (en) 1972-08-18 1975-06-17 Gen Electric Method for removing photoresist from substrate
US3968885A (en) * 1973-06-29 1976-07-13 International Business Machines Corporation Method and apparatus for handling workpieces
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4029517A (en) 1976-03-01 1977-06-14 Autosonics Inc. Vapor degreasing system having a divider wall between upper and lower vapor zone portions
US4091643A (en) 1976-05-14 1978-05-30 Ama Universal S.P.A. Circuit for the recovery of solvent vapor evolved in the course of a cleaning cycle in dry-cleaning machines or plants, and for the de-pressurizing of such machines
GB1594935A (en) 1976-11-01 1981-08-05 Gen Descaling Co Ltd Closure for pipe or pressure vessel and seal therefor
JPS5448172A (en) * 1977-09-24 1979-04-16 Tokyo Ouka Kougiyou Kk Plasma reaction processor
US4219333A (en) 1978-07-03 1980-08-26 Harris Robert D Carbonated cleaning solution
US4367140A (en) * 1979-11-05 1983-01-04 Sykes Ocean Water Ltd. Reverse osmosis liquid purification apparatus
US4355937A (en) * 1980-12-24 1982-10-26 International Business Machines Corporation Low shock transmissive antechamber seal mechanisms for vacuum chamber type semi-conductor wafer electron beam writing apparatus
DE3112434A1 (de) * 1981-03-28 1982-10-07 Depa GmbH, 4000 Düsseldorf Druckluftgetriebene doppelmembran-pumpe
US4682937A (en) * 1981-11-12 1987-07-28 The Coca-Cola Company Double-acting diaphragm pump and reversing mechanism therefor
DE3145815C2 (de) 1981-11-19 1984-08-09 AGA Gas GmbH, 2102 Hamburg Verfahren zum Entfernen von ablösungsfähigen Materialschichten von beschichteten Gegenständen,
US4522788A (en) * 1982-03-05 1985-06-11 Leco Corporation Proximate analyzer
US4426358A (en) * 1982-04-28 1984-01-17 Johansson Arne I Fail-safe device for a lid of a pressure vessel
DE3238768A1 (de) * 1982-10-20 1984-04-26 Kurt Wolf & Co Kg, 7547 Wildbad Kochgefaess aus kochtopf und deckel, insbesondere dampfdruckkochtopf
FR2536433A1 (fr) 1982-11-19 1984-05-25 Privat Michel Procede et installation de nettoyage et decontamination particulaire de vetements, notamment de vetements contamines par des particules radioactives
US4626509A (en) 1983-07-11 1986-12-02 Data Packaging Corp. Culture media transfer assembly
US4865061A (en) 1983-07-22 1989-09-12 Quadrex Hps, Inc. Decontamination apparatus for chemically and/or radioactively contaminated tools and equipment
US4549467A (en) * 1983-08-03 1985-10-29 Wilden Pump & Engineering Co. Actuator valve
US4475993A (en) 1983-08-15 1984-10-09 The United States Of America As Represented By The United States Department Of Energy Extraction of trace metals from fly ash
GB8332394D0 (en) 1983-12-05 1984-01-11 Pilkington Brothers Plc Coating apparatus
US4877530A (en) 1984-04-25 1989-10-31 Cf Systems Corporation Liquid CO2 /cosolvent extraction
US4693777A (en) 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US4960140A (en) 1984-11-30 1990-10-02 Ishijima Industrial Co., Ltd. Washing arrangement for and method of washing lead frames
US4788043A (en) 1985-04-17 1988-11-29 Tokuyama Soda Kabushiki Kaisha Process for washing semiconductor substrate with organic solvent
US4778356A (en) * 1985-06-11 1988-10-18 Hicks Cecil T Diaphragm pump
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US5044871A (en) * 1985-10-24 1991-09-03 Texas Instruments Incorporated Integrated circuit processing system
US4827867A (en) * 1985-11-28 1989-05-09 Daikin Industries, Ltd. Resist developing apparatus
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4670126A (en) 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
JPS63157870A (ja) 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
EP0290098B1 (de) 1987-05-07 1990-11-14 Micafil Ag Verfahren und Vorrichtung zum Extrahieren von Oel oder polychloriertem Biphenyl aus imprägnierten elektrischen Teilen mittels eines Lösungsmittels sowie Destillation des Lösungsmittels
US4924892A (en) 1987-07-28 1990-05-15 Mazda Motor Corporation Painting truck washing system
DE3725565A1 (de) 1987-08-01 1989-02-16 Peter Weil Verfahren und anlage zum entlacken von gegenstaenden mit einem tauchbehaelter mit loesungsmittel
US5105556A (en) 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
US4838476A (en) 1987-11-12 1989-06-13 Fluocon Technologies Inc. Vapour phase treatment process and apparatus
EP0343233B1 (en) 1987-11-27 1994-02-02 Battelle Memorial Institute Supercritical fluid reverse micelle separation
US4933404A (en) 1987-11-27 1990-06-12 Battelle Memorial Institute Processes for microemulsion polymerization employing novel microemulsion systems
US5266205A (en) 1988-02-04 1993-11-30 Battelle Memorial Institute Supercritical fluid reverse micelle separation
US4789077A (en) 1988-02-24 1988-12-06 Public Service Electric & Gas Company Closure apparatus for a high pressure vessel
JP2663483B2 (ja) * 1988-02-29 1997-10-15 勝 西川 レジストパターン形成方法
US4823976A (en) * 1988-05-04 1989-04-25 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Quick actuating closure
US5224504A (en) * 1988-05-25 1993-07-06 Semitool, Inc. Single wafer processor
US5185296A (en) 1988-07-26 1993-02-09 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on a substrate
US5013366A (en) 1988-12-07 1991-05-07 Hughes Aircraft Company Cleaning process using phase shifting of dense phase gases
US5051135A (en) 1989-01-30 1991-09-24 Kabushiki Kaisha Tiyoda Seisakusho Cleaning method using a solvent while preventing discharge of solvent vapors to the environment
JPH02209729A (ja) * 1989-02-09 1990-08-21 Matsushita Electric Ind Co Ltd 半導体装置の製造方法及び異物除去装置
US5237824A (en) 1989-02-16 1993-08-24 Pawliszyn Janusz B Apparatus and method for delivering supercritical fluid
US4879431A (en) * 1989-03-09 1989-11-07 Biomedical Research And Development Laboratories, Inc. Tubeless cell harvester
US5169296A (en) 1989-03-10 1992-12-08 Wilden James K Air driven double diaphragm pump
US5213485A (en) * 1989-03-10 1993-05-25 Wilden James K Air driven double diaphragm pump
US5068040A (en) 1989-04-03 1991-11-26 Hughes Aircraft Company Dense phase gas photochemical process for substrate treatment
US5288333A (en) 1989-05-06 1994-02-22 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method and apparatus therefore
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US4923828A (en) 1989-07-07 1990-05-08 Eastman Kodak Company Gaseous cleaning method for silicon devices
US5062770A (en) * 1989-08-11 1991-11-05 Systems Chemistry, Inc. Fluid pumping apparatus and system with leak detection and containment
US4983223A (en) 1989-10-24 1991-01-08 Chenpatents Apparatus and method for reducing solvent vapor losses
US5226441A (en) * 1989-11-13 1993-07-13 Cmb Industries Backflow preventor with adjustable outflow direction
US5213619A (en) 1989-11-30 1993-05-25 Jackson David P Processes for cleaning, sterilizing, and implanting materials using high energy dense fluids
US5169408A (en) 1990-01-26 1992-12-08 Fsi International, Inc. Apparatus for wafer processing with in situ rinse
US5217043A (en) * 1990-04-19 1993-06-08 Milic Novakovic Control valve
US5186594A (en) 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
DE69133413D1 (de) 1990-05-07 2004-10-21 Canon Kk Substratträger des Vakuumtyps
US5370741A (en) 1990-05-15 1994-12-06 Semitool, Inc. Dynamic semiconductor wafer processing using homogeneous chemical vapors
DE4018464A1 (de) * 1990-06-08 1991-12-12 Ott Kg Lewa Membran fuer eine hydraulisch angetriebene membranpumpe
US5071485A (en) 1990-09-11 1991-12-10 Fusion Systems Corporation Method for photoresist stripping using reverse flow
US5236669A (en) * 1990-09-12 1993-08-17 E. I. Du Pont De Nemours And Company Pressure vessel
US5167716A (en) 1990-09-28 1992-12-01 Gasonics, Inc. Method and apparatus for batch processing a semiconductor wafer
DE4106180A1 (de) * 1990-10-08 1992-04-09 Dirk Dipl Ing Budde Doppel-membranpumpe
US5143103A (en) 1991-01-04 1992-09-01 International Business Machines Corporation Apparatus for cleaning and drying workpieces
EP0496605B1 (en) 1991-01-24 2001-08-01 Wako Pure Chemical Industries Ltd Surface treating solutions for semiconductors
US5185058A (en) 1991-01-29 1993-02-09 Micron Technology, Inc. Process for etching semiconductor devices
US5201960A (en) 1991-02-04 1993-04-13 Applied Photonics Research, Inc. Method for removing photoresist and other adherent materials from substrates
CH684402A5 (de) * 1991-03-04 1994-09-15 Xorella Ag Wettingen Vorrichtung zum Verschieben und Schwenken eines Behälter-Verschlusses.
EP0514337B1 (de) 1991-05-17 1995-11-22 Ciba-Geigy Ag Verfahren zum Färben von hydrophobem Textilmaterial mit Dispersionsfarbstoffen aus überkritischem CO2
US5195878A (en) * 1991-05-20 1993-03-23 Hytec Flow Systems Air-operated high-temperature corrosive liquid pump
US5274129A (en) 1991-06-12 1993-12-28 Idaho Research Foundation, Inc. Hydroxamic acid crown ethers
US5225173A (en) 1991-06-12 1993-07-06 Idaho Research Foundation, Inc. Methods and devices for the separation of radioactive rare earth metal isotopes from their alkaline earth metal precursors
US5243821A (en) * 1991-06-24 1993-09-14 Air Products And Chemicals, Inc. Method and apparatus for delivering a continuous quantity of gas over a wide range of flow rates
US5174917A (en) 1991-07-19 1992-12-29 Monsanto Company Compositions containing n-ethyl hydroxamic acid chelants
US5251776A (en) * 1991-08-12 1993-10-12 H. William Morgan, Jr. Pressure vessel
JP3040212B2 (ja) * 1991-09-05 2000-05-15 株式会社東芝 気相成長装置
GB2259525B (en) 1991-09-11 1995-06-28 Ciba Geigy Ag Process for dyeing cellulosic textile material with disperse dyes
DE9112761U1 (de) * 1991-10-14 1992-04-09 Krones Ag Hermann Kronseder Maschinenfabrik, 8402 Neutraubling Gefäßverschließmaschine
US5221019A (en) * 1991-11-07 1993-06-22 Hahn & Clay Remotely operable vessel cover positioner
EP0543779A1 (de) 1991-11-20 1993-05-26 Ciba-Geigy Ag Verfahren zum optischen Aufhellen von hydrophobem Textilmaterial mit dispersen optischen Aufhellern in überkritischem CO2
US5190373A (en) * 1991-12-24 1993-03-02 Union Carbide Chemicals & Plastics Technology Corporation Method, apparatus, and article for forming a heated, pressurized mixture of fluids
US5240390A (en) * 1992-03-27 1993-08-31 Graco Inc. Air valve actuator for reciprocable machine
US5404894A (en) * 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
US5313965A (en) * 1992-06-01 1994-05-24 Hughes Aircraft Company Continuous operation supercritical fluid treatment process and system
JPH0613361A (ja) * 1992-06-26 1994-01-21 Tokyo Electron Ltd 処理装置
US5401322A (en) * 1992-06-30 1995-03-28 Southwest Research Institute Apparatus and method for cleaning articles utilizing supercritical and near supercritical fluids
US5267455A (en) * 1992-07-13 1993-12-07 The Clorox Company Liquid/supercritical carbon dioxide dry cleaning system
US5285352A (en) * 1992-07-15 1994-02-08 Motorola, Inc. Pad array semiconductor device with thermal conductor and process for making the same
US5368171A (en) 1992-07-20 1994-11-29 Jackson; David P. Dense fluid microwave centrifuge
US5746008A (en) * 1992-07-29 1998-05-05 Shinko Electric Co., Ltd. Electronic substrate processing system using portable closed containers
KR100304127B1 (ko) * 1992-07-29 2001-11-30 이노마다 시게오 가반식 밀폐 컨테이너를 사용한 전자기판 처리시스템과 그의 장치
US5339844A (en) 1992-08-10 1994-08-23 Hughes Aircraft Company Low cost equipment for cleaning using liquefiable gases
US5261965A (en) 1992-08-28 1993-11-16 Texas Instruments Incorporated Semiconductor wafer cleaning using condensed-phase processing
US5589224A (en) 1992-09-30 1996-12-31 Applied Materials, Inc. Apparatus for full wafer deposition
US5337446A (en) 1992-10-27 1994-08-16 Autoclave Engineers, Inc. Apparatus for applying ultrasonic energy in precision cleaning
US5355901A (en) 1992-10-27 1994-10-18 Autoclave Engineers, Ltd. Apparatus for supercritical cleaning
US5294261A (en) 1992-11-02 1994-03-15 Air Products And Chemicals, Inc. Surface cleaning using an argon or nitrogen aerosol
US5328722A (en) * 1992-11-06 1994-07-12 Applied Materials, Inc. Metal chemical vapor deposition process using a shadow ring
JP2548062B2 (ja) * 1992-11-13 1996-10-30 日本エー・エス・エム株式会社 縦型熱処理装置用ロードロックチャンバー
KR100251873B1 (ko) * 1993-01-21 2000-04-15 마쓰바 구니유키 종형 열처리 장치
US5474410A (en) 1993-03-14 1995-12-12 Tel-Varian Limited Multi-chamber system provided with carrier units
US5433334A (en) * 1993-09-08 1995-07-18 Reneau; Raymond P. Closure member for pressure vessel
US5377705A (en) * 1993-09-16 1995-01-03 Autoclave Engineers, Inc. Precision cleaning system
US5656097A (en) 1993-10-20 1997-08-12 Verteq, Inc. Semiconductor wafer cleaning system
US5509431A (en) * 1993-12-14 1996-04-23 Snap-Tite, Inc. Precision cleaning vessel
US5417768A (en) * 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
US5934856A (en) * 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
JPH0846013A (ja) * 1994-05-23 1996-02-16 Tokyo Electron Ltd マルチチャンバ処理システム用搬送装置
JP3486462B2 (ja) * 1994-06-07 2004-01-13 東京エレクトロン株式会社 減圧・常圧処理装置
WO1996015304A1 (en) * 1994-11-09 1996-05-23 R.R. Street & Co. Inc. Method and system for rejuvenating pressurized fluid solvents used in cleaning substrates
US5505219A (en) * 1994-11-23 1996-04-09 Litton Systems, Inc. Supercritical fluid recirculating system for a precision inertial instrument parts cleaner
DE4443778A1 (de) * 1994-12-08 1996-06-20 Abel Gmbh & Co Doppelmembranpumpe
US5556497A (en) * 1995-01-09 1996-09-17 Essef Corporation Fitting installation process
US5629918A (en) * 1995-01-20 1997-05-13 The Regents Of The University Of California Electromagnetically actuated micromachined flap
JP3457758B2 (ja) * 1995-02-07 2003-10-20 シャープ株式会社 超臨界流体を利用した洗浄装置
US5981399A (en) * 1995-02-15 1999-11-09 Hitachi, Ltd. Method and apparatus for fabricating semiconductor devices
US5644855A (en) * 1995-04-06 1997-07-08 Air Products And Chemicals, Inc. Cryogenically purged mini environment
JPH08306632A (ja) * 1995-04-27 1996-11-22 Shin Etsu Handotai Co Ltd 気相エピタキシャル成長装置
US6097015A (en) * 1995-05-22 2000-08-01 Healthbridge, Inc. Microwave pressure vessel and method of sterilization
JP3983831B2 (ja) * 1995-05-30 2007-09-26 シグマメルテック株式会社 基板ベーキング装置及び基板ベーキング方法
JPH08330266A (ja) * 1995-05-31 1996-12-13 Texas Instr Inc <Ti> 半導体装置等の表面を浄化し、処理する方法
US6454945B1 (en) * 1995-06-16 2002-09-24 University Of Washington Microfabricated devices and methods
JP2676334B2 (ja) * 1995-07-31 1997-11-12 住友重機械工業株式会社 ロボットアーム
US6239038B1 (en) * 1995-10-13 2001-05-29 Ziying Wen Method for chemical processing semiconductor wafers
US5783082A (en) * 1995-11-03 1998-07-21 University Of North Carolina Cleaning process using carbon dioxide as a solvent and employing molecularly engineered surfactants
US6037277A (en) * 1995-11-16 2000-03-14 Texas Instruments Incorporated Limited-volume apparatus and method for forming thin film aerogels on semiconductor substrates
EP0884769A1 (en) * 1996-02-29 1998-12-16 Tokyo Electron Limited Heat-treating boat for semiconductor wafer
JP3955340B2 (ja) * 1996-04-26 2007-08-08 株式会社神戸製鋼所 高温高圧ガス処理装置
DK9600149U3 (da) * 1996-05-01 1997-09-12 Moerch & Soenner A S Dækselaggregat
US6203582B1 (en) * 1996-07-15 2001-03-20 Semitool, Inc. Modular semiconductor workpiece processing tool
US5706319A (en) * 1996-08-12 1998-01-06 Joseph Oat Corporation Reactor vessel seal and method for temporarily sealing a reactor pressure vessel from the refueling canal
JP3176294B2 (ja) * 1996-08-26 2001-06-11 日本電気株式会社 半導体ウェーハ用キャリア
US5881577A (en) * 1996-09-09 1999-03-16 Air Liquide America Corporation Pressure-swing absorption based cleaning methods and systems
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US5888050A (en) * 1996-10-30 1999-03-30 Supercritical Fluid Technologies, Inc. Precision high pressure control assembly
JPH10144757A (ja) * 1996-11-08 1998-05-29 Dainippon Screen Mfg Co Ltd 基板処理システム
US5906866A (en) * 1997-02-10 1999-05-25 Tokyo Electron Limited Process for chemical vapor deposition of tungsten onto a titanium nitride substrate surface
JP3437734B2 (ja) * 1997-02-26 2003-08-18 富士通株式会社 製造装置
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
JPH10261687A (ja) * 1997-03-18 1998-09-29 Furontetsuku:Kk 半導体等製造装置
JP4246804B2 (ja) * 1997-03-26 2009-04-02 株式会社神戸製鋼所 加熱・加圧処理装置
JPH10288158A (ja) * 1997-04-10 1998-10-27 Kobe Steel Ltd ピストン式ガス圧縮機及びガス圧縮設備
US6306564B1 (en) * 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
TW524873B (en) * 1997-07-11 2003-03-21 Applied Materials Inc Improved substrate supporting apparatus and processing chamber
US5975492A (en) * 1997-07-14 1999-11-02 Brenes; Arthur Bellows driver slot valve
JP3194036B2 (ja) * 1997-09-17 2001-07-30 東京エレクトロン株式会社 乾燥処理装置及び乾燥処理方法
US6056008A (en) * 1997-09-22 2000-05-02 Fisher Controls International, Inc. Intelligent pressure regulator
US6284360B1 (en) * 1997-09-30 2001-09-04 3M Innovative Properties Company Sealant composition, article including same, and method of using same
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US5904737A (en) * 1997-11-26 1999-05-18 Mve, Inc. Carbon dioxide dry cleaning system
JPH11200035A (ja) * 1998-01-19 1999-07-27 Anelva Corp スパッタ化学蒸着複合装置
US6048494A (en) * 1998-01-30 2000-04-11 Vlsi Technology, Inc. Autoclave with improved heating and access
US5934991A (en) * 1998-02-01 1999-08-10 Fortrend Engineering Corporation Pod loader interface improved clean air system
US6067728A (en) * 1998-02-13 2000-05-30 G.T. Equipment Technologies, Inc. Supercritical phase wafer drying/cleaning system
US6122566A (en) * 1998-03-03 2000-09-19 Applied Materials Inc. Method and apparatus for sequencing wafers in a multiple chamber, semiconductor wafer processing system
US6244121B1 (en) * 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6453924B1 (en) * 2000-07-24 2002-09-24 Advanced Technology Materials, Inc. Fluid distribution system and process, and semiconductor fabrication facility utilizing same
SG81975A1 (en) * 1998-04-14 2001-07-24 Kaijo Kk Method and apparatus for drying washed objects
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6085935A (en) * 1998-08-10 2000-07-11 Alliance Laundry Systems Llc Pressure vessel door operating apparatus
US6277753B1 (en) * 1998-09-28 2001-08-21 Supercritical Systems Inc. Removal of CMP residue from semiconductors using supercritical carbon dioxide process
JP2000106358A (ja) * 1998-09-29 2000-04-11 Mitsubishi Electric Corp 半導体製造装置および半導体基板の処理方法
US6110232A (en) * 1998-10-01 2000-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for preventing corrosion in load-lock chambers
US6344174B1 (en) * 1999-01-25 2002-02-05 Mine Safety Appliances Company Gas sensor
EP1024524A2 (en) * 1999-01-27 2000-08-02 Matsushita Electric Industrial Co., Ltd. Deposition of dielectric layers using supercritical CO2
US6305677B1 (en) * 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
US6241825B1 (en) * 1999-04-16 2001-06-05 Cutek Research Inc. Compliant wafer chuck
US6128830A (en) * 1999-05-15 2000-10-10 Dean Bettcher Apparatus and method for drying solid articles
US6436824B1 (en) * 1999-07-02 2002-08-20 Chartered Semiconductor Manufacturing Ltd. Low dielectric constant materials for copper damascene
US6508259B1 (en) * 1999-08-05 2003-01-21 S.C. Fluids, Inc. Inverted pressure vessel with horizontal through loading
US6334266B1 (en) * 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6251250B1 (en) * 1999-09-03 2001-06-26 Arthur Keigler Method of and apparatus for controlling fluid flow and electric fields involved in the electroplating of substantially flat workpieces and the like and more generally controlling fluid flow in the processing of other work piece surfaces as well
US6228563B1 (en) * 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6286231B1 (en) * 2000-01-12 2001-09-11 Semitool, Inc. Method and apparatus for high-pressure wafer processing and drying
US6558475B1 (en) * 2000-04-10 2003-05-06 International Business Machines Corporation Process for cleaning a workpiece using supercritical carbon dioxide
EP1277233A2 (en) * 2000-04-25 2003-01-22 Tokyo Electron Corporation Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
WO2002009147A2 (en) * 2000-07-26 2002-01-31 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate
NZ524685A (en) * 2000-09-07 2004-10-29 Cmb Ind Short-length reduced-pressure backflow preventor
US6388317B1 (en) * 2000-09-25 2002-05-14 Lockheed Martin Corporation Solid-state chip cooling by use of microchannel coolant flow
US6418956B1 (en) * 2000-11-15 2002-07-16 Plast-O-Matic Valves, Inc. Pressure controller
US6561220B2 (en) * 2001-04-23 2003-05-13 International Business Machines, Corp. Apparatus and method for increasing throughput in fluid processing
US6564826B2 (en) * 2001-07-24 2003-05-20 Der-Fan Shen Flow regulator for water pump
US6561767B2 (en) * 2001-08-01 2003-05-13 Berger Instruments, Inc. Converting a pump for use in supercritical fluid chromatography
US6561481B1 (en) * 2001-08-13 2003-05-13 Filonczuk Michael A Fluid flow control apparatus for controlling and delivering fluid at a continuously variable flow rate
US6550484B1 (en) * 2001-12-07 2003-04-22 Novellus Systems, Inc. Apparatus for maintaining wafer back side and edge exclusion during supercritical fluid processing
US6521466B1 (en) * 2002-04-17 2003-02-18 Paul Castrucci Apparatus and method for semiconductor wafer test yield enhancement

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1991012629A1 (en) 1990-02-16 1991-08-22 Edward Bok Improved installation for wafer transfer and processing

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200081347A1 (en) 2018-09-12 2020-03-12 Semes Co., Ltd. Apparatus for treating substrate
US10908503B2 (en) 2018-09-12 2021-02-02 Semes Co., Ltd. Apparatus for treating substrate

Also Published As

Publication number Publication date
CN1387673A (zh) 2002-12-25
WO2001046999A2 (en) 2001-06-28
CA2387341A1 (en) 2001-05-10
CN1192417C (zh) 2005-03-09
CA2387373A1 (en) 2001-06-28
US6926798B2 (en) 2005-08-09
TW484169B (en) 2002-04-21
JP4621400B2 (ja) 2011-01-26
KR20020047315A (ko) 2002-06-21
CN1175470C (zh) 2004-11-10
KR100742473B1 (ko) 2007-07-25
WO2001033615A2 (en) 2001-05-10
US20030150559A1 (en) 2003-08-14
US7060422B2 (en) 2006-06-13
US20030136514A1 (en) 2003-07-24
JP5073902B2 (ja) 2012-11-14
WO2001033615A3 (en) 2001-12-06
AU4902201A (en) 2001-07-03
EP1243021A2 (en) 2002-09-25
KR20020047314A (ko) 2002-06-21
EP1234322A2 (en) 2002-08-28
JP2003513466A (ja) 2003-04-08
CN1399790A (zh) 2003-02-26
JP2003518736A (ja) 2003-06-10
WO2001046999A3 (en) 2002-07-11
AU3267201A (en) 2001-05-14

Similar Documents

Publication Publication Date Title
KR100744888B1 (ko) 소재를 초임계 처리하기 위한 장치 및 방법
US6748960B1 (en) Apparatus for supercritical processing of multiple workpieces
KR100441637B1 (ko) 일체화된반도체웨이퍼처리시스템
US20090120459A1 (en) Apparatus and method for cleaning semiconductor substrates
US5820692A (en) Vacuum compatible water vapor and rinse process module
JP2007524229A (ja) 超臨界流体洗浄のためのロードロックシステム
KR100505693B1 (ko) 미세 전자 소자 기판으로부터 포토레지스트 또는 유기물을세정하는 방법
JP2023105681A (ja) 基板処理方法及びイオン液体
JP3188956B2 (ja) 成膜処理装置
JP3200460B2 (ja) 成膜処理装置
JP4433570B2 (ja) 基板処理装置及び基板処理方法
JP4318930B2 (ja) 基板処理方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120629

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee