KR100304127B1 - 가반식 밀폐 컨테이너를 사용한 전자기판 처리시스템과 그의 장치 - Google Patents

가반식 밀폐 컨테이너를 사용한 전자기판 처리시스템과 그의 장치 Download PDF

Info

Publication number
KR100304127B1
KR100304127B1 KR1019930014385A KR930014385A KR100304127B1 KR 100304127 B1 KR100304127 B1 KR 100304127B1 KR 1019930014385 A KR1019930014385 A KR 1019930014385A KR 930014385 A KR930014385 A KR 930014385A KR 100304127 B1 KR100304127 B1 KR 100304127B1
Authority
KR
South Korea
Prior art keywords
container
purge
portable
cassette
gas
Prior art date
Application number
KR1019930014385A
Other languages
English (en)
Other versions
KR940006244A (ko
Inventor
야마시따뎃베이
무나따마사나오
다나까쓰요시
모리따데루야
가와노히도시
하야시미쓰히로
오꾸노아트쓰시
나까무라아키오
Original Assignee
이노마다 시게오
신코덴키 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP20266092A external-priority patent/JP3252456B2/ja
Priority claimed from JP21154692A external-priority patent/JP3185390B2/ja
Priority claimed from JP4221474A external-priority patent/JPH0669312A/ja
Priority claimed from JP22147592A external-priority patent/JP3240698B2/ja
Application filed by 이노마다 시게오, 신코덴키 가부시키가이샤 filed Critical 이노마다 시게오
Publication of KR940006244A publication Critical patent/KR940006244A/ko
Application granted granted Critical
Publication of KR100304127B1 publication Critical patent/KR100304127B1/ko

Links

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F26DRYING
    • F26BDRYING SOLID MATERIALS OR OBJECTS BY REMOVING LIQUID THEREFROM
    • F26B21/00Arrangements or duct systems, e.g. in combination with pallet boxes, for supplying and controlling air or gases for drying solid materials or objects
    • F26B21/14Arrangements or duct systems, e.g. in combination with pallet boxes, for supplying and controlling air or gases for drying solid materials or objects using gases or vapours other than air or steam, e.g. inert gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F26DRYING
    • F26BDRYING SOLID MATERIALS OR OBJECTS BY REMOVING LIQUID THEREFROM
    • F26B25/00Details of general application not covered by group F26B21/00 or F26B23/00
    • F26B25/001Handling, e.g. loading or unloading arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67057Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing with the semiconductor substrates being dipped in baths or vessels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명은 가반식 밀폐 컨테이너를 사용한 전자 기판처리 시스템과 그의 장치에 관한 것이다.
본 발명은 전자기판, 즉 반도체 제조는 내부영위기의 청정한 클린룸내에서 행하나, 이때 전자기판을 수납운반하는 방식을 극소클린룸 방식인 성막처리전에 웨이퍼의 세정장치로 처리하여 반송하나, 이를 가반식 밀폐 컨테이너에 넣어서 운반하는 방식을 취하여 대기에 폭노됨을 방지하여 산화막형성을 억제하고 장치 상호간의 시간적 제약을 없이하여 공정의 자유도를 향상하는 생산성을 높이는 가반식밀폐컨테이너를 이용하는 전자기판처리시스템과 그의 장치이다.
즉, 클린룸내에 배설된 성막장치, 웨이퍼세정장치, 퍼지스테이션 보관고, 이재장치를 탑재한 이동로봇, 가반식의 밀폐컨테이너로 이루어진 것이다.

Description

가반식(可搬式) 밀폐 컨테이너를 사용한 전자 기판처리 시스템과 그의 장치
제1도는 본 발명의 실시예를 나타낸 시스템 레이아웃의 사시도.
제2도는 상기 실시예에서의 퍼지스테이션의 제1의 실시예시도.
제3도는 상기 실시예에서의 밀페컨테이너와 퍼지유니트의 제1의 실시예시도.
제4도는 퍼지유니트의 제2에 실시예시도.
제5도는 퍼지유니트의 제3에 실시예시도.
제6도는 퍼지유니트의 제4에 실시예시도.
제7도는 상기 밀폐컨테이너의 시정/해정기구의 설명도.
제8도는 본 발명에서 사용하는 퍼지스테이션의 제2의 실시예시도.
제9도는 퍼지스테이션의 제3의 실시예시도.
제10도는 퍼지스테이션의 제4의 실시예시도.
제11도는 퍼지스테이션의 제5에 실시예시도.
제12도는 퍼지기능을 구비한 세정장치의 제1에 실시예시도.
제13도는 세정장치의 제2에 실시예시도.
제14도는 세정장치의 제3에 실시예시도.
제15도는 세정장치의 제4에 실시예시도.
* 도면의 주요부분에 대한 부호의 설명
1 : 케이스 2 : 창
3 : 퍼지유니트 4,6 : 가스배관
5,7 : 배관개폐밸브 9 : 필터
10 : 제어장치 11 : 케이싱
12 : 개구 12A : 개구요소
20 : 밀폐박스 21 : 승강장치
22 : 로봇 23 : 승강대
24 : 씰재(Seal材) 25 : 로크암
29 : 스커트 30 : 밀폐컨테이너
31 : 밀폐컨테이너본체 32 : 플랜지
33 : 개구 33A : 개구요홈
34,35 : 씰재 40 : 밑뚜껑
44 : 캠 45 : 로크 암
45a : 전동자 46 : 지점부재
47 : 용수철 48 : 캠축
49 : 캠축구동기구 51 : 박스
52 : 창 60 : 승강장치
70 : 웨이퍼카세트 80 : 이재장치(이동 적재 장치)
95 : 벽 96 : 퍼지실
100 : 성막장치 101 : 인터페이스
200 : 웨이퍼저장장치 300 : 퍼지스테이션
400 : 보관고 500 : 이동로봇
601 : 컨테이너본체 602 : 뚜껑
604 : 개폐기구 606 : 퍼지실
본 발명은 클린룸에서의 가반식(可搬式;운반 가능한 방식) 밀폐 컨테이너를 사용한 전자기판 처리시스템과 그의 장치에 관한 것이다.
전자기판, 예를 들면 반도체의 제조는 내부료위기를 청정화한 클린룸내에서 행해지고, 그 내부료위기의 청정도를 높이므로서 생산능률의 향상을 기하여 왔다.
그러나 반도체 집적회로의 집적도가 고밀도로 됨에 따라 국소(局所)클린방식이라고 불리우는 반도체웨이퍼등 전자기판을 가반식 밀폐 컨테이너에 넣어서 운반하는 방식을 사용하게 되고, 더욱이 일부의 제조공정, 예를 들면 막제거처리한 세정후의 웨이퍼에 있어서는 웨이퍼표면의 자연 산화막 성장의 정도라든가, 대기중에 포함되는 금속이온에 의한 오염등 웨이퍼를 대기중에 폭로하는 그 자체가 문제시 되게 되었다.
그러므로 발명이 해결하고자 하는 과제는 막형성처리를 함에 있어서는 그 전단계에서 웨이퍼를 세정장치에서 세정하지만, 이 처리에는 수 십분 내지 수 시간이 요하고, 이 처리상황에 맞추어 세정을 할 필요가 있다.
왜냐하면 세정이 끝난 웨이퍼는 자연산화막의 성장속도가 빠르고, 대기중의 이온에 의해 오염되기 쉬우므로, 상기 성막 처리시에 다음에 처리하는 웨이퍼를 상기 내부 분위기에 폭로시켜 두면 자연산화막이 성장하고(한시간 정도로 성장율이 급증한다) 또 금속이온이 부착하여 웨이퍼의 오염이 진행되기 때문이다.
또, 상기 세정장치에 의한 세정도 수십 분이 걸리는 경우도 있어 종래의 제조시스템으로서는 공정에 자유도가 없고, 생산성을 향상하기 어렵다는 문제가 있었다.
본 발명은 이 문제를 해소하기 위해 이루어진 것으로서 장치간의 상호 시간적 제약을 없이하여 공정의 자유도를 향상할 수가 있고, 생산성을 높일 수 있는 가반식 밀폐컨테이너를 사용한 전자기판처리시스템과 그의 장치를 제공함을 목적으로 한다.
본 발명에서는 카세트는 가스퍼지된 밀폐컨테이너에 수납되어서 장치에서 장치로 반송되기 때문에 전자기판은 클린룸내의 분위기에 폭로되는 일이 없고, 또 카세트는 퍼지된 밀폐컨테이너에 넣어서 보관되고, 또한 수시로 필요에 따라 퍼지스테이션에서 가스퍼지함도 가능하고, 장치간 상호의 시간적 제약이 없어져서 공정의 자유도가 향상된다.
[실시예]
이하 본 발명의 한 실시예를 도면을 참조하여 설명한다.
제1도에 있어서, 클린룸내에는 성막장치(100: 막 형성 장치), 웨이퍼세정장치(200), 퍼지스테이션(300), 보관고(400), 이재장치(移載裝置)(500A)를 탑재한 이동로봇(500), 가반식의 밀폐컨테이너(30)들이 배설된다.
제2도는 퍼지스테이션(300)의 한 예를 나타낸 것이다.
제2도에서 직립체의 케이스(1)는 앞벽(IA)에 창(2)이 있다.
퍼지유니트(3)에 있어서, 제3도에서 도시하는 바와 같은 밀폐박스(20)내에 당해 밀폐박스(20)의 내부와 가반식 밀폐컨테이너(30) 내부를 연통/차단하기 위한 컨테이너 개폐기구를 구비하고 있다.
공급가스배관(4)에 있어, 일단(4A)은 케이스(1)밖으로 뻗어져 있고, 타단(4B)은 밀폐박스(20)내로 개구하고, 도중에 전자(電子)개폐밸브(5)가 내장되어 있다.
배(排)가스배관(6)에 있어서, 그 일단(6A)은 케이스(1) 밖으로 뻗어져 있고, 타단(6B)은 밀폐박스(20)내에 개구되며, 도중에 전자개폐 밸브(7)가 내장되어 있다.
(9)는 고성능필터, (10)은 퍼지유니트제어장치(Controller)이고, 이 제어장치(10)는 전자개폐밸브(5,7)의 개폐제어와 상기 컨테이너 개폐기구의 구동제어를 관장한다.
밀폐박스(20)의 상벽에는 개구(20A)가 형성되어 당해 개구(20A)의 주변부가 컨테이너(30)를 두는 대부(20B;台部;받침대 부분)로 되어있다.
제7도에 도시된 컨테이너본체(31)를 승강하는 컨테이너본체 승강장치(60)는, 본 실시예에서는 실린더장치가 사용되고 있다.
공급가스배관(4)의 일단(4A)은 불활성가스 봄베에 접속되고, 배가스배관(6)의 일단(6A)은 클린룸의 마루 위에 뻗어진 배기관 등에 접속된다.
도시되지 않지만 케이스(1)의 천정쪽 혹은 배면쪽에 팬과 필터를 설치하여, 청정한 공기를 위에서 밑으로, 또는 가스배면에서 전면쪽으로 상시 유동시켜서 당해기류를 창(2)에서 외부로 유출시키는 구조로 하여도 좋다.
즉, 케이스(1)내에 있어서, 웨이퍼카세트(70)를 컨테이너(31)에 수납할 때 케이스(1)내의 분위기 중에 부유하고 있는 먼지가 웨이퍼(W)의 표면에 부착하는 것을 방지하는 효과를 얻을 수 있다.
제3도는 퍼지유니트의 한 예를 도시한 것이다.
제3도에서 (21)은 승강장치, (22)는 로봇, (23)은 승강대이다.
승강대(23)로 개구(20A)보다 경이 큰 플랜지(23A)를 가지며, 통상시는 플랜지(23A)가 씰재(24)를 개재하여 개구(20A)의 하면 주변부에 압접할 때까지 당해 개구(20A)에 감입(嵌入;박아 넣어짐)되고, 개구(20A)의 주변과의 사이틈 사이를 구획하고 있다.
가반식의 밀폐컨테이너(30)의 본체(31)는 플랜지(32) 부착의 개구(33)를 가지고 있다.
(34, 35)는 씰재, (36)은 손잡이이고, 밀폐컨테이너의 저면 뚜껑(40)으로 된다.
제4도는 퍼지유니트의 제2의 실시예시도이다.
이 퍼지유니트는 공급가스배관(4B) 및 배가스배관(6B)이 밀폐박스(20)의 입구 주변면에 개구하고, 또, 승강대(23)는 박스 측방에 있는 볼나사(26)로 암(25)을 개재하여 상하되고, 또한 이들 개구는 탄성을 갖는 뱀의 배모양(자바라식)의 스커트(29)로 덮어 쌓인 승강대(23)의 구동구가 격리되어 있다.
(27)은 모터이고, (28)은 가이드이다.
즉, 불활성가스는 입구주변의 개구(20A)로 분사되고, 승강대(23)를 약간 내림으로써, 컨테이너쪽으로 유입되므로, 박스(20)내 전체에 불활성가스를 충만시키는 것이 아니라, 불활성가스의 소비량이 절약된다.
제5도는 퍼지유니트의 제3의 실시예시도이다.
이 실시예는 가스퍼지하기에 앞서 진공으로 흡입할 때 컨테이너본체(31)의 소재에 따라서는 그이 내외 압력차이가 문제가 되는 일도 있어, 해결수단으로 컨테이너를 둘러싼 수납실을 구획하여 그 수납실도 동시에 진공 흡입함을 특징으로 하는 것이다.
제5도에 있어서 (80B)는 수납실(B)을 형성하는 벽으로 뚜껑(83)에 의해 밀폐된다.
수납실(B)과 퍼지실(A)과는 통공(81)을 통하여 연결되고, 진공흡입은 가스퍼지의 정도로 퍼지실(A), 수납실(B), 컨테이너 본체내와 연통되어 보수동일 압력으로 된다.
(82)는 필터이다.
제6도는 퍼지유니트의 제4의 실시예시도이다.
이 실시예는, 수납실을 반구형상의 커버체(84)로 구획하여 형성된 것이고, 반구형상으로 함으로써 살이 두꺼워져서 강도를 가질 수 있게 하여 소형화가 될 수 있다.
이들 일련의 퍼지유니트 실시예에 있어서, 밀폐컨테이너의 저면 뚜껑(40)은 중공체이고, 예컨데 제7도에 도시된 바와 같은 세정기구를 가지고 있다.
(44)는 캠이고, (45)는 판정(板錠;판상 추)의 로크암으로 전동자(45a)를 가지고, 길이 방향으로 진퇴가능하고, 또한 경도(傾倒;기울어짐)가능하도록 한쪽에서만 지지되고, 또 지시되도록 되어 있고, (46)은 지점부재, (47)은 용수철이고, 캠축(48)은 승강대(23)의 위벽 중앙에서 밑뚜껑(40)내로 뻗어져 있고, 승강대(23)에 밑뚜껑(40)이 동심으로 재치된 때에 캠(44)과 스플라인 계합한다. 승강대(23)는 캠축(48)을 소정각도만 회동(回動)하는 캠축 구동기구(49)를 내장하고 있고, 이 캠축구동기구(49)와 캠축(48)은 해정/시정기구를 구성하고 있다. 또한 본체(31)의 개구(33) 내주면에는 로크암(45)이 계합하는 요소(33A)가 형성되어 있다.
이들 구성에 있어서 웨이퍼세정장치(200)의 반입구(200A)에 있는 대부 위에 반입된 웨이퍼카세트가 성막장치(100)에 반입될 때까지의 처리순서를 기술한다.
(1) 웨이퍼세정장치(200)는 그 케이스 내에 복수의 약액조와 순수조 및 건조부를 내장하고 있고, 반입된 웨이퍼카세트를 소정순서로 늘어선 상기 조에 도시되지 아니한 이송장치로 순서대로 침적하여 세정하고, 최후에 상기 건조부에서 건조하여 반출구(200B)의 대위로 이동적재(제1도)된다.
(2) 세정이 끝난 웨이퍼카세트(70)가 반출구(200B)의 대위에 이동적재되면, 이 반출구(200B)의 앞까지 이동하여 온 이동로보트(500)가 당해 웨이퍼카세트를 퍼지스테이션(300)의 창(2)에서 당해 퍼지스테이션(300)내로 반입하여 (제2도) 밀폐박스(20)의 대부(20B)에 재치되어 있는 컨테이너(30)의 밑뚜껑(40)상으로 이동한다.
컨테이너(30)의 본체(31)는 그 손잡이(36)가 컨테이너본체 승강장치(60)의 로드(61)선단의 파지부(62)에 의해 움켜잡아져서 소정높이 까지 들어 올려져 있다.
(3) 이적동재 완료를 센서(도시되지 않음)로 감지하면, 컨테이너 본체 승강장치(60)의 로드(61)가 하강하여 컨테이너본체(31)를 퍼지유니트의 대부(20B)(제2도)상에 압접되도록 놓고, 컨테이너본체(31)내와 외부와를 기밀히 차단한다.
(4) 이어서, 전자개폐변(5,7)이 개변된다.
이로 인해 공급가스배관(4)의 일단(4B)에서 밀폐박스(20)내에 불활성가스(본 실시예에서는 N2가스)가 분출되어 밀폐박스(20)내의 대기는 배가스배관(6)을 통하여 케이스(1)외로 배출되고, 밀폐박스(20)내는 불활성가스로 치환(제3도) 된다.
(5) 소정시간이 경과된 후, 승강대(23)가 약간 하강한다.
이로 인해 밑뚜껑(40)에 의해 컨테이너 본체 개구(33)의 차폐가 없어지고 컨테이너본체(31)내가 밀폐박스(20)내와 연동되어 컨테이너본체(31)는 불활성 가스로 치환된다.
(6) 설정시간이 경과되면 승강대(23)치 원위치까지 상승하여 전기한 해정/시정기구(제7도)가 작동하여 로크암(45)이 요소(12A)내에 계합하여 밑뚜껑(40)이 컨테이너본체(31)의 개구에 기밀히 로크(연결)된다. 전자개폐밸브(5)와 (7)은 개변된다.
(7) 이 로크가 끝나면 컨테이너본체 승강장치 (60)의 로드(61)가 상승하여 이적재장치(500A)에 의해 컨테이너(30)를 이동로봇(500)에 이적재 한다.
(8) 이 이동로보트(500)로 퍼지가 끝난 상기 컨테이너(30)를 보관고(400)의 에이프런(400A)상에 반입하고, 퍼지가 끝난 이 컨테이너(30)는 보관고(400)내의 이적재기구(400B)에 의해 시렁(400c)상에 이동적재된다.
(9) 보관고(400)에 보관된 복수의 컨테이너 중에서 지정된 컨테이너는 상기 이적재기구(400B)에 의해 상기 시렁에서 내려져서 이동로보트(500)에 넘겨진다.
(10) 이동로보트(500)로 이 컨테이너(30)를 성막장치(100)의 반입/반출구를 형성하고 있는 컨테이너 인터페이스(101)까지 반송한다.
(11) 성막장치(100)에서는 컨테이너 인터페이스에 의해 받은 컨테이너(30)에서 웨이퍼카세트(70)를 들어내어 도시하지 아니한 CVD로 (爐) 등에 반입하여 성막처리를 한다.
본 실시예에서는 세정장치(200)로 세정 및 건조를 끝낸 웨이퍼카세트(70)는, 퍼지스테이션(300)에 반송되어서 컨테이너(30)에 수납됨과 아울러 웨이퍼에 대해 불활성한 가스로 가스퍼지 되고, 이후 웨이퍼는 불활성인 가스가 충만되어 있는 컨테이너(30)내에 보관 유지된다. 세정장치(200)에서 퍼지스테이션(300)으로 옮길 때 대기에 접촉되나, 이는 미소한 시간이기 때문에 자연산화막의 성장은 거의 없다.
본 실시예에서는 웨이퍼카세트(70)를 컨테이너(30)에 넣은 채로 보관고(400)로 보관하므로, 장치간 상호의 시간적 제약이 없어지고, 세정이 끝난 웨이퍼카세트의 기다리는 시간을 없애기 위해 성막장치(100)의 처리사항에 맞추어 세정할 필요가 없다.
또한 성막장치가 컨테이너인터페이스를 가지지 않은 경우는 웨이퍼는 보관고(400)로 웨이퍼카세트(70)에서 들어내어져서 이동로보트(500)에 넘겨져 대기에 폭로되면서 성막장치(100)으로 반송되지만, 이때는 반송에 요하는 시간을 짧게 하여 자연산화막의 성장을 적게 하여야만 한다.
제8도에서는 위뚜껑형의 가반식 밀폐컨테이너(600)등의 퍼지스테이션의 한 예를 나타낸다.
제8도에 있어서, (601)은 컨테이너본체, (602)는 위뚜껑, (603)은 손잡이, (604)는 뚜껑개폐기구, (605)는 컨테이너(600)를 놓기 위한 대부, (606)은 퍼지실이다.
제9도 및 제10도는 퍼지스테이션의 제3의 실시예, 제4의 실시예이고, 케이스(1)에 컨테이너 보관부(1C)를 형성하고, 또한 컨테이너 승강이재장치를 구비하고, 또 컨테이너본체(31)의 주벽에 손잡이(31A)가 설치되어 있다.
제9도 및 제10도에서 컨테이너 승강이재장치(80)는 컨테이너본체(31)를 컨테이너보관부(1C)의 복수단의 시렁(1D)과 퍼지유니트(3)와의 사이에서 주고받는 것으로서, 핑거(80a)를 가진 핸드부(80A)와 핸드부(80A) 전체를 회동·승강 구동하는 암축부(80B)로 되고, 이 경우의 컨테이너본체(31)는 핸드부(80a)에 계합하는 손잡이(31A)를 컨테이너본체(31) 주벽에 구비하고 있다.
이들 제3, 4의 각 실시예에서는 컨테이너 보관부(1C)에 복수개의 빈 컨테이너를 보관하여 두면 컨테이너 승강이재장치(80)에 의해, 지정된 시렁(1D)상의 빈 컨테이너를 퍼지유니트(3)의 대위에 자동적으로 이재된다. 즉, 제2도의 실시예와 같이 퍼지하는 그때그때, 빈 컨테이너를 외부에서 반입하지 아니하여도 된다.
제10도의 제4실시예는, 창(2)의 근방에 카세트대부(2A)와 퍼지유니트(3)를 수납한 실(1E)에 컨테이너본체(31)를 임시로 두는 대(1F)를 설치하고 있다.
즉, 세정장치(200)에서의 웨이퍼카세트(70)를 케이스(1)내에 반입하고, 퍼지유니트(3)의 대부의 위에 이적재하는 기능을 앞의 이동로보트(500)의 이재장치(500A)에 맞기지 아니하고 컨테이너 승강이재장치(50)로 겸용시키는 것으로서, 이재장치 (500A)는 웨이퍼카세트(70)를 카세트대부(2A)상에 주는 것만으로 하고, 그 후의 카세트대부(2A)에서 퍼지유니트(3)의 대부위에 이적재하는 것은 컨테이너 승강이재장치(80)에 의한다.
컨테이너보관부(IC)에 보관되어있는 빈 컨테이너가 불러내어져 컨테이너 승강이재장치(80)에 의해 퍼지유니트(3)상에 이동적재된다.
그후 퍼지유니트(3)의 밑뚜껑 시정/해정기구의 동작으로 컨테이너본체와 밑뚜껑과의 로크가 열리고, 밑뚜껑만이 퍼지유니트(3)위에 남고, 컨테이너본체(31)는 컨테이너 승강이재장치(80)에 의해 앞의 임시로 두는 대(If)상에 이동적재되여, 항시 이 상태로서 외부에서의 웨이퍼카세트의 반입을 대기하고 있다. 임시로 두는 대(1F)는 컨테이너본체(31)만이 아니라, 빈 컨테이너(30)를 둘 수도 있고, 퍼지후의 권테이너유니트의 임시로 두는 장소로서도 이용된다.
제4의 실시예에서는 웨이퍼카세트(70)의 이재, 컨테이너(30)의 이동적재, 웨이퍼카세트(70)의 컨테이너 내로의 수납, 컨테이너본체(31)의 승강을 한대의 컨테이너 승강이재장치(80)로 행한다.
또, 컨테이너 보관부(1C)를 빈 컨테이너용으로서 설명하여 왔지만 퍼지후의 컨테이너유니트 보관부로 이용하여도 좋고, 이때는 보관컨테이너의 대용으로도 된다. 빈 컨테이너와 들어있는 컨테이너가 혼재하여 있어 당연히 컨테이너를 구별할 수 있는 어떤 관리수법이 도입되게 된다.
제11도는 퍼지스테이션의 제5의 실시예이고, 밀폐박스(20)를 케이스(1)의 대신으로 사용한다.
즉, 밀폐박스(20)의 측벽(20C)에 웨이퍼카세트(70)의 반입/반출 용창(20E)을 형성하여 카세트(70)의 반입/반출에 있어서는 승강대(23)를 쇄선으로 표시된 위치로 하강시킨다.
퍼지기능은 제4도에 도시한 퍼지유니트의 제2의 실시예와 같이 스프링성을 가진 뱀이 배형(자바라형)의 스커트(27)가 퍼지실을 구획하고, 승강대(23)가 최상위치 근처까지 상승하여 스커트(29)의 실주연부(29A)가 밀폐박스의 재치대 이면에 압압된 상태에서 행하여진다.
상기 실시예에서는 퍼지스테이션은 세정장치와는 별체로 되어 있으나, 제12도에 도시하는 바와 같이 세정장치(700)에 퍼지기능을 가지게 하여도 좋다.
제12도에 있어서, (711)은 케이싱, (712)는 앞벽(711A)에 형성된 카세트반입구, (713)은 앞벽(711A)에 형성된 컨테이너 반입구, (716)은 카세트대부, (721∼724)는 약액조, (725)는 건조기, (730)은 반송장치이고 핸드링암(732)을 가지며, 레일(731)에 좌우로 움직임이 자유자재하도록 계합되어 있다. 핸드부(733)는 웨이퍼카세트(70)의 귀부분에 계합 가능하도록 되어있다. 건조기(725)에서 웨이퍼카세트(70)를 들어내어 퍼지유니트(3)에 이재하기 위한 이재장치(80)가 있고, 컨테이너본체 승강장치(736)는 본 실시예에서는 실린더장치이다.
제13도는 퍼지기능을 가지는 세정장치의 제2의 실시예이다.
이 실시예는 (제11도와 같은) 퍼지스테이션을 세정장치에 부속시킨 것으로서, 밀폐박스(51)의 건조기(725)쪽의 벽에 카세트 반입용의 기밀문이 달린 창(52)을 설치하여, 건조기(725)에서 들어낸 웨이퍼카세트(70)를 이 창(52)에서 박스(51)내로 반입하여 쇄선으로 표시한 승강대(23), 밑뚜껑(40)위에 이적재한다.
앞의 제12도의 실시예에서의 컨테이너본체(31), 승강용의 장치(70)를 빼고, 승강대(23)의 이동 스트로크를 크게 하여 밑뚜껑(40)을 크게 승강시켜서 카세트(70)의 반입을 실현하는 점이 제1의 실시예와 상이하다.
또한, 이 실시예의 경우, 제11도로 도시하는 퍼지스테이션을 그대로 적용하여도 하등의 지장이 없고, 불활성가스의 소비량이 문제가 되는 경우에 적합하다.
제14도는 퍼지기능이 붙은 세정장치의 제3의 실시예이다.
제3의 실시예는 퍼지유니트에 있어서 밀폐박스의 용적을 크게하여, 그 밀폐박스의 속에 건조기(725)와 웨이퍼카세트 이재장치(80)를 수납한 것을 특징으로 한다.
건조기(725)는 예를 들어 스핀드라이어이고, 퍼지용 가스분위기중(수분제거의 드라이에어로도 가능함에서 건조시킬 수도 있고, 건조에서 퍼지의 공정을 대기에 접촉시킴이 없이 실행할 수 있다.
제15도는 또한 같은 세정장치의 제4의 실시예이고, 위뚜껑식의 컨테이너를 사용한 경우를 나타낸다.
제4의 실시예는 제8도에 도시한 퍼지스테이션을 적용하지 않고, 케이싱(11)내에 가로막이벽(95)으로 퍼지실(96)로 구획하고, 가로 막이벽(95)에 기밀문이 붙은 카세트 및 컨테이너 이재용창(95A)을 형성한다.
즉, 이재장치(80)는 건조기(725)로부터 웨이퍼카세트(70)를 위뚜껑식 컨테이너속에 이동적재함과 아울러 뚜껑 개폐구조(300)에 의해 밀폐되어 가스퍼지 완료후의 위뚜껑식 컨테이너(30)를 재차 퍼지실(96)에서 반출하여 세정장치로 이동적재하는 역할을 한다.
또 보관고(400)를 사용하고 있으나, 보관고(400)를 사용치 아니하고, 웨이퍼카세트(70)의 보관을 클린룸내의 적정한 장소로 하여도 좋다.
또한 퍼지가 끝난 컨테이너를 필히 보관고(400)에 보관할 필요는 없고, 공정의 진행상태에 따라서는 퍼지스테이션에서 성막장치 등에, 또 성막장치 등의 근방에 설치된 보관장소에 직접 반송한다. 이 경우 컨테이너자신이 보관기능을 하게 된다. 뿐더러, 퍼지스테이션 자체에 보관실을 가지는 경우도 있고, 당연한 일이기는 하나, 보관부(400)의 대용으로도 이용할 수 있다.
이들 일련의 실시예에서는 주로 N2가스등의 불활성가스로 가스퍼지할 때에 대하여 논술하였지만, 건조공기나 가열한 N2가스등으로 가스퍼지할 때도 있어, 가스퍼지하기전에 컨테이너(30)내를 진공흡입하는 경우도 있다. (제5도 및 제6도) 이 진공흡입하는 경우는 불활성가스원에 접속하는 배관(4)외에 진공원에 접속하는 배관을 밀폐박스(20)내에 도입한다.
본 발명은 상기와 같이 웨이퍼 등의 반도체기판 등을 클린룸 내의 료위기에 폭로함이 없이 장치에서 장치로, 또는 공정에서 공정으로 반송 또는 보관할 수가 있어서 웨이퍼의 오염을 확실하게 방지할 수가 있고, 장기간 상호의 시간적 제약이 없어지므로 공정의 자유도를 향상 할 수가 있어 종래에 비하여 생산성을 크게 높일 수 있다.

Claims (18)

  1. 처리되는 전지기판을 홀딩(holding)하는 하나 이상의 카세트; 상기 하나 이상의 카세트를 수납하기 위한 카세트 반입구와, 상기 카세트를 액체세정하고 건조하기 위한 액체세정 및 건조시스템을 포함하는 세정장치; 세정장치에 인접한 퍼지스테이션, 이 퍼지스테이션은 세정 및 건조과정을 거친 상기 하나 이상의 카세트를 수납하기 위한 카세트 수납부; 가반식 밀폐 컨테이너 딜리버리시스템; 가반식 밀폐 컨테이너를 카세트가 상기 수납부에 수납된 후 카세트를 둘러싸도록 상기 하나 이상의 카세트 주위에 조립하는 수단; 컨테이너 내부를 가스 퍼지할 수 있도록 카세트를 적재한 조립된 가반식 컨테이너를 개구하는 수단; 및 밀폐되어 퍼지된 상태에서 감싼 카세트를 적재한 가반식 컨테이너를 밀폐하기 위한 수단을 포함하며; 밀폐 봉인되고 퍼지된 카세트 적제 가반식 컨테이너를 수납하는 컨테이너 수납장치; 상기 가반식 밀폐 컨테이너를 저장하는 컨테이너 보관영역; 및 상기 카세트 적재 가반식 컨테이너를 컨테이너 수납장치에서 보관영역으로 이동시키는 이재장치를 포함하는 보관고; 및 봉인되고 퍼지된 카세트를 적재한 가반식 밀폐 컨테이너를 퍼지스테이션에서 보관고의 컨테이너 수납장치로 이동시키는 이동로봇으로 구성됨을 특징으로 하는 가반식 밀폐 컨테이너를 사용한 전자기판 처리 시스템.
  2. 제1항에 있어서, 기판필름 증착장치를 포함하는 처리 장치를 포함하고, 상기 처리장치는 상기 하나 이상의 카세트를 포함하는 가반식 밀폐 컨테이너를 수납하기 위한 수단; 상기 하나 이상의 카세트를 감싼 상기 가반식 밀폐 컨테이너를 상기 보관고로부터 상기 가반식 밀폐 컨테이너 인터페이스 장치로 이송하는 이동로봇; 및 상기 밀폐 컨테이너로부터 상기 하나 이상의 카세트를 하역하기 위한 상기 처리장치내의 장치를 포함하는 가반식 밀폐 컨테이너 인터페이스장치를 포함함을 특징으로 하는 가반식 밀폐 컨테이너를 사용한 전자기판 처리시스템.
  3. 제1항에 있어서, 상기 세정장치와 상기 퍼지스테이션이 단일세정 및 퍼지스테이션으로 합체된 것임을 특징으로 하는 가반식 밀폐 컨테이너를 사용한 전자기판 처리시스템.
  4. 제1항에 있어서, 퍼지는 카세트내의 전자기판에 대해 불활성인 가스 또는 가열된 불활성가스에 의한 가스퍼지이거나 혹은 진공흡입한 후에 불활성인 가스 또는 가열된 불활성가스에 의한 가스퍼지임을 특징으로 하는 가반식 밀폐컨테이너를 사용한 전자기판처리 시스템.
  5. 컨테이너 반입·반출용 창을 가지는 독립된 케이스와, 당해 케이스 내에 설치된 컨테이너 대부를 가지는 퍼지유니트와, 컨테이너본체 승강장치와, 상기 퍼지유니트 내에 일단이 개구하는 퍼지용 배관과, 퍼지용 제어장치와를 구비하고, 상기 컨테이너 대부에 재치되는 컨테이너는 컨테이너 본체와 밑뚜껑을 가지며, 전자기판을 수납하는 카세트반송·보관용의 가반식 밀폐컨테이너이고, 퍼지유니트는 상기 컨테이너 대부 위의 컨테이너 밑뚜껑을 개폐하여 컨테이너 내부와 당해 퍼지유니트 내를 연통/차단하는 퍼지기구를 내장하고 있는 것임을 특징으로 하는 가반식 밀폐 컨테이너용의 퍼지스테이션.
  6. 제5항에 있어서, 상기 케이스는 상하방향 혹은 전후방향 또는 좌우방향의 기류를 발생시키는 기조를 가지며, 당해 기류는 필터를 통한 기류이고, 반입·반출용 창 혹은 배기용의 개구를 통해 상기 케이스 밖으로 유출함을 특징으로 하는 가반식 밀폐 컨테이너용의 퍼지스테이션.
  7. 제5항에 있어서, 상기 케이스는 컨테이너보관실을 가지며, 상기 컨테이너본체 승강장치는 상기 가반식 밀폐 컨테이너를 당해 컨테이너보관실에서 퍼지유니트의 컨테이너 대부에 이재함을 특징으로 하는 가반식 밀폐컨테이너용의 퍼지스테이션.
  8. 제5항에 있어서, 상기 케이스는 컨테이너본체 임시 보관대를 가지며, 컨테이너본체 승강장치는 컨테이너본체를 퍼지유니트의 컨테이너 대부에서 상기 컨테이너본체 임시 보관대에, 또는 그의 반대로 각 이재함을 특징으로 하는 가반식 밀폐컨테이너용의 퍼지스테이션.
  9. 제5항에 있어서, 퍼지는 카세트내의 전자기판에 대해 불활성인 가스 또는 가열된 불활성인 가스 또는 가열된 불활성인 가스에 의한 가스퍼지 혹은 진공 흡입후의 불활성인 가스 또는 가열된 불활성가스에 의해 가스퍼지임을 특징으로 하는 가반식 밀폐컨테이너용의 퍼지스테이션.
  10. 컨테이너 또는 카세트 반입·반출용의 기밀문이 달린 창을 가지는 독립된 케이스와, 당해 케이스 내에 설치된 컨테이너 대부와, 상기 케이스 내에 일단이 개구되는 퍼지용 배관과, 퍼지용 제어장치와, 상기 컨테이너 대부에 설치되고 컨테이너본체와 밑뚜껑을 가지며, 전자기판을 수납하는 카세트 반송용의 가반식 밀폐컨테이너와, 상기 밑뚜껑을 개폐하기 위한 컨테이너뚜껑 개폐기구로 구성되고, 퍼지는 카세트내의 전자기판에 대해 불활성인 가스 또는 가열된 불활성인 가스에 의한 가스퍼지 혹은 진공 흡입후의 불활성인 가스 또는 가열된 불활성가스에 의해 가스퍼지임을 특징으로 하는 가반식 밀폐 컨테이너용의 퍼지스테이션.
  11. 퍼지유니트의 개구주변부가 밑뚜껑식의 밀폐컨테이너를 두는 컨테이너 대부인 퍼지실과, 상기 퍼지실에 일단이 개구하는 퍼지용 배관과, 퍼지용 제어장치와, 상기 컨테이너 대부상의 컨테이너 밑뚜껑을 개폐하여 당해 컨테이너 내부와 퍼지실내와를 연통/차단하는 퍼지기구와를 구비하고, 상기 퍼지실은 독립한 케이스인 퍼지유니트 내에 당해 퍼지유니트의 개구를 내측에서 기밀히 차폐 가능한 스카트로 구획하여 형성되고, 상기 케이스는 카세트반입·반출용 창을 구비하며, 퍼지는 카세트내의 전자기판에 대해 불활성인 가스 또는 가열된 불활성인 가스에 의한 가스퍼지 혹은 진공 흡입후의 불활성인 가스 또는 가열된 불활성가스에 의해 가스퍼지임을 특징으로 하는 가반식 밀폐컨테이너용의 퍼지스테이션.
  12. 처리되는 전자기판을 홀딩(holding)하는 하나 이상의 카세트; 기판세정장치를 둘러싸는 케이싱으로 구성되며, 상기 케이싱은 상기 카세트를 케이싱 내에 수납하기 위한 카세트 반입장치와 이 카세트를 반입장치로부터 세정장치로 운반하는 수단과; 카세트 세정 및 건조장치와; 상기 세정 및 건조장치에 의해 세정 건조된 카세트를 가반식 밀폐 컨테이너에 수납하는 수단과; 가반식 밀폐 컨테이너 이송시스템과; 상기 가반식 밀폐 컨테이너를 상기 전자기판에 대해 불활성인 가스로 퍼지하는 퍼지수단; 및 케이싱으로부터 상기 가반식 밀폐 컨테이너를 반출하기 위한 반출구; 를 포함함을 특징으로 하는 가반식 밀폐 컨테이너를 사용한 전자기판 세정장치.
  13. 제12항에 있어서, 상기 퍼지수단이 상기 케이싱내에 구획된 퍼지실임을 특징으로 하는 가반식 밀폐 컨테이너를 사용한 전자기판 세정장치.
  14. 제13항에 있어서, 상기 퍼지실은 상기 케이싱내에 가로막이 벽으로 구획된 퍼지실이고, 상기 가로막이 벽에는 문이 달린 카세트용 창을 가지며, 내부에는 컨테이너를 두는 대부를 구비함을 특징으로 하는 가반식 밀폐 컨테이너를 사용한 전자기판 세정장치.
  15. 제14항에 있어서, 상기 퍼지실은 가반식 밀폐 컨테이너를 기밀히 재치하는 대부를 가지며, 밀폐 컨테이너의 밑뚜껑을 상기 퍼지실내에 열므로서 당해 밀폐 컨테이너내를 불활성인 가스 혹은 가열된 불활성인 가스로 가스퍼지함을 특징으로 하는 가반식 밀폐 컨테이너를 사용한 전자기판세정장치.
  16. 제12항에 있어서, 상기 가반식 밀폐 컨테이너는 밑뚜껑형이고, 카세트 넣고 꺼내는데 있어서 컨테이너본체가 승강장치에 의해 승강되고, 당해 승강장치는 상기 컨테이너본체를 대부위에 압압 로크(lock)하는 기능을 가지고 있음을 특징으로 하는 가반식 밀폐컨테이너를 사용한 전자기판 세정장치.
  17. 제14항에 있어서, 상기 세정 및 건조장치의 건조장치는 상기 케이싱내에 구획된 퍼지실내에 있음을 특징으로 하는 가반식 밀폐 컨테이너를 사용한 전자기판 세정장치.
  18. 전자기판을 수납하여 반송하는 가반식 밀폐 컨테이너내와; 상기 가반식 밀폐 컨테이너를 상기 전자기판에 대해 불활성인 가스로 소거한 후 퍼지하는 가스 퍼지 유니트로 구성되고, 상기 가스 퍼지 유니트는 파이프를 통해 진공원과 불활성 가스원에 연결되고, 또한 상기 가스 퍼지 유니트는 벽으로 분할되어 가스 퍼지 유니트 본체부와 컨테이너 수납부를 형성하고, 이 벽에는 상기 가스퍼지 유니트 본체부와 상기 컨테이너 수납부를 상호 연통하는 연통공을 형성하며, 상기 가반식 밀폐 컨테이너가 상기 벽위에 재치되고난 후 상기 컨테이너의 상기 밑뚜껑을 열므르서 상기 컨테이너의 내면이 상기 가스퍼지 유니트 본체의 내면과 연계되고, 이에 의해 상기 가반식 밀폐 컨테이너의 내부가 불활성 가스와 가열된 불활성 가스의 하나로 소거되고 퍼지되며, 상기 가스 퍼지 유니트의 본체부는 상자체이고, 이 본체부상의 컨테이너 수납부에는 반 구형커버체의 형상을 세팅함을 특징으로 하는 가반식 밀폐 컨테이너용의 가스 퍼지장치.
KR1019930014385A 1992-07-29 1993-07-28 가반식 밀폐 컨테이너를 사용한 전자기판 처리시스템과 그의 장치 KR100304127B1 (ko)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
JP20266092A JP3252456B2 (ja) 1992-07-29 1992-07-29 密閉コンテナのガスパージ方法およびその装置
JP92-202660 1992-07-29
JP92-211546 1992-08-07
JP21154692A JP3185390B2 (ja) 1992-08-07 1992-08-07 クリーンルーム用の洗浄装置
JP92-221474 1992-08-20
JP4221474A JPH0669312A (ja) 1992-08-20 1992-08-20 可搬式密閉コンテナ移送式の電子基板処理システム
JP22147592A JP3240698B2 (ja) 1992-08-20 1992-08-20 可搬式密閉コンテナのパージステーション
JP92-221475 1992-08-20

Publications (2)

Publication Number Publication Date
KR940006244A KR940006244A (ko) 1994-03-23
KR100304127B1 true KR100304127B1 (ko) 2001-11-30

Family

ID=37529856

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019930014385A KR100304127B1 (ko) 1992-07-29 1993-07-28 가반식 밀폐 컨테이너를 사용한 전자기판 처리시스템과 그의 장치

Country Status (2)

Country Link
US (1) US5621982A (ko)
KR (1) KR100304127B1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100514160B1 (ko) * 1998-01-23 2005-09-09 동경 엘렉트론 주식회사 기판처리장치 및 기판처리방법
KR101327509B1 (ko) 2012-06-29 2013-11-08 세메스 주식회사 집적회로 소자 제조 장치

Families Citing this family (391)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08335539A (ja) * 1995-06-06 1996-12-17 Sony Corp 生産管理装置および生産管理方法
US5898588A (en) * 1995-10-27 1999-04-27 Dainippon Screen Mfg. Co. Method and apparatus for controlling substrate processing apparatus
FR2747112B1 (fr) * 1996-04-03 1998-05-07 Commissariat Energie Atomique Dispositif de transport d'objets plats et procede de transfert de ces objets entre ledit dispositif et une machine de traitement
TW539918B (en) * 1997-05-27 2003-07-01 Tokyo Electron Ltd Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
US5957292A (en) * 1997-08-01 1999-09-28 Fluoroware, Inc. Wafer enclosure with door
US6704998B1 (en) * 1997-12-24 2004-03-16 Asyst Technologies, Inc. Port door removal and wafer handling robotic system
JPH11274282A (ja) * 1998-03-23 1999-10-08 Toshiba Corp 基板収納容器、基板収納容器清浄化装置、基板収納容器清浄化方法および基板処理装置
US6263590B1 (en) * 1999-07-12 2001-07-24 Advanced Micro Devices, Inc. Method and apparatus for controlling byproduct induced defect density
US6508259B1 (en) * 1999-08-05 2003-01-21 S.C. Fluids, Inc. Inverted pressure vessel with horizontal through loading
US6497239B2 (en) 1999-08-05 2002-12-24 S. C. Fluids, Inc. Inverted pressure vessel with shielded closure mechanism
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6748960B1 (en) * 1999-11-02 2004-06-15 Tokyo Electron Limited Apparatus for supercritical processing of multiple workpieces
KR100742473B1 (ko) * 1999-11-02 2007-07-25 동경 엘렉트론 주식회사 제 1 및 제 2 소재를 초임계 처리하는 장치 및 방법
FR2811309B1 (fr) * 2000-07-06 2003-01-10 Deux Villages Machine de chargement et dechargement de flacons
AU2001290171A1 (en) * 2000-07-26 2002-02-05 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate
AU2001234856A1 (en) * 2000-08-04 2002-02-18 S. C. Fluids, Inc. Inverted pressure vessel with shielded closure mechanism
TW513548B (en) * 2001-05-08 2002-12-11 Mosel Vitelic Inc Cover sensing system of spin dryer
KR100443771B1 (ko) * 2002-01-28 2004-08-09 삼성전자주식회사 작업물 수납 용기 및 작업물 수납 용기의 개폐 장치
WO2003071173A1 (en) * 2002-02-15 2003-08-28 Supercritical Systems Inc. Pressure enchanced diaphragm valve
US7001468B1 (en) 2002-02-15 2006-02-21 Tokyo Electron Limited Pressure energized pressure vessel opening and closing device and method of providing therefor
US6722642B1 (en) 2002-11-06 2004-04-20 Tokyo Electron Limited High pressure compatible vacuum chuck for semiconductor wafer including lift mechanism
US7021635B2 (en) 2003-02-06 2006-04-04 Tokyo Electron Limited Vacuum chuck utilizing sintered material and method of providing thereof
US7077917B2 (en) * 2003-02-10 2006-07-18 Tokyo Electric Limited High-pressure processing chamber for a semiconductor wafer
US20050034660A1 (en) * 2003-08-11 2005-02-17 Supercritical Systems, Inc. Alignment means for chamber closure to reduce wear on surfaces
JP3902583B2 (ja) * 2003-09-25 2007-04-11 Tdk株式会社 可搬式密閉容器内部のパージシステムおよびパージ方法
US7250374B2 (en) * 2004-06-30 2007-07-31 Tokyo Electron Limited System and method for processing a substrate using supercritical carbon dioxide processing
US7307019B2 (en) * 2004-09-29 2007-12-11 Tokyo Electron Limited Method for supercritical carbon dioxide processing of fluoro-carbon films
US20060065288A1 (en) * 2004-09-30 2006-03-30 Darko Babic Supercritical fluid processing system having a coating on internal members and a method of using
JP4012190B2 (ja) 2004-10-26 2007-11-21 Tdk株式会社 密閉容器の蓋開閉システム及び開閉方法
US20060102591A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited Method and system for treating a substrate using a supercritical fluid
US20060102590A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited Method for treating a substrate with a high pressure fluid using a preoxide-based process chemistry
US20060102208A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited System for removing a residue from a substrate using supercritical carbon dioxide processing
US7491036B2 (en) * 2004-11-12 2009-02-17 Tokyo Electron Limited Method and system for cooling a pump
US20060102204A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited Method for removing a residue from a substrate using supercritical carbon dioxide processing
US20060130966A1 (en) * 2004-12-20 2006-06-22 Darko Babic Method and system for flowing a supercritical fluid in a high pressure processing system
US7434590B2 (en) * 2004-12-22 2008-10-14 Tokyo Electron Limited Method and apparatus for clamping a substrate in a high pressure processing system
US7140393B2 (en) * 2004-12-22 2006-11-28 Tokyo Electron Limited Non-contact shuttle valve for flow diversion in high pressure systems
US20060135047A1 (en) * 2004-12-22 2006-06-22 Alexei Sheydayi Method and apparatus for clamping a substrate in a high pressure processing system
US20060134332A1 (en) * 2004-12-22 2006-06-22 Darko Babic Precompressed coating of internal members in a supercritical fluid processing system
US20060180174A1 (en) * 2005-02-15 2006-08-17 Tokyo Electron Limited Method and system for treating a substrate with a high pressure fluid using a peroxide-based process chemistry in conjunction with an initiator
US20060180572A1 (en) * 2005-02-15 2006-08-17 Tokyo Electron Limited Removal of post etch residue for a substrate with open metal surfaces
US7291565B2 (en) * 2005-02-15 2007-11-06 Tokyo Electron Limited Method and system for treating a substrate with a high pressure fluid using fluorosilicic acid
US7435447B2 (en) * 2005-02-15 2008-10-14 Tokyo Electron Limited Method and system for determining flow conditions in a high pressure processing system
US7767145B2 (en) 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
US20060255012A1 (en) * 2005-05-10 2006-11-16 Gunilla Jacobson Removal of particles from substrate surfaces using supercritical processing
US7789971B2 (en) * 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US7524383B2 (en) * 2005-05-25 2009-04-28 Tokyo Electron Limited Method and system for passivating a processing chamber
US20070012337A1 (en) * 2005-07-15 2007-01-18 Tokyo Electron Limited In-line metrology for supercritical fluid processing
JP4527670B2 (ja) * 2006-01-25 2010-08-18 東京エレクトロン株式会社 加熱処理装置、加熱処理方法、制御プログラムおよびコンピュータ読取可能な記憶媒体
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
NZ593495A (en) * 2011-06-16 2014-02-28 David Kenneth Pinches Disc for industrial plants
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
CN103234328B (zh) * 2013-03-28 2015-04-08 京东方科技集团股份有限公司 一种基板减压干燥方法及装置
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9349620B2 (en) * 2014-07-09 2016-05-24 Asm Ip Holdings B.V. Apparatus and method for pre-baking substrate upstream of process chamber
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US10890378B2 (en) * 2019-01-12 2021-01-12 Chad K. Davis Negative pressure drying apparatus
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
CA3111207A1 (en) * 2020-03-05 2021-09-05 Green Mountain Mechanical Design, Inc. Partial vacuum drying system and method
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4724874A (en) * 1986-05-01 1988-02-16 Asyst Technologies Sealable transportable container having a particle filtering system
US4995430A (en) * 1989-05-19 1991-02-26 Asyst Technologies, Inc. Sealable transportable container having improved latch mechanism
JPH03129731A (ja) * 1989-10-14 1991-06-03 Dainippon Screen Mfg Co Ltd 酸化膜等の被膜除去処理後における基板表面の洗浄方法
JPH03139831A (ja) * 1989-10-25 1991-06-14 Mitsumi Electric Co Ltd 半導体装置の製造方法
JPH03190260A (ja) * 1989-12-20 1991-08-20 Hitachi Ltd ウエハ処理装置およびそれを用いた半導体集積回路装置の製造方法

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0497281B1 (en) * 1991-01-29 1998-12-30 Shinko Electric Co. Ltd. Wafer airtight keeping unit
US5363867A (en) * 1992-01-21 1994-11-15 Shinko Electric Co., Ltd. Article storage house in a clean room

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4724874A (en) * 1986-05-01 1988-02-16 Asyst Technologies Sealable transportable container having a particle filtering system
US4995430A (en) * 1989-05-19 1991-02-26 Asyst Technologies, Inc. Sealable transportable container having improved latch mechanism
JPH03129731A (ja) * 1989-10-14 1991-06-03 Dainippon Screen Mfg Co Ltd 酸化膜等の被膜除去処理後における基板表面の洗浄方法
JPH03139831A (ja) * 1989-10-25 1991-06-14 Mitsumi Electric Co Ltd 半導体装置の製造方法
JPH03190260A (ja) * 1989-12-20 1991-08-20 Hitachi Ltd ウエハ処理装置およびそれを用いた半導体集積回路装置の製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100514160B1 (ko) * 1998-01-23 2005-09-09 동경 엘렉트론 주식회사 기판처리장치 및 기판처리방법
KR101327509B1 (ko) 2012-06-29 2013-11-08 세메스 주식회사 집적회로 소자 제조 장치

Also Published As

Publication number Publication date
US5621982A (en) 1997-04-22
KR940006244A (ko) 1994-03-23

Similar Documents

Publication Publication Date Title
KR100304127B1 (ko) 가반식 밀폐 컨테이너를 사용한 전자기판 처리시스템과 그의 장치
US5746008A (en) Electronic substrate processing system using portable closed containers
KR100298764B1 (ko) 가반식 밀폐 컨테이너용 가스퍼지 유니트
JP3796782B2 (ja) 機械的インターフェイス装置
JP4977228B2 (ja) 基板装填脱装方法および半導体装置の製造方法
JP4033689B2 (ja) 液処理装置および液処理方法
JP3543996B2 (ja) 処理装置
US20070224820A1 (en) Facility with Multi-Storied Process Chamber for Cleaning Substrates and Method for Cleaning Substrates Using the Facility
JP4255222B2 (ja) 基板処理装置、基板処理方法および半導体装置の製造方法
JP3082389B2 (ja) クリーンルーム用保管庫
US20020081174A1 (en) Vacuum processing apparatus and a vacuum processing system
TW202116641A (zh) 前開式晶圓傳送盒清潔裝置
JP2005079250A (ja) 基板処理装置
JP3355697B2 (ja) 可搬式密閉コンテナおよびガスパージステーション
EP1263022B1 (en) Substrate cleaning system
JP3252456B2 (ja) 密閉コンテナのガスパージ方法およびその装置
JP3156074B2 (ja) 洗浄・乾燥処理装置
JP3281978B2 (ja) 洗浄・乾燥処理装置
JP3200460B2 (ja) 成膜処理装置
JP3102826B2 (ja) 基板処理装置
JPH05217919A (ja) 自然酸化膜除去装置
JPH0669312A (ja) 可搬式密閉コンテナ移送式の電子基板処理システム
JP3666636B2 (ja) 基板の処理装置
JP2767142B2 (ja) 真空処理装置用ユニット
JP3240698B2 (ja) 可搬式密閉コンテナのパージステーション

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20100622

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee