KR100276426B1 - 처리장치 - Google Patents

처리장치 Download PDF

Info

Publication number
KR100276426B1
KR100276426B1 KR1019930017677A KR930017677A KR100276426B1 KR 100276426 B1 KR100276426 B1 KR 100276426B1 KR 1019930017677 A KR1019930017677 A KR 1019930017677A KR 930017677 A KR930017677 A KR 930017677A KR 100276426 B1 KR100276426 B1 KR 100276426B1
Authority
KR
South Korea
Prior art keywords
processing apparatus
processing
chamber
rotation
semiconductor wafer
Prior art date
Application number
KR1019930017677A
Other languages
English (en)
Other versions
KR940007974A (ko
Inventor
노부아끼 다까하시
Original Assignee
히가시 데쓰로
동경 엘렉트론주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 히가시 데쓰로, 동경 엘렉트론주식회사 filed Critical 히가시 데쓰로
Publication of KR940007974A publication Critical patent/KR940007974A/ko
Application granted granted Critical
Publication of KR100276426B1 publication Critical patent/KR100276426B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68728Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

피처리체, 예를 들면 반도체웨이퍼를 피처리가스 분위기중에서 처리하여 그 표면에 형성된 자연산화막을 제거하는 처리실과, 이 처리실에 피처리체를 유지하는 유지수단, 예를 들면 핀을 세워 형성한 얹어놓는대과, 이 얹어놓는대의 안쪽에 원반체를 형성하고, 얹어놓는대와 이 원반체를 상대적으로 회전시킨다. 이 양자의 상대회전에 의하여 피처리체의 표면과 뒷면에 처리가스의 기류가 발생하여 전체면에 걸쳐서 균일하면서도 동시에 자연산화막의 제거처리가 이루어진다.

Description

처리장치
제1도는 본 발명의 처리장치(자연산화막 제거장치)를 배치식 CVD 처리장치에 접속한 처리시스템의 실시예의 전체구성도이다.
제2도는 제1도에 나타낸 처리시스템의 평면도이다.
제3도는 본 발명의 처리장치를 자연산화막 제거장치에 적용한 제1실시예의 종단면도로서, 피처리체를 핀으로 유지하고, 또한, 처리실과 처리분위기실을 상호간에 기밀하게 분리한 상태를 나타낸다.
제4도는 제3도에 나타낸 자연산화막 제거장치에 있어서, 개폐뚜껑을 상승시켜서 처리실과 처리가스분위기실을 상호간에 연이어 통하게 한 상태를 나타낸다.
제5도는 제3도에 나타낸 자연산화막 제거장치의 얹어놓는대와 원반체의 회전수단의 종단면도이다.
제6도는 제3도에 나타낸 자연산화막 제거장치의 A부의 부분확대도로서,유지기구(핀)의 구성을 나타낸다.
제7도는 본 발명의 처리장치의 회전부분의 상세로서, 제7a도는 회전체의 표면에 돌기형상의 정류체를 형성한 것의 사시도이다. 또한, 제7b도는 홈형상의 정류체를 형성한 것의 사시도이다.
* 도면의 주요 부분에 대한 부호의 설명
1 : 자연산화막 제거장치 2 : 용기
3 : 혼합액 4 : 액체수용부
5 : 안쪽 둘레벽 6 : 개폐뚜껑
7 : 제1의 밀봉체 8 : 벨로우즈
9 : 천정뚜껑 9a : 개구부
13 : 제2의 밀봉체 14 : 처리가스분위기실
14a : 처리가스 16 : 핀
18 : 얹어놓는대 18a : 구멍부
18b : 원반체 18x : 볼록형상의 돌기부
18Y : 오목형상의 홈부 19 : 중간뚜껑
21 : 제3의 밀봉체 22 : 제2의 벨로우즈
23 : 바닥뚜껑 23a : 개구부
27 : 안쪽 둘레부 28 : 제4의 밀봉체
29 : 처리실 30 : 공급관
31 : 제1의 개폐밸브 32 : 제1의 배기관
33 : 제2의 개폐밸브 40 : 예비실
41 : 반입반출통로 42 : 도입관
43 : 게이트밸브 44 : 제5의 밀봉체
45 : 제2의 배기관 50 : 중공(中空)모우터
50a : 회전샤프트 51 : 베어링
52 : 스테핑모우터 53 : 접속부
54 : 공간부 55 : 도입구멍
56 : 공간실 57a : 제1의 배기구
57b : 제2의 배기구 58 : 기류
60 : 기체류 61 : 뒷면기류
70 : 로드록실 71 : 웨이퍼 반송기구
72 : 제2의 게이트밸브 80 : CVD장치
81 : 프로세스 튜브 82 : 가열코일
83 : 웨이퍼보우트 85 : 로드록실
90 : 회전기구 91 : 승강기구
92 : 개폐기구 95 : 진공배기장치
96 : N2가스 공급장치 100 : 메모리
101 : 제어장치 X, Y : 간극부
본 발명은 처리장치에 관한 것이다.
종래의 처리장치에 있어서, 피처리체, 예를 들면 반도체웨이퍼를 처리실내로 수용하고, 이 처리실내에서 반도체웨이퍼를 회전시켜서, 이것에 처리가스를 균일하게 작용시키는 것에 의하여, 이것에 처리를 행하는 처리장치가 이용되고 있다.
처리장치, 예를 들면, 반도체웨이퍼 표면에 형성된 자연산화막을 제거하는 자연산화막 제거장치에서는, 상압(常壓) 또는 양압(陽壓)의 처리실내 상부에 소정의 액체, 예를 들면, 불산과 물의 혼합액을 담아놓고, 이것으로부터 발생한 불산증기를 처리실내로 확산시킨다. 그리고, 이처리실내의 하부에 피처리면(표면)을 윗방향으로 반도체웨이퍼를 회전가능한 원형상의 얹어놓는대에 유지하고, 이 얹어놓는대를 회전함으로써 반도체웨이퍼를 함께 회전시킨다. 그리고, 불산증기를 반도체웨이퍼의 회전에 의하여 발생하는 회전기류에 의하여 반도체웨이퍼 표면에 작용시켜, 반도체웨이퍼 표면에 형성된 자연산화막을 제거하는장치가 알려져 있다.
그러나, 이러한 회전가능한 얹어놓는대는 한장의 원판으로 구성되고, 피처리체와 일체로 회전하므로, 피처리체의 뒷면의 둘레가장자리부에서, 회전기류의 와부(渦部)가 발생하고, 피처리체의 뒷면의 중심부에 비하여 자연산화막의 제거율(에칭률)이 현저하게 진행하는 것으로 된다. 그 때문에, 피처리체의 뒷면에 형성된 자연산화막을 균일하게 제거처리하는 것이 불가능하다고 하는 문제점이 있었다.
그러나, 피처리체의 뒷면에 형성된 자연산화막(부착한 오염물, 불순물등)을 균일하게 제거처리하는 것이 불가능하면, 이 처리의 후단에서 처리를 행하는 처리장치, 예를 들면 열처리장치에서 제품수율을 저하한다고 하는 문제점이 있었다.
본 발명의 목적은 피처리체의 표면과 뒷면을 균일하면서도 동시에 불순물의 제거처리가 가능한 매엽식(枚葉式)처리장치를 제공함에 있다.
본 발명에서는 피처리체를 처리가스분위기에서 처리하는 처리실과, 이 처리실내에 피처리체를 유지하는 유지기구를 형성한 얹어놓는대를 구비한 처리장치에 있어서, 얹어놓는대를 회전기구에 접속함과 함께 이 얹어놓는대의 안쪽에 원반체를 형성하고, 이 원반체는 얹어놓는대에 대하여 상대적으로 회전하도록 구성한다.
본 발명은 이상과 같이 구성되어 있기 때문에, 피처리체를 유지하는 링형상의 얹어놓는대의 회전과, 이 얹어놓는대의 안쪽에형성된 고정 또는 원반체와의 상대적인 회전속도의 차에 의하여, 처리가스의 기류를 피처리체의 표면 및 뒷면에, 보다 균일하게 흘리는 것이 가능하므로, 피처리체의 표면과 뒷면을 균일하면서도 동시에 처리하는 것이 가능하게 된다.
[제1실시예]
이하, 본 발명의 처리장치를 자연산화막 제거장치에 적용한 제1실시예에 대하여 첨부도면에 기초하여 설명한다.
제1도 내지 제4도에 나타낸 바와 같이, 이 자연산화막 제거장치(1)는, 내부식성재료, 예를 들면 불소수지에 의하여 만들어지는 원통형상의 용기(2)로 구성되어 있다.
이 용기(2)의 안쪽 상부에는, 액체, 예를 들면 불산과 물의 혼합액(HF/H2O, 예를 들면 5%HF 95%H2O)(3)을 담그어 놓고 고리형상의 액체수용부(4)가 형성되어 있다. 이 액체수용부(4)의 안쪽 원둘레벽의 윗끝단에는, 안쪽둘레부(27)를 가지는 안쪽 둘레벽(5)의 바깥쪽과 같은 직경으로 이루어지는 원판형상의 개폐뚜껑(6)과, 밀폐적으로 봉하기 위한 내부식성재료로 이루이지는 링형상의 제1의 밀봉체(7)(예를 들면 0링)가 형성되어 있다.
또한, 개폐뚜껑(6)의 바깥둘레끝단에는, 원통형상으로 그의 안쪽이 속이 빈 신축이 자유로운 내부식성재료로 형성된 제1의 벨로우즈(8)의 한끝단이 기밀하게 접속되어 있다. 이 벨로우즈(8)의 다른 끝단은 천정뚜껑(()과 기밀하게 접속되어 있다.
이 천정뚜껑(9)는 내부식성 재료로 원형상 평판으로 형성되고, 제3도에 나타낸 바와 같이, 그의 안쪽에는 벨로우즈(8)의 안지름보다 작은 원형상의 개구부(9a)가 형성되고, 이 개구부(9a) 안쪽에는 개폐뚜껑(6)을 상승하기 위한 개폐기구(92),예를 들면 에어실린더가 장착되고, 이것에 의하여 상하 운동하여 개폐뚜껑(6)이 개폐가능하게 구성되어 있다.
또한, 천정뚜껑(9)의 바깥둘레 끝단은 용기(2)의 윗끝단부에 원둘레형상으로 형성된 내부식성재료로 구성되는 링형상의 제2의 밀봉체(13)를 통하여 용기(2)에 기밀하게 접속되고, 이것에 의하여 액체수용부(4)로부터 기화된 처리가스(14a)(HF증기, 불소 : 5%, 물 : 95%)가 충만한 처리가스분위기실(14)(상압)이 구성되어 있다.
또한, 처리가스분위기실(14)의 하부이면서 용기(2)의 중앙부에는 처리실(29)이 형성되어 있다. 이 처리실(29)에는, 피처리체, 예를 들면 반도체웨이퍼(W)를 얹어놓기 위한 얹어놓는대(18)가 형성되고, 이 얹어놓는대(18)의 상부에 반도체웨이퍼(W)는 유지기구(제6도 참조)에 의하여 끼우고 빼기가 자유롭게 반경방향으로 개폐하는 핀(16)으로 유지된다.
제5도에 나타낸 바와 같이 회전기구(90), 예를 들면 속이 빈 모우터(50)의 회전샤프트(50a)가 중간뚜껑(19)에 부설된 베어링(51)을 통하여 얹어놓는대(18)에 접속되어 회전가능하게 구성되어 있다.그리고, 핀(16)은 링형상의 얹어놓는대(18)의 윗면에 복수, 예를 들면 3군데 형성되어 있다.
또한, 얹어놓는대(18)에는 제5도에 나타낸 바와 같이 불활성가스, 예를 들면 N2가스를 유통시키는 구멍부(18a)가, 예를 들면 원고리 형상으로 대향하여 2군데 뚫어 형성되어 있다.
또한, 얹어놓는대(18)의 윗면 안쪽에는 원반체(18b)가 형성되어 있다. 이 원반체(18b)는 제5도에 나타낸 바와 같이 회전기구(90), 예를 들면 스테핑모우터(52)와 접속부(53)로 접속되어 회전가능하게 구성되어 있다. 이들의 중공모우터(50)와 스테핑모우터(52)는 메모리(100)로부터의 정보에 기초하여 제어장치(101)에 의하여 그들의 회전이 제어된다.
또한, 중간뚜껑(19)의 하부에는 중공모우터(50)와 스테핑모우터(52)를 고정하여 수납하는 제1의 공간부(54)가 형성되어 있다. 이 공간부(54)에 N2가스를 도입하기 위한 도입구멍(55)이 뚫어 형성되어 있다.
또한, 원반체(18b)와 얹어놓는대(18) 사이의 간극부(X)와 중간뚜껑(19)사이의 간극부(Y)는 각각 미로구조로 되어 있음과 동시에, 구멍부(18a)로부터 불활성 가스, 예를 들면 N2가스가 유통함에 의하여 간극부(X), (Y)가 각각 양압으로 되어 있다. 이것에 의하여, 처리가스분위기실(14)로부터 처리가스(14a)(HF증기)가 간극부(X), (Y)에 각각 유입하는 것을 억제하도록 구성되어 있다.
또한, 처리가스(14a)(HF증기)가 미량으로 간극부(X), (Y)에 유입한 것으로 하여도, 불활성 가스, 예를 들면 N2가스와 처리가스(14a)가 혼합되어 혼합기체로서 배출하기 위한 중간버퍼로서 제2의 공간실(56)이 공간부(54)의 둘레에 링형상으로 형성되어 있다. 이 공간실(56)의 상부에는 앞의 혼합기체를 배기하기 위한 제1의 배기구(57a)가 개구되고, 공간실(56)의 하부에는, 혼합기체를 배기하기 위한 제2의 배기구(57b)가 개구되어 있다. 그리고 도시하지 아니한 배기장치, 예를 들면 기체의 흐름을 이용하여 배기하는 어스필레이터가 이것에 접속되어, 혼합기체를 배기함과 함께 기류(58)가 이들의 시스템내를 흐르도록 구성되어 있다.
또한, 제3도에 나타낸 바와 같이, 중간뚜껑(19)의 둘레 가장자리부에는, 용기(2)의 안쪽에 형성된 원들레 형상의 볼록부(20)의 아래면에 밀어누름됨에 의하여 기밀하게 밀봉하기 위한 내부식성재료로 구성되는 링형상의 제3의 밀봉체(21)가 배열설치되어 있다.
또한, 중간뚜껑(19)의 바깥 둘레가장자리 끝단에는, 원통형상으로 안쪽이 속이 빈 신축이 자유로운 내부식성재를 형성된 제2의 벨로우즈(22)의 한끝단이 기밀하게 접속되고, 또한 이 벨로우즈(22)의 다른 끝단은 바닥뚜껑(23)과 기밀하게 접속되어 있다.
또한, 이 바닥뚜껑(23)은 배부식성재료로서 원형상 평판으로 형성되고, 그의 안쪽은 벨로우즈(22)의 안지름보다 작은 원형상의 개구부(23a)가 형성되어 있다. 이 개구부(23a)의 안쪽 및 상기 벨로우즈(22)의 내부에는 중간뚜껑(19)및 회전기구(90)전체를 상하운동하기 위한 승강기구(91), 예를 들면 에어실린더가 형성되어 있다.
또한, 제3도에 나타낸 바와 같이, 바닥뚜껑(23)의 윗면의 바깥둘레 가장자리부는 용기(2)의 하부바닥면에 형성된 내부식성 재료로 이루어지는 링형상의 제4의 밀봉체(28)에 밀어누름되고, 용기(2)의 하부면을 기밀하게 밀봉하도록 접속되어 있다.
또한, 처리실(20)의 안쪽 둘레벽의 한끝단은 퍼지용의 불활성가스, 예를 들면 N2가스를 공급하기 위한 공급관(30)이 제1의 개폐밸브(31)를 통하여 접속되어 있고, 또한 다른 끝단은 N2가스를 처리실(29)로부터 배기하기 위한 제1의 배기관(32)이 제2의 개폐밸브(33)를 통하여 접속되어 있다. 또한, 배기관(32)에 도시하지 아니한 처리가스배기 제거수단이 접속되어 있다.
또한, 처리실(29)의 하부에는 예비실(40)이 형성되고, 이 예비실(40)은 중간뚜껑(19)과 바닥뚜껑(23)과 용기 (2)사이에 구성되어 있다.
또한, 예비실(40)의 측벽면의 한끝단에는, 반도체웨이퍼(W)를 반입 또는 반출하기 위한 반입반출통로(41)가 개구되어 있다. 이 반입반출통로(41)의 중간위치에는, 불활성가스, 예를 들면 N2가스를 항상 도입하기 위한 도입관(42)이 접속되어 있다.
또한, 예비실(40)의 다른 끝단의 측벽면에는, N2가스를 방출하기 위한 제2의 배기관(45)이 접속되어 있다. 또한, 반입반출통로(41)를 개폐하기 위한 개폐닫이, 예를 들면 게이트밸브(43)가 형성되고, 내부식성재료로 되는 제5의 밀봉체(44)를 통하여 용기(2)를 기밀하게 밀폐가능하도록 구성되어 있다.
또한, 제1도및 제2도에 나타낸 바와 같이, 이 자연산화막 제거장치(1)에는 게이트밸브(43)를 통하여, 예비실(40)과 기밀하게 접속된 로드록실(70)이 형성되어 있고, 이 로드록실(70)내의 웨이퍼 반송기구(71)에 의하여 예비실(40)내에 반도체웨이퍼(W)를 반입하고 그로부터 반출하는 것이 가능하도록 구성되어 있다.
또한, 로드록실(70)에는 그의 속을 진공분위기로 한 후, 산소를 포함하지 않는 N2가스 분위기로 설정하는 것이 가능하도록 진공배기장치(95)와 N2가스공급장치(96)가 각각 접속되어 있다.
또한, 로드록실(70)은 열처리장치, 예를 들면 배치식 CVD장치(80)에 N2가스 분위기의 상태로 반도체웨이퍼(W)를 반입하고, 또한 그곳으로부터 반출하는 것이 가능하도록 구성된다.로드록실(70)은 대기중으로부터 게이트밸브(92),(91)를 통하여 반도체웨이퍼(W)를 반입반출하기 위한 웨이퍼반입반출실(90)과 함께 N2가스 분위기의 상태에서 반도체웨이퍼(W)를 반입반출하는 것이 가능하도록 구성되어 있다.
이상과 같이, 본 제1실시예의 자연산화막 제거장치(1)가 구성되어 있다.
다음에, 이상과 같이 구성된 본 발명의 처리장치에 있어서의 반도체웨이퍼(W)의 처리동작에 대하여 설명한다.
먼저, 제1도에 나타낸 중간뚜껑(19)이 승강기구(91)에 의하여 쇄선으로 나타낸 위치까지 하강하고, 게이트밸브(43)가 개방하고, 웨이퍼 반송기구(71)에 의하여 반도체웨이퍼(W)를 로드록실(70)로부터 예비실(40)로 반입한다.
여기서, 반도체웨이퍼(W)는, 제6도에 나타낸 유지기구에 의하여 유지된다. 즉, 얹어놓는대(18)의 윗면에는 적어도 3개의 핀916)이 세워 형성되고, 이들 핀(16)은 그 중간플랜지(16a)에 의하여 얹어놓는대(18)의 표면에 미끄러움직임이 자유롭게 지지되어 있다. 이들 핀(16)은 얹어놓는대(18)를 관통하여 아래쪽으로 연장되고, 그들의 아래끝단부에는 벤트아암(16b)이 형성되어 있어 항상 스프링(12)에 의하여 핀(16)이 닫히는 방향으로 힘이 가해져 있다. 그리고, 반도체웨이퍼(W)는 핀(16)의 윗끝단에 형성된 노치에 걸어멈춤되어 플런져(15)를 적당한 구동수단에 의하여 위쪽으로 밀어누름에 의하여 반도체웨이퍼(W)를 끼워지지하도록 되어 있다.
또한, 핀(16)이 개폐기구에 의하여 닫히고, 반도체웨이퍼(W)의 둘레 가장자리부를 파지한다. 이후, 웨이퍼 반송기구(71)는 로드록실(70)로 돌아오고, 게이트밸브(43)는 용기 (2)를 기밀하게 밀폐하기 위하여 닫혀진다.
이 상태에 있어서는, 제3도에 나타낸 바와 같이, 처리가스분위기실(14)은 개폐뚜껑(6)이 안쪽둘레벽(5)의 위끝단에 기밀밀폐되어 기밀실을 구성하고 있다. 여기서, 개폐밸브(31), (33)를 개방상태로 하고, 불활성가스, 예를 들면 N2가스를 N2가스원으로부터 공급관 (30)에 의하여 처리실(2)내로 퍼지한다.
다음에, 중간뚜껑(19)을 승강기구(91)에 의하여 실선으로 나타낸 위치까지 상승시켜, 이 중간뚜껑(19)의 둘레가장자리부에 형성된 밀폐체(21)가 용기(2)의 안쪽에 형성된 고리형상의 볼록부(20)의 아래면을 밀어누르도록 접촉시켜, 처리실(29)과 예비실(40)을 제1도및 제3도에 나타낸 바와 같이 기밀상태로 분리한다.
다음에, 처리실(29)내로의 N2가스의 공급과 그로부터의 반출을 정지하기 위한 개폐밸브(31), (33)를 닫고, 제4도에 나타낸 바와 같이 개폐뚜껑(6)을 개폐기구(92)에 의하여 상승시켜, 처리가스분위기실(14)과 처리실(29)을 연이어 통하게 한다. 그리고, 반도체웨이퍼(W)를 핀(16)에 의하여 유지하고 있는 링형상의 얹어놓는대(18)를 제5도에 나타낸 중공모우터(50)에 의하여 회전, 예를 들면 매분 500 내지 2000 회전으로 정회전시킨다.
또한, 링형상의 얹어놓는대(18)의 회전에 동기하여, 이 얹어놓는대(18)의 안쪽에 배치된 원반체(18b)를 제5도에 나타낸 스테핑모우터(52)에 의하여 얹어놓는대(18)의 회전방향과 역방향으로 회전(예를 들면 매분 0 내지 1000 회전)시킨다.
또한, 링형상의 얹어놓는대(18)와 원반체(18b)의 회전차에 의한 회전작용에 의하여 제4도에 나타낸 바와 같이, 처리가스분위기실(14)내에 증기로서 충만하고 있는 처리가스(14a)(HF증기)는 화살표와 같이 기체류(60)로되고 반도체웨이퍼(W)의 표면에 인입된다. 그리고, 이 처리가스(14a)의 흐름에 의하여 반도체웨이퍼(W)의 표면에 부착하고 있는 불필요한 자연산화막을 균일하게 제거처리 한다.
또한, 기체류(60)는 반도체 웨이퍼(W)의 뒷면쪽에도 유입하고, 제4도에 나타낸 바와 같이 뒷면에도 기류(61)가 발생하고, 반도체웨이퍼(W)의 뒷면에 형성된 자연산화막을 균일하게 제거처리한다.
또한, 종래와 같이 링형상의 얹어놓는대(18)와 원반체(18b)가 일체화되어 한꺼번에 회전하는 경우, 양자간에 회전차가 없기 때문에, 제4도의 화살표(점선)로 나타낸 바와 같이 반도체웨이퍼(W)의 뒷면의 기류는 뒷면기류(62)로 되고, 반도체웨이퍼(W)의 뒷면 둘레가장자리부를 와류화 하고, 그 때문에, 반도체웨이퍼(W)의 뒷면중앙부에 있어서, 그 뒷면에 형성된 자연산화막이 완전히 제거처리되지 않고 그대로 잔류하는 것으로 된다.
다음에, 반도체웨이퍼(W)상의 자연산화막을 제거처리한 후는, 얹어놓는대(18)의 회전과 원반체(18)의 회전을 정지시킨다. 그리고, 개폐뚜껑(6)을 개폐기구(92)에 의하여 하강시켜, 제3도에 나타낸 바와 같이 닫고, 처리가스분위기실(14)과 중간실(29)사이를 사이가 떨어진 별실의 상태로 한다.
다음에, 처리실(29)내에 N2가스를 개폐밸브(31)를 개방하여 공급하고, 처리실(29)내의 잔류처리가스 농도를 희박하게 하며, 또한 개폐밸브(33)를 개방함으로써, 처리실(29)외에 잔류처리가스를 배기관(32)에 의하여 완전히 방출시킨다.
다음에, 중간뚜껑(19)을 승강기구(91)에 의하여 제1도및 제3도에 쇄선으로 나타낸 바와 같이 하강시켜, 게이트밸브(43)를 개방한다. 또한, 반도체웨이퍼(W)는, 그것을 유지하고 있던 핀(16)을 해제하고, 제1도에 나타낸 웨이퍼반송기구(71)에 의하여, 로드록실(70)에 자연산화막 제거처리후의 반도체웨이퍼(W)를 반출하고, 게이트밸브(43)를 닫는다.
다음에, 웨이퍼반송기구(71)에 의하여, 로드록실(70)에 반송된 반도체웨이퍼(W)는 제2의 게이트밸브(72)를 통하여 CVD장치(80)로 반송되고, 다른 로드록실(85)의 웨이퍼보우트(83)에 격납된다. 배치처리매수, 예를 들면 격납수가 100매로 된후에 보우트승강기구(84)가 상승하여 웨이퍼보우트(83)를 CVD장치의 프로세스튜우브(81)내로 로우드하고 가열코일(82)을 가열하여 열처리 (CVD)를 행한다.
이와 같이, 반도체웨이퍼(W)의 반입공정이 순차로 반복된다.
다음에 이상과 같이 구성된 본 제1실시예의 효과에 대하여 설명한다.
(1) 링형상의 얹어놓는대(18)의 회전에 의하여 회전변차에 의하여, 처리가스분위기실(14)내로 증기로서 충만하고 있는 처리가스(14a)(HF증기)가 기체류(60)로 되고 반도체웨이퍼(W)표면으로 인입된다. 그리고 반도체웨이퍼(W)의 표면에 형성된 자연산화막은 균일하게 제거처리됨과 함께,기체류(60)는 반도체웨이퍼(W)의 뒷면에도 유통하고, 뒷면기류(61)가 발생하고, 반도체웨이퍼(W)의 뒷면 전체면으로 유통된다. 이 때문에, 반도체웨이퍼(W)의 뒷면에 형성된 자연산화막을 보다 균일하게 제거처리하는 것이 가능하다(예를 들면 SiO2의 열산화막의 에칭률은 100Å/분).
(2) 또한, 피체리처인 반도체웨이퍼(W)의 뒷면에 형성된 자연산화막을 균일하게 제거처리하는 것이 가능하기 때문에, 후단에서 처리를 행하는 처리장치, 예를 들면 철처리장치에 있어서 반도체웨이퍼(Si)의 열팽창률(온도 293[K]일 때, 선열팽창률 약 2.5[10-6 deg-1])과 자연산화막(SiO2)부분의 열팽창률(온도 293[K]일 때, 선팽창률 약 7.4 내지 13.6[10-6 deg-1])이 달라도, 열처리장치의 처리온도, 예를 들면 800 내지 1200도의 고온으로 처리할 때, 피처리체중의 중심부와 둘레가장자리부에서의 열팽창을 균일하게 하는 것이 가능하고, 열스트레스가 발생하는 것을 방지할 수 있다.
(3) 또한, 피처리체의 뒷면에 형성된 자연산화막을 균일하게 제거처리하는 것이 가능하기 때문에, 후단에서 처리를 행하는 처리장치, 예를 들면 플라즈마 처리장치에 있어서 피처리체를 처리실내에 형성된 얹어놓는대, 예를 들면 정전기효과에 의하여 반도체웨이퍼의 뒷면을 얹어놓는대에 착설하는 정전척의 사용에 있어서, 접착의 감도를 향상하는 것이 가능하다.
(4) 또한, 링형상의 얹어놓는대(18)의 회전속도와 원반체(18b)의 회전속도를 자유로 제어할수 있으므로, 회전변차계수를 변화시키는 것이 가능하고, 피처리체 표면과 뒷면의 처리속도를 자유로이 제어할 수 있다.
[제2실시예]
다음에, 본 발명의 제2실시예에 대하여 설명을 행한다. 여기서, 제1실시예와 동일부분에 대하여는 동일부분을 부여하고 그들의 설명을 생략한다.
제7a도에 나타낸 바와 같이, 원반체(18b)의 윗면에 원둘레 방향으로 대향한 정류체(소위 풍절판(風切板)), 예를 들면 볼록형상의 돌기부(18x)를 복수개(예를 들면 4개)가 형성한다. 이 돌기부(18x)가 원반체(18b)의 회전에 따라, 또한 피처리체의 뒷면에 발생하는 기체류를 보다 강력하게 발생시키는 작용을 가지므로, 피처리체의 뒷면에 있어서의 자연산화막의 처리속도를 빨리 하는 것이 가능하다.
또한, 제7b도에 나타낸 바와 같이, 원반체(18b)의 윗면에 정류체, 예를 들면 원둘레 방향에 대향한 오목형상의 홈부(18Y)를 복수개(예를 들면 4개) 형성한다. 이 홈부(18Y)가 원반체(18b)의 회전에 따라, 또한 피처리체의 뒷면에 발생하는 기체류를 보다 강력하게 발생시키는 작용을 가지므로, 제7a도의 실시예와 동일하게 피처리체의 뒷면에 있어서의 처리도어 구동회로도를 빨리, 또한 균일하게 처리할수 있다.
또한, 상기 실시예를 반도체웨이퍼 표면에 형성된 자연산화막을 제거하는자연산화막처리장치에 적용한 경우에 대하여 설명하였으나, 본 발명은 이러한 실시예에 한정되는 것은 아니며, 피처리체 표면에 처리용기체류를 균일하게 형성하는 공정의 장치라면 어느것에도 적용가능하며, 본 발명의 요지의 범위내에서 여러가지의 변형실시가 가능하다.
회전변차발생수단에 대해서도, 상호간에 반대회전에 한하지 않고 회전속도가 상대적으로 다르면 좋고, 예를 들면, 원반체를 고정체로 하고, 링형상의 얹어놓는대의 회전만으로 처리하여도 좋은 것은 당연하며, 상호간에 같은 방향 회전으로 하여 속도차를 가지게 하여도 좋다.
또한, 원반체의 윗면의 정류체, 예를 들면 오목부 또는 볼록부는 원둘레 방향으로 대향하여 형성하지 않고, 스파이어럴형상이거나 직선형상으로 오목부 또는 볼록부를 형성하여도 좋고, 본 발명의 요지의 범위내에서 여러가지의 변형실시가 가능하다.
또한, 자연산화막제거장치에 한하지 않고 세정장치, 기타 에칭장치등, 또는 상압, 감압 또는 양압으로 한 처리실내에서 피처리체를 처리가스에 의하여 처리하는 처리장치라면, 어떠한 처리장치에 적용하는 것이 가능하다.

Claims (11)

  1. 피처리체를 처리가스 분위기에서 처리하는 처리실과, 이 처리실내에 상기 피처리체를 유지하는 유지수단을 형성한 얹어놓는대와, 이 얹어놓는대의 안쪽에 형성된 원반체와, 상기 얹어놓는대와 상기 원반체를 상대적으로 회전시키는 회전수단을 구비한 처리장치.
  2. 제1항에 있어서, 상기 얹어놓는대를 정회전시키는 회전수단과, 상기 얹어놓는대의 회전에 동기하여 상기 원반체를 역회전시키는 회전수단을 구비하는 처리장치.
  3. 제1항에 있어서, 상기 얹어놓는대를 정회전시키는 회전수단과, 상기 얹어놓는대의 회전에 동기하여 상기 원반체를 상기 얹어놓는대의 회전과 다른 회전수로 정회전시키는 회전수단을 구비하는 처리장치.
  4. 제1항에 있어서, 상기 얹어놓는대를 회전시키는 회전수단과, 상기 원반체의 회전을 저지하는 수단을 구비하는 처리장치.
  5. 제1항에 있어서, 상기 원반체의 윗면에 적어도 1개의 정류체를 형성한 처리장치.
  6. 제1항에 있어서, 상기 피처리체가 반도체웨이퍼인 처리장치.
  7. 제1항에 있어서, 상기 처리가스가 불산증기인 처리장치.
  8. 제1항에 있어서, 상기 처리가 피처리체의 표면및 뒷면으로부터의 자연산화막의 제거인 처리장치.
  9. 제1항에 있어서, 상기 처리장치가 CVD장치와 접속되어 있는 처리장치.
  10. 제5항에 있어서, 상기 정류체가 돌기부로 이루어지는 처리장치.
  11. 제5항에 있어서, 상기 정류체가 홈부로 이루어지는 처리장치.
KR1019930017677A 1992-09-03 1993-09-03 처리장치 KR100276426B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP92-260739 1992-09-03
JP26073992A JP3183575B2 (ja) 1992-09-03 1992-09-03 処理装置および処理方法

Publications (2)

Publication Number Publication Date
KR940007974A KR940007974A (ko) 1994-04-28
KR100276426B1 true KR100276426B1 (ko) 2000-12-15

Family

ID=17352081

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019930017677A KR100276426B1 (ko) 1992-09-03 1993-09-03 처리장치

Country Status (3)

Country Link
US (1) US5520743A (ko)
JP (1) JP3183575B2 (ko)
KR (1) KR100276426B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180077038A (ko) * 2016-12-28 2018-07-06 가부시키가이샤 스크린 홀딩스 기판 처리 장치, 기판 처리 방법 및 기판 처리 시스템

Families Citing this family (275)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0805483A1 (en) * 1995-10-17 1997-11-05 Asm Japan K.K. Semiconductor treatment apparatus
US5772773A (en) * 1996-05-20 1998-06-30 Applied Materials, Inc. Co-axial motorized wafer lift
US6066836A (en) * 1996-09-23 2000-05-23 Applied Materials, Inc. High temperature resistive heater for a process chamber
US6673673B1 (en) * 1997-04-22 2004-01-06 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device having hemispherical grains
KR100252213B1 (ko) * 1997-04-22 2000-05-01 윤종용 반도체소자제조장치및그제조방법
JP3801730B2 (ja) * 1997-05-09 2006-07-26 株式会社半導体エネルギー研究所 プラズマcvd装置及びそれを用いた薄膜形成方法
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
JP3723712B2 (ja) * 2000-02-10 2005-12-07 株式会社日立国際電気 基板処理装置及び基板処理方法
US7018504B1 (en) * 2000-09-11 2006-03-28 Asm America, Inc. Loadlock with integrated pre-clean chamber
US6939579B2 (en) * 2001-03-07 2005-09-06 Asm International N.V. ALD reactor and method with controlled wall temperature
JP4250375B2 (ja) * 2001-05-15 2009-04-08 キヤノン株式会社 成膜装置及び電子源の製造装置並びにそれらを用いた成膜方法及び電子源の製造方法
US6899507B2 (en) * 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
US6846380B2 (en) * 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
JP4576230B2 (ja) * 2002-06-25 2010-11-04 東京エレクトロン株式会社 基板処理装置
KR100426816B1 (ko) * 2002-07-31 2004-04-14 삼성전자주식회사 진공압조절장치가 개선된 플라즈마 처리장치
JP4294976B2 (ja) * 2003-02-27 2009-07-15 東京エレクトロン株式会社 基板処理装置
US20050092255A1 (en) * 2003-11-04 2005-05-05 Taiwan Semiconductor Manufacturing Co. Ltd. Edge-contact wafer holder for CMP load/unload station
JP5531284B2 (ja) * 2005-02-22 2014-06-25 エスピーティーエス テクノロジーズ リミテッド 副チャンバアセンブリを備えるエッチング用チャンバ
CN101370963B (zh) * 2006-01-19 2012-03-28 Asm美国公司 高温原子层沉积进气歧管
KR100829923B1 (ko) * 2006-08-30 2008-05-16 세메스 주식회사 스핀헤드 및 이를 이용하는 기판처리방법
JP2008192642A (ja) * 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US8440048B2 (en) 2009-01-28 2013-05-14 Asm America, Inc. Load lock having secondary isolation chamber
JP4523661B1 (ja) * 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9920418B1 (en) * 2010-09-27 2018-03-20 James Stabile Physical vapor deposition apparatus having a tapered chamber
US9091371B2 (en) * 2010-12-27 2015-07-28 Kenneth K L Lee Single axis gate valve for vacuum applications
US9421617B2 (en) 2011-06-22 2016-08-23 Tel Nexx, Inc. Substrate holder
US8613474B2 (en) 2011-07-06 2013-12-24 Tel Nexx, Inc. Substrate loader and unloader having a Bernoulli support
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4468283A (en) * 1982-12-17 1984-08-28 Irfan Ahmed Method for etching and controlled chemical vapor deposition
US4749440A (en) * 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4788994A (en) * 1986-08-13 1988-12-06 Dainippon Screen Mfg. Co. Wafer holding mechanism
JPS63157870A (ja) * 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
US5002011A (en) * 1987-04-14 1991-03-26 Kabushiki Kaisha Toshiba Vapor deposition apparatus
US4785962A (en) * 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
NL8900544A (nl) * 1989-03-06 1990-10-01 Asm Europ Behandelingsstelsel, behandelingsvat en werkwijze voor het behandelen van een substraat.
EP0408216A3 (en) * 1989-07-11 1991-09-18 Hitachi, Ltd. Method for processing wafers and producing semiconductor devices and apparatus for producing the same
JP2583152B2 (ja) * 1990-11-06 1997-02-19 大日本スクリーン製造株式会社 基板回転式表面処理方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180077038A (ko) * 2016-12-28 2018-07-06 가부시키가이샤 스크린 홀딩스 기판 처리 장치, 기판 처리 방법 및 기판 처리 시스템
KR102069952B1 (ko) * 2016-12-28 2020-01-23 가부시키가이샤 스크린 홀딩스 기판 처리 장치, 기판 처리 방법 및 기판 처리 시스템
US11195731B2 (en) 2016-12-28 2021-12-07 SCREEN Holdings Co., Ltd. Substrate processing device, substrate processing method, and substrate processing system

Also Published As

Publication number Publication date
JP3183575B2 (ja) 2001-07-09
US5520743A (en) 1996-05-28
JPH0684864A (ja) 1994-03-25
KR940007974A (ko) 1994-04-28

Similar Documents

Publication Publication Date Title
KR100276426B1 (ko) 처리장치
US6874516B2 (en) Substrate cleaning apparatus
JPH0613361A (ja) 処理装置
JP2005072525A (ja) 基板加熱装置及びマルチチャンバー基板処理装置
KR102170612B1 (ko) 클리닝 방법
US9548223B2 (en) Apparatus for treating surfaces of wafer-shaped articles
US5976312A (en) Semiconductor processing apparatus
JP2002359237A (ja) 基板処理装置および半導体装置の製造方法
WO1998019335A1 (fr) Appareil de traitement thermique de type vertical
JP3118737B2 (ja) 被処理体の処理方法
GB2349742A (en) Method and apparatus for processing a wafer to remove an unnecessary substance therefrom
US6030459A (en) Low-pressure processing device
JP2005268244A (ja) 基板処理装置
JPH05217919A (ja) 自然酸化膜除去装置
JPH05217918A (ja) 成膜処理装置
JPH07183222A (ja) 熱処理装置及び熱処理方法
JP3605692B2 (ja) 搬送処理方法及び搬送処理装置
JP2001358084A (ja) 熱処理装置
JP3073161B2 (ja) 真空処理装置
JP2004011005A (ja) 処理装置および処理方法
JP3067245B2 (ja) 基板処理装置
JP2002025911A (ja) 熱処理装置及びそのシール方法
JP4433570B2 (ja) 基板処理装置及び基板処理方法
JPH0714905A (ja) 半導体製造装置における基板搬送機構付真空処理槽
JPS6220347A (ja) 処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20100928

Year of fee payment: 11

LAPS Lapse due to unpaid annual fee