JP3183575B2 - 処理装置および処理方法 - Google Patents

処理装置および処理方法

Info

Publication number
JP3183575B2
JP3183575B2 JP26073992A JP26073992A JP3183575B2 JP 3183575 B2 JP3183575 B2 JP 3183575B2 JP 26073992 A JP26073992 A JP 26073992A JP 26073992 A JP26073992 A JP 26073992A JP 3183575 B2 JP3183575 B2 JP 3183575B2
Authority
JP
Japan
Prior art keywords
processing
mounting table
chamber
processed
disk
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP26073992A
Other languages
English (en)
Other versions
JPH0684864A (ja
Inventor
伸明 高橋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP26073992A priority Critical patent/JP3183575B2/ja
Priority to US08/114,064 priority patent/US5520743A/en
Priority to KR1019930017677A priority patent/KR100276426B1/ko
Publication of JPH0684864A publication Critical patent/JPH0684864A/ja
Application granted granted Critical
Publication of JP3183575B2 publication Critical patent/JP3183575B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68728Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Description

【発明の詳細な説明】
【0001】本発明は処理装置および処理方法に関す
る。
【0002】
【従来の技術】従来の処理装置において、被処理体、例
えば半導体ウエハを処理室内に収容し、この処理室内で
回転中の半導体ウエハに処理ガスを均一に作用させるこ
とにより、処理を施す処理装置が用いられている。処理
装置、例えば、半導体ウエハ表面に形成された自然酸化
膜を除去する自然酸化膜除去装置では、常圧又は陽圧の
処理室内上部に所定の液体、例えばフッ酸と水の混合液
を溜めておき、ここから発生したフッ酸蒸気を処理室内
に拡散させる。そして、この処理室内の下部に被処理面
(表面)を上向きに半導体ウエハを回転可能な円状の載
置台に保持し、この載置台を回転することにより半導体
ウエハを回転させると共に、フッ酸蒸気を半導体ウエハ
の回転により発生する回転気流により半導体ウエハ表面
に作用させ、半導体ウエハ表面に形成された自然酸化膜
を除去する装置が知られている。
【0003】
【発明が解決しようとする課題】しかしながら、前記回
転可能な載置台は円状の一枚円板であり、被処理体と一
体で回転するため、前記被処理体の裏面の周縁部におい
て、前記回転気流の渦部が発生し、被処理体の裏面の中
心部に比べ自然酸化膜の除去レートが格段に進むことと
なり、被処理体の裏面に形成された自然酸化膜を均一に
除去処理することが出来なくなるという問題点があっ
た。さらに、前記被処理体の裏面に形成された自然酸化
膜を均一に除去処理することが出来ないと、後段で処理
を行なう処理装置、例えば熱処理装置において被処理体
自体の熱膨張率と自然酸化膜部分の熱膨張率が異なるた
め、被処理体の中心部と周縁部で熱膨張が不均一となり
熱ストレスが発生し、歩留りを低下させるという問題点
があった。また、前記被処理体の表面と裏面に形成され
た自然酸化膜の除去レートをそれぞれ自在に制御できな
いという改善点を有する。
【0004】本発明の目的は被処理体の表面と裏面を
より均一かつ同時に処理できる処理装置および処理方法
を提供するものである。
【0005】
【課題を解決するための手段】本発明のうち、請求項1
の発明は、被処理体を処理ガス雰囲気で処理する処理室
と、この処理室内に前記被処理体を保持する保持機構を
設けた載置台を備えた処理装置において、前記載置台
は少なくとも上面がリング状に形成され、この載置台を
回転可能に構成ことを特徴とする。請求項2の発明
は、被処理体を処理ガス雰囲気で処理する処理室と、こ
の処理管内に前記被処理体を保持する保持機構を設けた
載置台を備えた処理装置において、前記載置台は少な
くとも上面がリング状に形成され、この載置台を回転
能とするとともに載置台のリング状部分の内側に円盤
体を設け、この円盤体前記載置台に対して相対的に回
転可能に構成ことを特徴とする。 請求項8の発明
は、被処理体を処理ガス雰囲気で処理する処理室と、こ
の処理室に前記被処理体を保持する保持機構を設けた載
置台とを備え、前記載置台は少なくとも上面がリング状
に形成され、この載置台を回転可能とするとともに、載
置台のリング状部分の内側に円盤体を設け、この円盤体
を前記載置台に対して相対的に回転可能に構成した処理
装置を用いて行う処理方法であって、前記載置台と前記
円盤体との回転差により、前記処理室内の処理ガスに気
流を発生させ、該処理ガスを前記被処理体表面に引き込
むことにより、所定の処理を施すことを特徴とする。
【0006】
【作用】本発明は以上のように構成されたので、被処理
体を保持するリング状の載置台の回転と、この載置台内
側に設けられた固定または円盤体と相対的な回転速度の
差に起因して、処理ガスの気流を前記被処理体の表面お
よび裏面に、より均一に通流することができるので前記
被処理体の表面と裏面をより均一かつ同時に処理するこ
とができる。
【0007】
【実施例】以下、本発明を自然酸化膜除去装置に適用し
た第1の実施例について添付図面に基づいて詳述する。
図1,図2及び図3に示す如く、この自然酸化膜除去装
置1は、耐腐食性材料、例えばフッ素樹脂により円筒状
に容器2が形成されている。この容器2内側上部には、
環状に液体、例えばフッ酸と水の混合液(HF/H2
O)3を溜めておく環状の液体収容部4が設けられお
り、この液体収容部4の内円周壁の上端には、内周壁5
の外周幅と同等の直径よりなる円板状の開閉蓋6と密接
封止するための、耐腐食性材料よりなるリング状の第一
の封止体7が設けられている。
【0008】また、上記開閉蓋6の外周端には、円筒状
で内側が空洞の伸縮自在の耐腐食性材料で形成された第
一のベローズ8の一端が気密に接続されており、このベ
ローズ8の他端は天井蓋9と気密に接続されている。こ
の天井蓋9は耐腐食性材料で円状平板に形成され、内側
には前記ベローズ8の内径より小さい円状の開口部9a
が設けられ、この開口部9a内側には上記開閉蓋6を昇
降するための開閉機構92、例えばエアシリンダーにて
上下開閉可能に構成されている。
【0009】また、前記天井蓋9の外周端は前記容器2
の上端部に円周状に設けられた耐腐食性材料よりなるリ
ング状の第二の封止体13を介して容器2に気密に接続
され、前記液体収容部4から気化された処理ガス14a
(HF蒸気)が充満する処理ガス雰囲気室14が構成さ
れている。
【0010】さらに、前記処理ガス雰囲気室14の下部
かつ容器2の中央部には処理室29が設けられ、この処
理室29には、被処理体、例えば半導体ウエハ15を載
置するための載置台18が設けられ、この載置台18の
上部には、前記半導体ウエハ15を保持する保持機構と
して、図示しない開閉機構により開閉されてウエハの周
縁部を着脱自在に保持するピン16が設けられている。
載置台18は、少なくとも上面がリング状に形成されて
いるとともに、この載置台18には、図2に示すように
回転機構90、例えば中空モーター50が接続されてい
る。中空モーター50は、軸心部が中空に形成されてい
るとともに、中空の回転シャフト50aを有している。
この回転シャフト50aは、中間蓋19を貫通し、中間
蓋19にベアリング51を介して回転自在に支持されて
いるとともに、載置台18の下面中央部に接続されてい
る。これにより載置台18は回転可能に構成されてい
る。前記ピン16は、載置台18のリング状部分の上面
に複数、例えば3箇所設けられている。さらに、前記載
置台18には図2に示す如く不活性ガス、例えばN2を
通流させる孔部18aが例えば、円環状に対向して2箇
所穿設されている。
【0011】また、前記載置台18のリング状部分の
側には円盤体18bが設けられ、この円盤体18bに
は、図2に示すように回転機構90、例えばステッピン
グモーター52が接続されている。円盤体18bは下面
に中心軸を有し、この中心軸が中空モーター50の回転
シャフト50aおよび中空モーター50を貫通してステ
ッピングモーター52の回転軸に接続部53で接続され
ている。これにより円盤体18bは回転可能に構成され
ている。また、前記中間蓋19の下部には前記中空モー
ター50と前記ステッピングモーター52を固定収納す
る第一の空間部54が設けられ、この空間部54に前記
N2ガスを導入するための導入孔55が穿設されてい
る。また、前記円盤体18bと前記載置台18間の隙間
部Xと前記載置台18と前記中間蓋19間の隙間部Yは
それぞれラビリンス構造とされるとともに、前記孔部1
8aより不活性ガス、例えばN2が通流することにより
隙間部X,Yがそれぞれ陽圧になることにより、前記処
理ガス14a(HF蒸気)が隙間部X,Yにそれぞれ流
入するのを抑制するように構成されている。また、前記
処理ガス14a(HF蒸気)が微量にも隙間部X,Yに
流入したとしても、前記不活性ガス、例えばN2と処理
ガス14aが混合され混合気体として排出されるための
中間バッファとしての第2の空間室56がリング状に設
けられており、この空間室56の上部には前記混合気体
を排気するための第1の排気口57aが開設され、前記
空間室56の下部には、前記混合気体を排気するための
第2の排気口57bが開設され、図示しない排気装置、
例えば気体の流れを利用して排気するアスピレーターが
接続され、前記混合気体を排気するとともに気流58が
流れるよう構成されている。
【0012】また、図1に示すように、前記中間蓋19
の周縁部には、上記容器2の内側に設けられた円周状の
凸部20の下面に押圧されることにより気密封止するた
めの耐腐食性材料よりなるリング状の第三の封止体21
を具備している。さらに、前記中間蓋19の外周端に
は、円筒状で内側が空洞の伸縮自在の耐腐食性材料で形
成された第二のベローズ22の一端が気密に接続されて
おり、このベローズ22の他端は底蓋23と気密に接続
されている。また、この底蓋23は耐腐食性材料で円状
平板に形成され、内側には上記ベローズ22の内径より
小さい円状の開口部23aが設けられ、この開口部23
a内側及び上記べローズ22内部には前記中間蓋19を
上下するための昇降機構91、例えばエアシリンダーが
設けられている。
【0013】さらに、図1に示すように、前記底蓋23
の上面の外周縁部は上記容器2の下部底面に設けられた
耐腐食性材料よりなるリング状の第四の封止体28に押
圧され前記容器2の下部面を気密に封止する如く接続さ
れている。また、前記処理室29の内周壁の一端には不
活性ガス、例えばN2 を供給するための供給管30が
第一の開閉弁31を介して接続されており、また他端に
は前記N2 ガスを排気するための第一の排気管32が
第二の開閉弁33を介して接続され、さらに、前記排気
管32には図示しない処理ガス排気除去手段が接続され
ている。
【0014】また、前記処理室29の下部には予備室4
0が設けられ、この予備室40は前記中間蓋19と前記
底蓋23と前記容器2の間に構成されている。また、前
記予備室40の側壁面の一端には、前記中間蓋19を上
下動させることにより前記半導体ウエハ15を搬入また
は搬出するための搬入搬出通路41が開設されており、
この搬入搬出通路41の中間位置には、不活性ガス、例
えばN2 を導入するための導入管42が接続されてい
る。また、前記予備室40の他端の側壁面には、前記N
2 ガスを放出するための第二の排気管45が接続さ
れ、さらに、前記搬入搬出通路41を開閉するための開
閉扉、例えばゲートバルブ43が耐腐食性材料よりなる
第五の封止体44を介して前記容器2を気密封止できる
ように構成されている。
【0015】また、図3に示すように、前記ゲートバル
ブ43を介して、前記予備室40と気密に接続されたロ
ードロック室70が設けられており、このロードロック
室70内のウエハ搬送機構71により前記予備室40内
に半導体ウエハ15を搬入搬出することができるように
構成されている。また、前記ロードロック室70は真空
雰囲気にした後、酸素を含まないN2雰囲気に設定する
ことができるよう真空排気装置95とN2 供給装置9
6がそれぞれ接続されている。さらに、前記ロードロッ
ク室70は熱処理装置、例えばバッチ式CVD装置80
にN2 雰囲気の状態で前記半導体ウエハ15を搬入搬
出することができるように構成されるとともに、前記ロ
ードロック室70は大気中より前記半導体ウエハ15を
搬入搬出するための図示しないウエハ搬入搬出室ともN
2 雰囲気の状態で前記半導体ウエハ15を搬入搬出す
ることができるように構成されている。以上の如く、自
然酸化膜除去装置1が構成されている。
【0016】次に、以上のように構成された装置におけ
る半導体ウエハ15の処理動作について説明する。ま
ず、中間蓋19が昇降機構91により下降し、ゲートバ
ルブ43が開放し、図3のウエハ搬送機構71により半
導体ウエハ15を搬入する。さらに、半導体ウエハ15
はピン16が開閉機構により閉じ、前記半導体ウエハ1
5の周縁部を把持し、載置台18の表面から浮上してピ
ン16に保持し、この後、ウエハ搬送機構71は容器2
の外部即ち、ロードロック室70に移動し、ゲートバル
ブ43は容器2を気密に封止するために閉じられる。ま
た、この状態においては、処理ガス雰囲気室14は開閉
蓋6が気密封止されて気密室を構成しており、開閉弁3
1,33は開放状態に設定し、不活性ガス、例えばN2
ガスを処理室8内にパージする。
【0017】次に、中間蓋19を前記昇降機構91によ
り上昇させ、この中間蓋19の周縁部に設けられた封止
体21が容器2の内側に設けられた環状の凸部20の下
面に押圧され、処理室29と予備室40とを図1に示す
ように気密に分離する。
【0018】次に、処理室29内に供給されるN2 の
供給と排出を停止するために開閉弁31,33を閉じ、
開閉蓋6を開閉機構92により上昇させ、処理ガス雰囲
気室14と処理室29間を連通させ、半導体ウエハ15
をピン16により保持されているリング状の載置台18
を図2に示す中空モーター50により回転、例えば毎分
500〜2000回転で回転させる。また、前記リング
状の載置台18の回転に同期して、この載置台18の内
側に配置された円盤体18bを図2に示すステッピング
モーター52により前記載置台18の回転方向と逆方向
に回転、例えば毎分0〜1000回転させる。
【0019】さらに、前記回転作用(リング状の載置台
18と円盤体18bの回転差)により、図4に示すよう
に、処理ガス雰囲気室14内に蒸気として充満している
処理ガス14a(HF蒸気)は気体流60となり半導体
ウエハ15表面に引き込まれ、そしてこの処理ガス14
a流により半導体ウエハ15表面に存在する不要な自然
酸化膜を均一に除去処理する。また、当然前記気体流6
0は前記半導体ウエハ15の裏面にも流入し、図4内の
裏面にも気流61が発生し前記半導体ウエハ15の裏面
に形成された自然酸化膜も均一に除去処理する。尚、前
記リング状の載置台18と前記円盤体18bが一体化さ
れた場合、前記回転変差がないため、図4内に示すよう
に半導体ウエハ15の裏面の気流は裏面気流62とな
り、半導体ウエハの裏面周縁部にて渦流と化し、半導体
ウエハ15の裏面中央部において、半導体ウエハ15の
裏面に形成された自然酸化膜が完全に除去処理されずに
残留することとなる。
【0020】次に、前記半導体ウエハ15上の自然酸化
膜を除去処理の後、載置台18の回転と円盤体18bの
回転を停止させるとともに、開閉蓋6を前記開閉機構9
2により下降させ閉じ、処理ガス雰囲気室14と中間室
29間を離間された別室の状態にする。
【0021】次に、処理室29内にN2 を開閉弁31
を開することにより供給し、残留処理ガス濃度を希薄さ
せ、さらに開閉弁33を開することにより、処理室29
外に残留処理ガスを排気管32により完全放出させる。
【0022】次に、中間蓋19が前記昇降機構91によ
り下降され、ゲートバルブ43を開放し、さらに、半導
体ウエハ15は半導体ウエハ15を保持していたピン1
6が解除されることにより図3に示すウエハ搬送機構7
1により、容器2の外部であるロードロック室70に半
導体ウエハ15を搬出し、ゲートバルブ43を閉じる。
つづいて、ウエハ搬送機構71により、ロードロック室
70に搬送された半導体ウエハ15は第二のゲートバル
ブ72を介してCVD装置80に搬送され、バッチ処理
枚数、例えば100枚になった後にボート昇降機構84
が上昇し熱処理(CVD)を行う。また、半導体ウエハ
15の搬入工程が順次くり返される、くり返されない場
合は、前記ゲートバルブ43が前記容器2を封止するた
めに閉され、待機することになる。
【0023】次に、以上のように構成された本実施例の
効果について説明する。 (1)リング状の載置台18の回転と円盤体18bの回
転による回転変差により、処理ガス雰囲気室14内に蒸
気として充満している処理ガス14a(HF蒸気)が気
体流60となり半導体ウエハ15表面に引き込まれ、そ
して半導体ウエハ15表面に形成された自然酸化膜は均
一に除去処理するとともに、前記気体流60は前記半導
体ウエハ15の裏面にも通流し、裏面気流61が発生し
前記半導体ウエハ15の裏面全面に通流されるため、半
導体ウエハ15の裏面に形成された自然酸化膜をより均
一に除去処理することができる。 (2)さらに、前記被処理体の裏面に形成された自然酸
化膜を均一に除去処理することができるので、後段で処
理を行なう処理装置、例えば熱処理装置において被処理
体、例えば半導体ウエハ(Si)の熱膨張率(温度29
3[K]の時、線熱膨張率約2.5[10−6deg−
1])と自然酸化膜(SiO2 )部分の熱膨張率(温
度293[K]の時、線熱膨張率約7.4〜13.6
[10−6deg−1])と異なるため、前記熱処理装
置の処理温度、例えば800〜1200度の高温にて処
理する時、被処理体の中心部と周縁部での熱膨張を均一
とすることができ、熱ストレスが発生するのを防止する
ことができる。 (3)さらに、前記被処理体の裏面に形成された自然酸
化膜を均一に除去処理することができるので、後段で処
理を行なう処理装置、例えばプラズマ処理装置において
被処理体、例えば半導体ウエハを処理室内に設けられた
載置台、例えば静電気効果により前記半導体ウエハの裏
面を前記載置台に着設する静電チャックにおいて、接着
の感度を向上することができる。 (4)また、リング状の載置台18の回転速度と円盤体
18bの回転速度を自由に制御でき、回転変差係数を変
化させることができるので被処理体表面と裏面の処理速
度を自由に制御できる。
【0024】次に、第2の実施例について説明を行なう
が、第1の実施例と同一部分には同一符合を付けて説明
を省略する。図5の[a]に示すように、円盤体18b
の上面に円周上に対向した整流体、例えば凸状の突起部
18Xが複数、例えば4個設けた例であり、この突起部
18Xが前記円盤体18bの回転にともない、さらに被
処理体の裏面に発生する気体流をより強力に発生させ作
用を有するので、被処理体の裏面における処理速度を早
めることができる。また、図5の[b]に示すように、
円盤体18bの上面に整流体、例えば円周上に対向した
凹状の溝部18Yが複数、例えば4個設けた例であり、
この溝部18Yが前記円盤体18bの回転にともない、
さらに被処理体の裏面に発生する気体流をより強力に発
生させ作用を有するので、前記図5の[a]と同様に被
処理体の裏面における処理速度を早め、かつ均一に処理
ができる。
【0025】尚、前記実施例を半導体ウエハ表面に形成
された自然酸化膜を除去する自然酸化膜除去装置に適用
した場合について説明したが、本発明はかかる実施例に
限定されるものではなく、被処理体表面に処理用気体流
を均一に形成する工程の装置であればいずれにも適用で
き、本発明の要旨の範囲内で種々の変形実施が可能であ
る。回転変差発生手段についても、互いに反対回転に限
らず回転速度が相対的に異なればよく、例えば、円盤体
を固定体とし、リング状の載置台の回転のみで処理して
もよいことは当然であり、互いに同方向回転とし速度差
をもたせてもよく、また、円盤体の上面の整流体、例え
ば凹部または凸部は円周上に対向して設けず、スパイラ
ル状とか直線状に凹部または凸部を設けてもよく、本発
明の要旨の範囲内で種々の変形実施が可能である。また
自然酸化膜除去装置に限らず洗浄装置、他のエッチング
装置等、また常圧,減圧または陽圧とした処理室内で被
処理体を処理ガスにより処理する処理装置であれば、あ
らゆる処理装置に適用することができる。
【0026】
【発明の効果】被処理体を保持するリング状の載置台の
回転と、この載置台内側に設けられた固定または円盤体
の回転変差の相乗効果により、処理ガスの気流を前記被
処理体の表面および裏面に、より均一に通流することが
できるので前記被処理体の表面と裏面をより均一かつ同
時に処理することができるという顕著な効果がある。
【0027】
【図面の簡単な説明】
【図1】本発明に係る第1の実施例を説明するための自
然酸化膜除去装置を示す概略断面図である。
【図2】図1の載置台部分の回転機構を具体的に説明す
る部分概略断面図である。
【図3】図1をバッチ式CVD処理装置と接続したシス
テムに用いた実施例を説明する概略断面図である。
【図4】図1の処理動作を説明する概略断面図である。
【図5】他の実施例を説明する斜視図である。
【符合の説明】
1 自然酸化膜除去装置 2 容器 6 開閉蓋 14 処理ガス雰囲気室 15 被処理体(半導体ウエハ) 18 載置台 18b 円盤体 18X 整流体(突起部) 18Y 整流体(溝部) 19 中間蓋 29 処理室 60,61,62 気体流
───────────────────────────────────────────────────── フロントページの続き (58)調査した分野(Int.Cl.7,DB名) H01L 21/304 645 H01L 21/304 643 H01L 21/3065

Claims (9)

    (57)【特許請求の範囲】
  1. 【請求項1】 被処理体を処理ガス雰囲気で処理する処
    理室と、この処理室内に前記被処理体を保持する保持機
    構を設けた載置台を備えた処理装置において、前記載
    置台は少なくとも上面がリング状に形成され、この載置
    台を回転可能としたことを特徴とする処理装置。
  2. 【請求項2】 被処理体を処理ガス雰囲気で処理する処
    理室と、この処理室に前記被処理体を保持する保持機構
    を設けた載置台を備えた処理装置において、前記載置
    は少なくとも上面がリング状に形成され、この載置台
    回転可能とするとともに載置台のリング状部分の
    側に円盤体を設け、この円盤体前記載置台に対して相
    対的に回転可能としたことを特徴とする処理装置。
  3. 【請求項3】 前記円盤体に回転機構が設けられたこと
    を特徴とする請求項2に記載の処理装置。
  4. 【請求項4】 前記円盤体は処理室に対して相対的に固
    定して設けられたことを特徴とする請求項2に記載の処
    理装置。
  5. 【請求項5】 前記円盤体の上面には、凸状の突起部か
    らなる整流体少なくともつ以上設けられたことを特
    徴とする請求項2に記載の処理装置。
  6. 【請求項6】 前記円盤体の上面には、凹状の溝部から
    なる整流体が少なくとも一つ以上設けられたことを特徴
    とする請求項2に記載の処理装置。
  7. 【請求項7】 前記処理装置は、半導体ウエハ表面に形
    成された自然酸化膜を除去する装置であることをとを特
    徴とする請求項1〜6の何れかに記載の処理装置。
  8. 【請求項8】 被処理体を処理ガス雰囲気で処理する処
    理室と、この処理室に前記被処理体を保持する保持機構
    を設けた載置台とを備え、前記載置台は少なくとも上面
    がリング状に形成され、この載置台を回転可能とすると
    ともに、載置台のリング状部分の内側に円盤体を設け、
    この円盤体を前記載置台に対して相対的に回転可能に構
    成した処理装置を用いて行う処理方法であって、前記載
    置台と前記円盤体との回転差により、前記処理室内の処
    理ガスに気流を発生させ、該処理ガスを前記被処理体表
    面に引き込むことにより、所定の処理を施すことを特徴
    とする処理方法。
  9. 【請求項9】 前記処理方法は、被処理体表面に形成さ
    れた自然酸化膜を除去する方法であることを特徴とする
    請求項8に記載の処理方法。
JP26073992A 1992-09-03 1992-09-03 処理装置および処理方法 Expired - Fee Related JP3183575B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP26073992A JP3183575B2 (ja) 1992-09-03 1992-09-03 処理装置および処理方法
US08/114,064 US5520743A (en) 1992-09-03 1993-08-31 Processing apparatus with means for rotating an object mounting means and a disk body located in the mounting means differently relative to each other
KR1019930017677A KR100276426B1 (ko) 1992-09-03 1993-09-03 처리장치

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP26073992A JP3183575B2 (ja) 1992-09-03 1992-09-03 処理装置および処理方法

Publications (2)

Publication Number Publication Date
JPH0684864A JPH0684864A (ja) 1994-03-25
JP3183575B2 true JP3183575B2 (ja) 2001-07-09

Family

ID=17352081

Family Applications (1)

Application Number Title Priority Date Filing Date
JP26073992A Expired - Fee Related JP3183575B2 (ja) 1992-09-03 1992-09-03 処理装置および処理方法

Country Status (3)

Country Link
US (1) US5520743A (ja)
JP (1) JP3183575B2 (ja)
KR (1) KR100276426B1 (ja)

Families Citing this family (279)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1997015073A1 (fr) * 1995-10-17 1997-04-24 Asm Japan K.K. Appareil de traitement de semi-conducteurs
US5772773A (en) * 1996-05-20 1998-06-30 Applied Materials, Inc. Co-axial motorized wafer lift
US6066836A (en) * 1996-09-23 2000-05-23 Applied Materials, Inc. High temperature resistive heater for a process chamber
US6673673B1 (en) * 1997-04-22 2004-01-06 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device having hemispherical grains
KR100252213B1 (ko) * 1997-04-22 2000-05-01 윤종용 반도체소자제조장치및그제조방법
JP3801730B2 (ja) * 1997-05-09 2006-07-26 株式会社半導体エネルギー研究所 プラズマcvd装置及びそれを用いた薄膜形成方法
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
JP3723712B2 (ja) * 2000-02-10 2005-12-07 株式会社日立国際電気 基板処理装置及び基板処理方法
US7018504B1 (en) * 2000-09-11 2006-03-28 Asm America, Inc. Loadlock with integrated pre-clean chamber
US6939579B2 (en) * 2001-03-07 2005-09-06 Asm International N.V. ALD reactor and method with controlled wall temperature
JP4250375B2 (ja) * 2001-05-15 2009-04-08 キヤノン株式会社 成膜装置及び電子源の製造装置並びにそれらを用いた成膜方法及び電子源の製造方法
US6899507B2 (en) * 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
US6846380B2 (en) * 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
KR100895035B1 (ko) * 2002-06-25 2009-05-04 도쿄엘렉트론가부시키가이샤 기판 처리 장치
KR100426816B1 (ko) * 2002-07-31 2004-04-14 삼성전자주식회사 진공압조절장치가 개선된 플라즈마 처리장치
JP4294976B2 (ja) * 2003-02-27 2009-07-15 東京エレクトロン株式会社 基板処理装置
US20050092255A1 (en) * 2003-11-04 2005-05-05 Taiwan Semiconductor Manufacturing Co. Ltd. Edge-contact wafer holder for CMP load/unload station
CN101128622B (zh) * 2005-02-22 2010-08-25 埃克提斯公司 具有副腔的蚀刻腔
JP5280861B2 (ja) * 2006-01-19 2013-09-04 エーエスエム アメリカ インコーポレイテッド 高温aldインレットマニホールド
KR100829923B1 (ko) * 2006-08-30 2008-05-16 세메스 주식회사 스핀헤드 및 이를 이용하는 기판처리방법
JP2008192642A (ja) * 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US8440048B2 (en) * 2009-01-28 2013-05-14 Asm America, Inc. Load lock having secondary isolation chamber
JP4523661B1 (ja) * 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9920418B1 (en) * 2010-09-27 2018-03-20 James Stabile Physical vapor deposition apparatus having a tapered chamber
US9091371B2 (en) * 2010-12-27 2015-07-28 Kenneth K L Lee Single axis gate valve for vacuum applications
US9421617B2 (en) 2011-06-22 2016-08-23 Tel Nexx, Inc. Substrate holder
US8613474B2 (en) 2011-07-06 2013-12-24 Tel Nexx, Inc. Substrate loader and unloader having a Bernoulli support
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP6899217B2 (ja) 2016-12-28 2021-07-07 株式会社Screenホールディングス 基板処理装置、基板処理方法および基板処理システム
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4468283A (en) * 1982-12-17 1984-08-28 Irfan Ahmed Method for etching and controlled chemical vapor deposition
US4749440A (en) * 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4788994A (en) * 1986-08-13 1988-12-06 Dainippon Screen Mfg. Co. Wafer holding mechanism
JPS63157870A (ja) * 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
US5002011A (en) * 1987-04-14 1991-03-26 Kabushiki Kaisha Toshiba Vapor deposition apparatus
US4785962A (en) * 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
NL8900544A (nl) * 1989-03-06 1990-10-01 Asm Europ Behandelingsstelsel, behandelingsvat en werkwijze voor het behandelen van een substraat.
EP0408216A3 (en) * 1989-07-11 1991-09-18 Hitachi, Ltd. Method for processing wafers and producing semiconductor devices and apparatus for producing the same
JP2583152B2 (ja) * 1990-11-06 1997-02-19 大日本スクリーン製造株式会社 基板回転式表面処理方法

Also Published As

Publication number Publication date
JPH0684864A (ja) 1994-03-25
US5520743A (en) 1996-05-28
KR100276426B1 (ko) 2000-12-15
KR940007974A (ko) 1994-04-28

Similar Documents

Publication Publication Date Title
JP3183575B2 (ja) 処理装置および処理方法
JP3073627B2 (ja) 熱処理装置
JPH0613361A (ja) 処理装置
JP6478847B2 (ja) 基板処理装置
US11214864B2 (en) Method for reducing metal contamination and film deposition apparatus
JP2018093121A (ja) クリーニング方法
US5976312A (en) Semiconductor processing apparatus
TW202101650A (zh) 半導體裝置的製造方法、基板處理裝置及記錄媒體
JP3118737B2 (ja) 被処理体の処理方法
JP3122883B2 (ja) 気相成長装置
JP3121022B2 (ja) 減圧処理装置
JPH07183222A (ja) 熱処理装置及び熱処理方法
JP4483040B2 (ja) 熱処理装置
JP3798915B2 (ja) 縦型熱処理装置
JP3067245B2 (ja) 基板処理装置
JP2000353665A (ja) 基板処理装置
JPH06124909A (ja) 縦型熱処理装置
JPH1092754A (ja) 枚葉式の熱処理装置及び熱処理方法
JP2005353619A (ja) 基板処理装置および処理方法
JP4394843B2 (ja) 薄膜形成方法
JP2583675Y2 (ja) 薄膜気相成長装置
JPH04358531A (ja) 真空容器の排気方法
JP3073161B2 (ja) 真空処理装置
JPH04111417A (ja) 縦型熱処理装置
JP3698648B2 (ja) 基板の処理方法

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees