JP5040913B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP5040913B2
JP5040913B2 JP2008509643A JP2008509643A JP5040913B2 JP 5040913 B2 JP5040913 B2 JP 5040913B2 JP 2008509643 A JP2008509643 A JP 2008509643A JP 2008509643 A JP2008509643 A JP 2008509643A JP 5040913 B2 JP5040913 B2 JP 5040913B2
Authority
JP
Japan
Prior art keywords
layer
gate electrode
mask layer
mask
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008509643A
Other languages
English (en)
Other versions
JPWO2007116492A1 (ja
Inventor
博 森岡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Semiconductor Ltd
Original Assignee
Fujitsu Semiconductor Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Semiconductor Ltd filed Critical Fujitsu Semiconductor Ltd
Publication of JPWO2007116492A1 publication Critical patent/JPWO2007116492A1/ja
Application granted granted Critical
Publication of JP5040913B2 publication Critical patent/JP5040913B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

本発明は半導体装置の製造方法に関し、特にリソグラフィ技術を用いた半導体装置の製造方法に関する。
現在の半導体装置の製造においては、リソグラフィにより形成されたレジストパターンをマスクとして、poly−Si(ポリシリコン)層、SiO2(酸化シリコン)層、SiN(窒化シリコン)層等の各種の被エッチング層をRIE(Reactive Ion Etching)によって加工する技術が一般的に用いられている。
ところで、パターンの微細化に伴い、リソグラフィに用いる光源もKrF(フッ化クリプトン)エキシマレーザ(波長248nm)からArF(フッ化アルゴン)エキシマレーザ(波長193nm)へと、より短い波長のものが用いられている。この露光光源の短波長化に応じて、レジスト材料自体も、露光波長の光に対して充分な透過率が得られるように適宜変更されている。
また、リソグラフィ技術においては、露光波長による制限から実現可能な最小寸法が存在する。しかし、MOSトランジスタのゲート電極やDRAMのビット線等では、メモリの高密度化のため、この最小寸法以下のパターンが要求される。例えば、ノード90nm世代においても、幅100nm以下の微細ラインパターンが要求される。
近年、このような微細ラインパターンを実現するためにレジストトリミングと呼ばれる手法を使用することが一般的になっている。この手法においては、レジストパターンは、SO2(二酸化イオウ)等のプラズマを用いた等方的エッチングによって細められ、限界寸法以下に縮小されている(例えば、特許文献1参照)。
特開2004−152784号公報
しかしながら、ArFエキシマレーザで使用されるレジストはプラズマ耐性が弱く、トリミングによる微細レジストパターン形成が可能であったとしても、パターン寸法が100nm以下になると、レジストパターンの機械強度そのものが小さいため、RIEを行うと、微細レジストパターンの倒れ、エッジラフネスの増大、パターン変形等の問題が生じる。更に、RIE中の熱ストレスや帯電による静電気力によっても、同様にレジストパターンの倒れや変形が発生する
本発明の一観点によれば、導電層上に第1のマスク層を形成する工程と、前記第1のマスク層上に絶縁層を形成する工程と、前記絶縁層上に第2のマスク層を形成する工程と、前記第2のマスク層をパターニングする工程と、パターニング後の前記第2のマスク層を用いて前記絶縁層及び前記第1のマスク層をパターニングする工程と、露出する前記第1のマスク層の側壁を変質させる工程と、変質された前記側壁と共に前記絶縁層を除去する工程と、前記側壁及び前記絶縁層を除去した後に、前記第1のマスク層を用いて前記導電層をパターニングする工程と、を有する半導体装置の製造方法が提供される。
開示の技術により、所望のパターンを形成することのできる半導体装置の製造方法の実現が可能になる。
本発明の上記および他の目的、特徴および利点は本発明の例として好ましい実施の形態を表す添付の図面と関連した以下の説明により明らかになるであろう。
ゲート電極形成の基本原理説明図の一例である。 第1の実施の形態のCMOSFETの要部断面図の一例である。 第1の実施の形態のCMOSFET製造の原理説明図の一例である。 nMOS領域及びpMOS領域形成工程の要部断面図の一例である。 poly−Si層形成工程の要部断面図の一例である。 不純物注入工程の要部断面図の一例である。 ハードマスク形成工程の要部断面図の一例である。 ゲート電極形成工程の要部断面図の一例である。 側壁絶縁膜及びソース・ドレイン領域形成工程の要部断面図の一例である。 シリサイド膜形成工程の要部断面図の一例である。 第1の方法によるゲート電極形成工程の原理説明図の一例である。 レジスト層形成工程の要部断面図の一例である。 エッチング工程の要部断面図の一例である。 反射防止層及びレジスト層除去工程の要部断面図の一例である。 SiN層表面酸化膜形成工程の要部断面図の一例である。 ハードマスク形成工程の要部断面図の一例である。 ゲート電極形成工程の要部断面図の一例である。 第2の方法によるゲート電極形成工程の原理説明図の一例である。 レジスト層形成工程の要部断面図の一例である。 エッチング工程の要部断面図の一例である。 SiC層側面酸化膜形成工程の要部断面図の一例である。 ハードマスク形成工程の要部断面図の一例である。 ゲート電極形成工程の要部断面図の一例である。 第3の方法によるゲート電極形成工程の原理説明図の一例である。 レジスト層形成工程の要部断面図の一例である。 エッチング工程の要部断面図の一例である。 レジスト層及び反射防止層除去工程の要部断面図の一例である。 SiC層側面酸化膜形成工程の要部断面図の一例である。 ハードマスク形成工程の要部断面図の一例である。 ゲート電極形成工程の要部断面図の一例である。 第2の実施の形態のCMOSFETの要部断面図の一例である。 第2の実施の形態のCMOSFET製造の原理説明図の一例である。 不純物注入工程の要部断面図の一例である。 ソース・ドレイン領域形成工程の要部断面図の一例である。
以下、ゲート電極形成を例に、図面を参照して詳細に説明する。
図1はゲート電極形成の基本原理説明図の一例である。
例えば、MOSFETのゲート電極を形成する場合には、先ず、基板上のゲート絶縁膜上にゲート電極材料であるpoly−Si等の導電層を形成した後(ステップS1)、その上に、第1のマスクとして、後のゲート電極パターニング時のハードマスクとなるSiN層を形成する(ステップS2)。そして、このようにSiN層を形成した後に、その上に第2のマスクとして、所定膜厚のレジスト層を形成する(ステップS3)。
次いで、そのレジスト層をパターニングする(ステップS4)。その際は、ゲート電極を形成する位置に、プロセス中の変形や倒れが生じないような幅で、そのレジスト層のパターンを形成する。また、上記ステップS3のレジスト層の形成時には、このステップS4のパターニング後に、そのような変形等が生じないような膜厚でレジスト層を形成しておく。
次いで、パターニング後のレジスト層をマスクにして、その下のSiN層をパターニングする(ステップS5)。そして、レジスト層を除去した後、露出するSiN層の少なくとも側面の表層部を変質させ(ステップS6)、その表層部を選択的に除去する(ステップS7)。SiN層の表層部を変質させるためには、例えばその表層部を酸化してそこにSiON(酸窒化シリコン)やSiO2を形成する方法を用いることができる。その場合、例えばHF(フッ化水素)を用いることにより、その表層部を選択的にエッチングすることができる。尚、表層部の幅は、その変質させる際の条件を適当に設定することにより制御することができる。
このようにしてSiN層の表層部を除去することにより、そのSiN層は、その幅が上記ステップS4のパターニングで得られるレジスト層の幅よりも小さくなる。この縮小化されたSiN層をハードマスクとしてその下の導電層をエッチングする(ステップS8)。
このように、上記の方法では、レジスト層のパターン幅を最終的に得るべきゲート電極の幅より若干広く形成しておくことができ、そのレジスト層のパターンを用いてSiN層をパターニングし、さらにそのSiN層の表層部を変質させてそれを除去することにより、そのSiN層のパターンの幅を縮小化する。そして、その縮小化されたSiN層をハードマスクとしてゲート電極のパターニングを行う。したがって、上記の方法を用いることにより、プロセス中のレジスト層の変形等を生じさせることなく、より微細なゲート電極パターンを形成することが可能になる。
尚、ここでは、SiN層上にレジスト層を形成するようにしたが、SiN層上に反射防止層等の層を形成し、その上にレジスト層を形成するようにしてもよい。
以下、上記のような方法について具体例を挙げて詳細に説明する。ここでは、CMOSFETのゲート電極形成を例に、具体的に説明する。
先ず、第1の実施の形態について説明する。
図2は第1の実施の形態のCMOSFETの要部断面図の一例である。
図2に示すCMOSFET1aは、Si(シリコン)基板2にSTI(Shallow Trench Isolation)3が形成され、STI3により、nMOS領域30及びpMOS領域40が画定されている。それぞれの領域にはMOSFET10とMOSFET20が形成されている。
MOSFET10は、Si基板2上にゲート絶縁膜11を介して形成されたゲート電極12を有し、その外側には側壁絶縁膜13が形成されている。また、ゲート電極12両側のSi基板2内には、側壁絶縁膜13直下に所定導電型のソース・ドレイン・エクステンション領域14が形成され、さらに側壁絶縁膜13両側のSi基板2内には、ソース・ドレイン領域15が形成されている。また、ゲート電極12の表面にはシリサイド膜16が形成されている。ソース・ドレイン領域15に対応する部分にはシリサイド膜17が形成されている。
MOSFET20もこれと同様の構造を有しており、Si基板2上にゲート絶縁膜21とゲート電極22の積層構造を有し、その外側に側壁絶縁膜23が形成されている。また、Si基板2内には、所定領域に所定導電型のソース・ドレイン・エクステンション領域24及びソース・ドレイン領域25が形成されている。また、ゲート電極22の表面にはシリサイド膜26が形成されている。ソース・ドレイン領域25に対応する部分にはシリサイド膜27が形成されている。
図3は第1の実施の形態のCMOSFET製造の原理説明図の一例である。また、図4〜図10は第1の実施の形態のCMOSFET製造における各工程の要部断面図の一例である。
以下、図3に示す第1の実施の形態のCMOSFET製造の原理を、図4〜図10に示す第1の実施の形態のCMOSFET製造における各工程と共に詳細に説明する。
図4はnMOS領域及びpMOS領域形成工程の要部断面図の一例である。
先ず、Si基板2にSTI3によって素子分離を行い、nMOS領域30及びpMOS領域40を画定する(ステップS10)。
図5はpoly−Si層形成工程の要部断面図の一例である。
次に、Si基板2上に、熱酸化法により膜厚が1.5nm程度のゲート絶縁膜4を形成し、このゲート絶縁膜4上に、CVD(Chemical Vapor Deposition)により厚さが120nm程度のpoly−Si層5を形成する(ステップS11)。
図6は不純物注入工程の要部断面図の一例である。
次に、pMOS領域40のpoly−Si層5上にマスク6aを形成し、nMOS領域30のpoly−Si層5に不純物を注入するために、P(リン)イオンを10keV程度で1×1015/cm2程度のドース量で注入する(ステップS12)。尚、注入後、poly−Si層5中に存在する不純物の活性化アニールをしてもよい。
図7はハードマスク形成工程の要部断面図の一例である。
図6に示すマスク6aを除去した後、poly−Si5層上に、ハードマスク7を形成する。このハードマスク7がゲート電極形成用のマスクになる(ステップS13)。この工程の詳細については後述する。
図8はゲート電極形成工程の要部断面図の一例である。
次に、ハードマスク7をゲート電極形状にパターニングした後(不図示)、nMOS領域30及びpMOS領域40にゲート電極12、22を形成する(ステップS14)。この工程の詳細については後述する。
図9は側壁絶縁膜及びソース・ドレイン領域形成工程の要部断面図の一例である。
図8に示すゲート電極12、22を形成した後に、nMOS領域30のソース・ドレイン・エクステンション領域24に不純物を注入する(ステップS15)。
具体的には、p型不純物としてIn(インジウム)イオンを4方向から25°で4回注入して、n型不純物としてAs(ヒ素)イオンを注入する。また、pMOS領域40のソース・ドレイン・エクステンション領域14にn型不純物としてAsイオンを4方向から25°で4回注入して、p型不純物としてB(ホウ素)イオンを注入する。
その後、基板温度が580℃程度で、CVDにより酸化膜を、膜厚が100nm程度になるように形成して(不図示)、エッチバックにより側壁絶縁膜13、23を形成する(ステップS16)。
さらに、ゲート電極22の両側にPイオンを注入し、ゲート電極12の両側にBイオンを注入して、ソース・ドレイン領域15、25を形成する(ステップS17)。
さらにゲート電極12にp型不純物としてBイオンを注入する(不図示)。
図10はシリサイド膜形成工程の要部断面図の一例である。
次いで、活性化アニールを行った後、図8に示すゲート電極12、22及びソース・ドレイン領域15,25に対応する部分のゲート絶縁膜4を除去して、ゲート電極12、22及びソース・ドレイン領域15,25の表面を露出させる(ステップS18)。
そして、スパッタリングによりCo(コバルト)膜をゲート電極12、22及びソース・ドレイン領域15,25上に形成し、サリサイドプロセスによりCoSi(コバルトシリコン)で構成されるシリサイド膜16、17、26、27を膜厚が20nm程度となるように形成する(ステップS19)。
このような工程により、図2に示すCMOSFET1aが得られる。
ここで、上述した図7、図8に示すハードマスク形成工程及びゲート電極形成工程について詳細に説明する。
上記形成工程については、第1、2及び3の方法がある。尚、第1、2及び3の方法の説明では、一例として、図2に示すMOSFET10側のゲート電極形成工程のみについて説明する。
最初に、第1の方法について説明する。
図11は第1の方法によるゲート電極形成工程の原理説明図の一例である。また、図12〜図17は、第1の方法によるゲート電極形成における各工程の要部断面図の一例である。以下、図11に示す第1の方法によるゲート電極形成工程の原理を、図12〜図17に示す第1の方法によるゲート電極形成における各工程と共に詳細に説明する。
図12はレジスト層形成工程の要部断面図の一例である。
先ず、図12に示すように、ゲート絶縁膜4上に、poly−Si層5を形成する(ステップS20)。その厚さは、例えば120nmである。
次いで、SiN層51をLPCVD(Low Pressure CVD)又はプラズマCVDにより形成する(ステップS21)。その厚さは、例えば50nmである。
そして、SiN層51上に反射防止層52を形成する(ステップS22)。その厚さは、例えば80nmである。
そして、図8に示すゲート電極12に対応する部分の反射防止層52上に、レジスト層53を形成する(ステップS23)。その厚さと幅は、プロセス中に変形、倒れ等がおきない程度にする。具体的には、厚さが250nmで、その幅は80nmにする。
図13はエッチング工程の要部断面図の一例である。
次に、図13に示すように、レジスト層53をマスクにして反射防止層52を例えば、O2(酸素)/CF4(テトラフルオロカーボン)の混合ガスによるプラズマを用いてエッチングし(ステップS24)、SiN層51を例えば、フロロカーボン系ガス(CF4、CHF3等)によるプラズマを用いてエッチングする(ステップS25)。エッチング後のレジスト層53、SiN層51及び反射防止層52の幅は、例えば60nmである。
図14は反射防止層及びレジスト層除去工程の要部断面図の一例である。
次に、図13に示す反射防止層52及びレジスト層53を除去し(ステップS26)、SiN層51を露出させる。
図15はSiN層表面酸化膜形成工程の要部断面図の一例である。
次に、図15に示すように、SiN層51の表層部を変質させるために、例えば、基板温度が250℃程度で、ダウンフロー型プラズマアッシング法を用い、O2ガスを含んだプラズマにより、SiN層51の表面に、酸化膜51aを形成する(ステップS27)。酸化膜51aはSiON膜又はSiO2膜である。
酸化膜51aを形成する際の原料ガスはO2が主成分であるが、微量のCF4(<5%wt)を含めると酸化が促進する。また、N2(窒素)又はN2/H2(水素)を原料ガスに添加するとプラズマ中のO2ラジカルが増加し、より酸化が促進する。
また、SiNの組成を制御することで酸化レートを調整することも可能である。
尚、基板温度を250℃としているのは、前工程で注入した不純物の拡散を防止するためである。この温度は400℃以下にするのが望ましい。
図16はハードマスク形成工程の要部断面図の一例である。
次に、図15に示す酸化膜51aを希釈HF溶液(例えば0.5%wt)を用いたエッチングにより選択的に除去する。そして、材質がSiNであるハードマスク51bが形成される(ステップS28)。ハードマスク51bの幅は、例えば30nmである。
図17はゲート電極形成工程の要部断面図の一例である。
ハードマスク51bをマスクにしてpoly−Si層5をHBr(臭化水素)等を用いたプラズマにより、エッチングする。これにより、ゲート電極12が形成される(ステップS29)。その幅は、例えば30nmである。
このような方法によれば、レジスト層53は、プロセス中に変形することのない充分な機械強度を有した形状を維持しており、安定してSiN層51をエッチングすることができる。また、SiN層51表面にSiON層又はSiO2層を形成させ、これを除去することによりSiN層51を縮小し、微細なSiNで構成されるハードマスク51bを安定してpoly−Si層5上に形成することができる。さらに、ハードマスク7を介して、poly−Si層5をエッチングすることにより、微細なゲート電極12を安定して形成できるようになる。
次に、第2の方法について説明する。
図18は第2の方法によるゲート電極形成工程の原理説明図の一例である。また、図19〜図23は、第2の方法によるゲート電極形成における各工程の要部断面図の一例である。以下、図18に示す第2の方法によるゲート電極形成工程の原理を、図19〜図23に示す第2の方法によるゲート電極形成における各工程と共に詳細に説明する。
図19はレジスト層形成工程の要部断面図の一例である。
先ず、図19に示すように、ゲート絶縁膜4上に、poly−Si層5を形成する(ステップS30)。その厚さは、例えば120nmである。
次いで、SiC(炭化シリコン)層54をプラズマCVD又はスピンコートにより形成する(ステップS31)。その厚さは、例えば100nmである。
そして、図8に示すゲート電極12に対応する部分のSiC層54上に、レジスト層55を形成する(ステップS32)。その厚さと幅は、プロセス中に変形、倒れ等がおきない程度にする。具体的には、その厚さが300nmで、その幅は80nmにする。
図20はエッチング工程の要部断面図の一例である。
次に、図20に示すように、レジスト層55をマスクにしてSiC層54を例えばフッ素含有ガス(CF4、SF6等)又はO2/CH22(ハイドロフルオロカーボン)の混合ガスを用いたプラズマによりエッチングする(ステップS33)。
図21はSiC層側面酸化膜形成工程の要部断面図の一例である。
次に、図21に示すように、SiC層54の側面部を変質させるために、例えば、基板温度が250℃程度で、ダウンフロー型プラズマアッシング法を用い、O2ガスを含んだプラズマによるin−situ処理で、SiC層54の側面に、酸化膜54aを形成する(ステップS34)。尚、基板温度を250℃としているのは、前工程で注入した不純物の拡散を防止するためである。
図22はハードマスク形成工程の要部断面図の一例である。
次に、図21に示すレジスト層55を除去し(ステップS35)、酸化膜54aを希釈HF溶液(例えば0.5%wt)を用いたエッチングにより選択的に除去する。そして、材質がSiCであるハードマスク54bを形成する(ステップS36)。ハードマスク54bの幅は、例えば20nmである。
尚、ハードマスク54bについては、その全体を酸化させて、SiOC(炭素含有シリコン酸化膜)又はSiO2で構成されるハードマスク54bとしてもよい(ステップS37)。ハードマスク54bの成分をSiOC又はSiO2にすることにより、次工程においてハードマスク54bのエッチング速度を低減させることができ、ハードマスク54bの膜減りを抑制することができるからである。また、ゲート電極形成後の後処理として一般的に使用される希釈HF溶液等で、容易に除去することもできる。
図23はゲート電極形成工程の要部断面図の一例である。
ハードマスク54bをマスクにしてpoly−Si層5をHBr等を用いたプラズマによりエッチングする。これにより、ゲート電極12が形成される(ステップS38)。その幅は、例えば20nmである。
このような方法によれば、レジスト層55は、プロセス中に変形することのない充分な機械強度を有した形状を維持しており、安定してSiC層54をエッチングすることができる。またレジスト層55がSiC層54の上面に形成したままin−situでプラズマ処理を行うので、SiC層54の側面のみが酸化される。そして、酸化膜54aを除去することによりSiC層54が縮小される。その結果、ハードマスク54bの膜厚を所定の膜厚に確保することができ、且つハードマスク54bの上面両側の角が丸まり難くなる。これにより、ハードマスク54bを介して、poly−Si層5をエッチングすることにより、微細なゲート電極12を安定して形成できるようになる。
また、上記の説明では、SiC層54の側面に酸化膜54aを形成する際の基板温度を一例として250℃としたが、SiCは100℃〜200℃で表面が容易に酸化されるので、プロセスの低温化が実現可能になる。さらに、SiC層54には、組成を制御することにより、露光光の反射防止効果を持たせることも可能なので、その場合は図12に示す反射防止層52を形成する工程を省くことができる。
次に、第3の方法について説明する。
図24は第3の方法によるゲート電極形成工程の原理説明図の一例である。また、図25〜図30は、第3の方法によるゲート電極形成における各工程の要部断面図の一例である。以下、図24に示す第3の方法によるゲート電極形成工程の原理を、図25〜図30に示す第3の方法によるゲート電極形成における各工程と共に詳細に説明する。
図25はレジスト層形成工程の要部断面図の一例である。
先ず、図25に示すように、ゲート絶縁膜4上にpoly−Si層5を形成する(ステップS40)。その厚さは、例えば120nmである。
次いで、SiC層71をプラズマCVD又はスピンコートにより形成する(ステップS41)。その厚さは、例えば100nmである。
次いで、SiC層71上にSiO2層72をLPCVDにより形成する(ステップS42)。その厚さは、例えば30nmである。
次いで、SiO2層72上に反射防止層73を形成する(ステップS43)。その厚さは、例えば80nmである。
そして、図8に示すゲート電極12に対応する部分の反射防止層73上にレジスト層74を形成する(ステップS44)。その厚さと幅は、プロセス中に変形、倒れ等がおきない程度にする。具体的には、その厚さが250nmで、その幅は80nmとする。
図26はエッチング工程の要部断面図の一例である。
次に、図26に示すように、レジスト層74をマスクにして反射防止層73を例えば、O2/CF4の混合ガスを用いたプラズマによりエッチングし(ステップS45)、SiO2層72を例えば、フッ素含有ガス(CF4等)を用いたプラズマによりエッチングする(ステップS46)。
次いで、SiC層71を例えばフッ素含有ガス(CF4、SF6等)又はO2/CH22の混合ガスを用いたプラズマによりエッチングする(ステップS47)。
図27はレジスト層及び反射防止層除去工程の要部断面図の一例である。
図26に示すレジスト層74及び反射防止層73を除去し(ステップS48)、SiO2層72を露出させる。
図28はSiC層側面酸化膜形成工程の要部断面図の一例である。
次に、図28に示すように、SiC層71の側面部を変質させるために、例えば、基板温度が250℃程度で、ダウンフロー型プラズマアッシング法を用いるか、あるいはO2ガスを含んだプラズマによるin−situ処理(温度は数10℃程度)で、SiC層71の側面に、酸化膜71aを形成する(ステップS49)。基板温度を250℃としているのは、前工程で注入した不純物の拡散を防止するためである。
図29はハードマスク形成工程の要部断面図の一例である。
次に、図28に示すSiO2層72及び酸化膜71aを希釈HF溶液(例えば0.5%wt)を用いたエッチングにより選択的に除去する。そして、材質がSiCであるハードマスク71bを形成する(ステップS50)。ハードマスク71bの幅は、例えば20nmである。
尚、ハードマスク71bについては、その全体を酸化させて、SiOC又はSiO2で構成されるハードマスク71bとしてもよい(ステップS51)。ハードマスク71bの成分をSiOC又はSiO2にすることにより、次工程においてハードマスク71bのエッチング速度を低減させることができ、ハードマスク71bの膜減りを抑制することができるからである。また、こうすることで、ゲート電極形成後の後処理として一般的に使用される希釈HF溶液等で、ハードマスクを容易に除去することもできる。
図30はゲート電極形成工程の要部断面図の一例である。
ハードマスク71bをマスクにして、poly−Si層5をHBr等を用いたプラズマによりエッチングする。これにより、ゲート電極12が形成される(ステップS52)。その幅は、例えば20nmである。
このような方法によれば、レジスト層74は、プロセス中に変形することのない充分な機械強度を有した形状を維持しており、安定してSiC層71をエッチングすることができる。また、SiC層71上に、予めSiO2層72が形成されているので、SiC層71側面に酸化膜71aを形成する際に、SiO2層72の膜減りが生じることがなく、プロセス条件のマージンが拡大する。
またSiO2層72がSiC層71の上面に形成したままin−situでプラズマ処理を行うので、SiC層71の上面がエッチングされず、SiC層71の側面のみが酸化される。そして、酸化膜71aを除去することによりSiC層71が縮小される。その結果、ハードマスク71bの膜厚を所定の膜厚に確保することができ、且つハードマスク71bの上面両側の角が丸まり難くなる。これにより、ハードマスク71bを介して、poly−Si層5をエッチングすることにより、微細なゲート電極12を安定して形成できるようになる。
尚、第2、3の方法では、SiC層71の材質の代わりにSiOCを形成させてもよい。また、上記第1、2及び3の方法は、図2に示すMOSFET20側のゲート電極形成工程についても転用できる。
次に、第2の実施の形態について説明する。
以下、第2の実施の形態のCMOSFETについて、第1の実施の形態で説明したCMOSFET及びその製造方法の相違点を中心に説明し、図2に示した要素と同一の構成については、同一の符号を附し、その説明の詳細は省略する。
図31は第2の実施の形態のCMOSFETの要部断面図の一例である。
図31に示す第2の実施の形態のCMOSFET1bは、pMOS領域40に不純物であるBが注入されている点で、図2に示す第1の実施の形態のCMOSFET1aと異なっている。他の構成については、図2に示した要素と同一の構成である。
図32は第2の実施の形態のCMOSFET製造の原理説明図の一例である。また、図33、34は第2の実施の形態のCMOSFET製造における各工程の要部断面図の一例である。
以下、図32に示す第2の実施の形態のCMOSFET製造の原理を、図33、34に示す第2の実施の形態のCMOSFET製造における各工程と共に詳細に説明する。
尚、ステップS60からステップS62までは、図3に示すステップS10からステップS12までと同内容なので、その工程図については省略する。また、ステップS64からステップS67までは、図3に示すステップS13からステップS16と同内容なので、その工程図については省略する。さらに、ステップS69からステップS70までは、図3に示すステップS18からステップS19と同内容なので、その工程図については省略する。
先ず、Si基板2にSTI3によって素子分離を行った後、nMOS領域30及びpMOS領域40を画定する(ステップS60)。次に、Si基板2上に、ゲート絶縁膜4を形成し、poly−Si層5を形成する(ステップS61)。次に、nMOS領域30のpoly−Si層5に不純物を注入する(ステップS62)。
図33は不純物注入工程の要部断面図の一例である。
pMOS領域40に不純物が注入されるようにマスク6bをして、Ge(ゲルマニウム)を20keVで1×1015/cm2のドース量で注入して、プリアモルファス化を行う。次に、Bイオンを5keVで1×1015/cm2のドース量で注入する(ステップS63)。
続いて、poly−Si層5上に、ゲート電極形成用のハードマスク7を形成する(ステップS64)。次に、ハードマスク7をゲート電極形状にパターニングした後、nMOS領域30及びpMOS領域40にゲート電極12、22を形成する(ステップS65)。次に、nMOS領域及びpMOS領域のソース・ドレイン・エクステンション領域14、24に不純物を注入した後(ステップS66)、ゲート電極側面に側壁絶縁膜13、23を形成する(ステップS67)。
図34はソース・ドレイン領域形成工程の要部断面図の一例である。
ゲート電極22の両側にPイオンを注入し、ゲート電極12の両側にBイオンを注入して、ソース・ドレイン領域15、25を形成する(ステップS68)。
次に、活性化アニールを行った後、ゲート電極12、22及びソース・ドレイン領域15、25に対応する部分のゲート絶縁膜4を除去してゲート電極12、22及びソース・ドレイン領域15、25の表面を露出させる(ステップS69)。そして、Co膜をゲート電極12、22及びソース・ドレイン領域15、25上に形成し、サリサイドプロセスによりCoSiで構成されるシリサイド膜16、17、26、27をゲート電極12、22及びソース・ドレイン領域15、25上に形成する(ステップS70)。
このようなフローにより、図31に示すCMOSFET1bが得られる。
これにより、図31に示す第2の実施の形態のCMOSFET1bを製造することができる。
このようなCMOSFET1bの製造方法に対しても、上記第1、2及び3の方法を用いることができ、同様の効果が得られる。
以上、本発明の半導体装置の製造方法を、フロー及び図示の実施の形態に基づいて説明したが、本発明はこれに限定されるものではなく、各部の構成は、同様の機能を有する任意の構成のものに置換することができる。また、本発明に、他の任意の構成物や工程が付加されていてもよい。また、上述した各実施の形態の任意の2以上の構成を組み合わせたものであってもよい。
さらに、上記説明の第1、2及び3の方法は、上記サリサイドプロセスを用いない場合にも容易に転用することができる。
例えば、ゲート電極の構成をSiN層/WSi(珪化タングステン)層/poly−Si層の3層構造にすることにより、上記第1の方法がそのまま転用できる。また、第2、3の方法を転用するには、SiC層形成前に、予めSiN層を形成させる。即ち、SiC層/SiN層/WSi層/poly−Si層の4層構造にすることで、上記第2、3の方法を容易に転用できる。
さらに上記WSi層をW(タングステン)/WN(窒化タングステン)層、W/TiN(窒化チタン)層に置換することもできる。この場合、WN層、TiN層はWとpoly−Siのバリア層になる。
また、ゲート電極として、メタルゲート電極を用いた場合は、例えば、単層のpoly−Si層をpoly−Si層/メタル層の2層構造にすることにより、上記第1、2及び3の方法を転用できる。この場合のメタルとして例えばTi(チタン)、Zr(ジルコニウム)、W、Ta(タンタル)、Ni(ニッケル)、Mo(モリブデン)及びこれらにN2を注入したもの等が用いられる。
またゲート絶縁膜については、SiO2、SiON、SiN、HfO2(酸化ハフニウム)、HfSiN(ハフニウム窒化シリコン)のうち、いずれであってもかまわない。また、メモリビット線については、WSi/Si、W/TiNの積層構造等を用いればよい。
また、以上の説明では、ゲート電極形成を例にして説明したが、上記の第1、2及び3の方法は、半導体装置における配線等の種々のパターン形成に同様に転用することが可能である。
上記については単に本発明の原理を示すものである。さらに、多数の変形、変更が当業者にとって可能であり、本発明は上記に示し、説明した正確な構成および応用例に限定されるものではなく、対応するすべての変形例および均等物は、添付の請求項およびその均等物による本発明の範囲とみなされる。
符号の説明
1a、1b CMOSFET
2 Si基板
3 STI
4、11、21 ゲート絶縁膜
5 poly−Si層
6a マスク
7、51b、54b、71b ハードマスク
10、20 MOSFET
12、22 ゲート電極
13、23 側壁絶縁膜
14、24 ソース・ドレイン・エクステンション領域
15、25 ソース・ドレイン領域
16、17、26、27 シリサイド膜
30 nMOS領域
40 pMOS領域
51 SiN層
51a、54a、71a 酸化膜
52、73 反射防止層
53、55、74 レジスト層
54、71 SiC層
72 SiO2

Claims (7)

  1. 導電層上に第1のマスク層を形成する工程と、
    前記第1のマスク層上に絶縁層を形成する工程と、
    前記絶縁層上に第2のマスク層を形成する工程と、
    前記第2のマスク層をパターニングする工程と、
    パターニング後の前記第2のマスク層を用いて前記絶縁層及び前記第1のマスク層をパターニングする工程と、
    露出する前記第1のマスク層の側壁を変質させる工程と、
    変質された前記側壁と共に前記絶縁層を除去する工程と、
    前記側壁及び前記絶縁層を除去した後に、前記第1のマスク層を用いて前記導電層をパターニングする工程と、
    を有することを特徴とする半導体装置の製造方法。
  2. 露出する前記第1のマスク層の前記側壁を変質させる工程においては、
    前記側壁を酸化して酸化膜を形成することを特徴とする請求項1記載の半導体装置の製造方法。
  3. パターニング後の前記第2のマスク層を用いて前記絶縁層及び前記第1のマスク層をパターニングする工程後に、
    前記第2のマスク層を除去する工程を有し、
    前記第2のマスク層を除去する工程後に、
    露出する前記第1のマスク層の前記側壁を変質させることを特徴とする請求項1又は2に記載の半導体装置の製造方法。
  4. 前記第2のマスク層をパターニングする工程においては、
    前記第2のマスク層をレジストを用いて形成し、パターニング後の前記第2のマスク層を用いて前記第1のマスク層をパターニングするまでの間に前記第2のマスク層の形状を維持することのできる寸法でパターニングすることを特徴とする請求項1乃至3のいずれかに記載の半導体装置の製造方法。
  5. 前記絶縁層を形成する工程後に、
    前記絶縁層上に反射防止層を形成する工程を有し、
    前記絶縁層上に前記第2のマスク層を形成する工程においては、
    前記反射防止層上に前記第2のマスク層をレジストを用いて形成することを特徴とする請求項1乃至4のいずれかに記載の半導体装置の製造方法。
  6. 前記第1のマスク層は、SiC又はSiOCであることを特徴とする請求項1乃至5のいずれかに記載の半導体装置の製造方法。
  7. 前記絶縁層は、SiO 2 であることを特徴とする請求項1乃至6のいずれかに記載の半導体装置の製造方法。
JP2008509643A 2006-03-31 2006-03-31 半導体装置の製造方法 Expired - Fee Related JP5040913B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2006/306914 WO2007116492A1 (ja) 2006-03-31 2006-03-31 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JPWO2007116492A1 JPWO2007116492A1 (ja) 2009-08-20
JP5040913B2 true JP5040913B2 (ja) 2012-10-03

Family

ID=38580801

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008509643A Expired - Fee Related JP5040913B2 (ja) 2006-03-31 2006-03-31 半導体装置の製造方法

Country Status (3)

Country Link
US (1) US20090042402A1 (ja)
JP (1) JP5040913B2 (ja)
WO (1) WO2007116492A1 (ja)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
JP5656010B2 (ja) * 2009-12-04 2015-01-21 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated ハードマスク膜を形成する方法およびハードマスク膜を成膜する装置
US8268712B2 (en) 2010-05-27 2012-09-18 United Microelectronics Corporation Method of forming metal gate structure and method of forming metal gate transistor
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
WO2020081367A1 (en) 2018-10-19 2020-04-23 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001015753A (ja) * 1999-04-28 2001-01-19 Toshiba Corp 半導体装置およびその製造方法
JP2001237420A (ja) * 2000-02-24 2001-08-31 Nec Corp 半導体装置のゲート電極の形成方法
JP2003179064A (ja) * 2001-12-10 2003-06-27 Sony Corp 配線パターンの形成方法
JP2004228258A (ja) * 2003-01-22 2004-08-12 Renesas Technology Corp 半導体装置の製造方法
JP2004247444A (ja) * 2003-02-13 2004-09-02 Sony Corp 薄膜パターンの形成方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4816098A (en) * 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
EP0581168B1 (en) * 1992-07-22 1996-10-02 Mitsubishi Rayon Co., Ltd. Hydrophobic porous membranes, process for the manufacture thereof and their use
US6930028B1 (en) * 1997-06-09 2005-08-16 Texas Instruments Incorporated Antireflective structure and method
US6251794B1 (en) * 1999-02-18 2001-06-26 Taiwan Semiconductor Manufacturing Company Method and apparatus with heat treatment for stripping photoresist to eliminate post-strip photoresist extrusion defects
JP2000349152A (ja) * 1999-03-29 2000-12-15 Sony Corp 半導体装置の製造方法
US6461801B1 (en) * 1999-05-27 2002-10-08 Matrix Integrated Systems, Inc. Rapid heating and cooling of workpiece chucks
US6451673B1 (en) * 2001-02-15 2002-09-17 Advanced Micro Devices, Inc. Carrier gas modification for preservation of mask layer during plasma etching
DE10230696B4 (de) * 2002-07-08 2005-09-22 Infineon Technologies Ag Verfahren zur Herstellung eines Kurzkanal-Feldeffekttransistors
JP2004152862A (ja) * 2002-10-29 2004-05-27 Fujitsu Ltd 半導体装置の製造方法
CN100444308C (zh) * 2003-05-07 2008-12-17 亚舍立技术公司 宽温度范围的卡盘***
US20070163995A1 (en) * 2006-01-17 2007-07-19 Tokyo Electron Limited Plasma processing method, apparatus and storage medium

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001015753A (ja) * 1999-04-28 2001-01-19 Toshiba Corp 半導体装置およびその製造方法
JP2001237420A (ja) * 2000-02-24 2001-08-31 Nec Corp 半導体装置のゲート電極の形成方法
JP2003179064A (ja) * 2001-12-10 2003-06-27 Sony Corp 配線パターンの形成方法
JP2004228258A (ja) * 2003-01-22 2004-08-12 Renesas Technology Corp 半導体装置の製造方法
JP2004247444A (ja) * 2003-02-13 2004-09-02 Sony Corp 薄膜パターンの形成方法

Also Published As

Publication number Publication date
US20090042402A1 (en) 2009-02-12
WO2007116492A1 (ja) 2007-10-18
JPWO2007116492A1 (ja) 2009-08-20

Similar Documents

Publication Publication Date Title
JP5040913B2 (ja) 半導体装置の製造方法
CN109860117B (zh) 用于减小晶体管间隔的切割金属栅极工艺
US8039203B2 (en) Integrated circuits and methods of design and manufacture thereof
US20070037101A1 (en) Manufacture method for micro structure
US7759239B1 (en) Method of reducing a critical dimension of a semiconductor device
TWI783439B (zh) 半導體裝置及其形成方法
US8216888B2 (en) Eliminating poly uni-direction line-end shortening using second cut
US8263485B2 (en) Method for fabricating semiconductor device
US20060177977A1 (en) Method for patterning fins and gates in a FinFET device using trimmed hard-mask capped with imaging layer
US7803518B2 (en) Method for manufacturing micro structure
TWI508137B (zh) 形成目標圖案的方法
JP2009509325A (ja) 半導体デバイスおよびその製造方法
CN110970307A (zh) 半导体装置的形成方法
JP2007165558A (ja) 半導体装置およびその製造方法
US9564371B2 (en) Method for forming semiconductor device
KR100349364B1 (ko) 반도체 소자의 게이트 제조방법
US7351663B1 (en) Removing whisker defects
KR100469913B1 (ko) 반도체소자의 제조방법
US8759174B2 (en) Selective removal of a silicon oxide layer
JP2006041339A (ja) Cmos集積回路
JP2007335783A (ja) 半導体装置の製造方法
KR100480894B1 (ko) 복합 반도체 장치의 제조방법
KR100911864B1 (ko) 반도체 소자의 제조방법
TW202205524A (zh) 半導體裝置的製作方法
TWI289907B (en) Method for fabricating metal oxide semiconductor transistor and memory device memory cell array thereof

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120110

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120309

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120410

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120517

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120612

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120625

R150 Certificate of patent or registration of utility model

Ref document number: 5040913

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150720

Year of fee payment: 3

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees