KR20190011817A - 갭충전 애플리케이션들을 위한 유동가능 비정질 실리콘 막들 - Google Patents

갭충전 애플리케이션들을 위한 유동가능 비정질 실리콘 막들 Download PDF

Info

Publication number
KR20190011817A
KR20190011817A KR1020197001979A KR20197001979A KR20190011817A KR 20190011817 A KR20190011817 A KR 20190011817A KR 1020197001979 A KR1020197001979 A KR 1020197001979A KR 20197001979 A KR20197001979 A KR 20197001979A KR 20190011817 A KR20190011817 A KR 20190011817A
Authority
KR
South Korea
Prior art keywords
processing method
flowable film
plasma
substrate surface
flowable
Prior art date
Application number
KR1020197001979A
Other languages
English (en)
Inventor
프라밋 만나
아브히지트 바수 말릭
카르틱 자나키라만
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20190011817A publication Critical patent/KR20190011817A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • H01L21/32053Deposition of metallic or metal-silicide layers of metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

무시임 갭충전을 위한 방법들은 PECVD에 의해 유동가능 막을 형성하는 단계 및 유동가능 막을 고체화하기 위해 유동가능 막을 경화시키는 단계를 포함한다. 유동가능 막은 더 고차의 실란 및 플라즈마를 사용하여 형성될 수 있다. 유동가능 막을 고체화하기 위해 UV 경화 또는 다른 경화가 사용될 수 있다.

Description

갭충전 애플리케이션들을 위한 유동가능 비정질 실리콘 막들
[0001] 본 개시내용은 일반적으로, 박막들을 증착하는 방법들에 관한 것이다. 특히, 본 개시내용은 좁은 트렌치(trench)들을 충전(filling)하기 위한 프로세스들에 관한 것이다.
[0002] 마이크로전자 디바이스 제조에서, 많은 애플리케이션들에 대해, 10:1 초과의 종횡비(AR; aspect ratio)들을 갖는 좁은 트렌치들을 보이딩(voiding) 없이 충전할 필요가 있다. 하나의 애플리케이션은 STI(shallow trench isolation)에 대한 것이다. 이 애플리케이션의 경우, 막은 매우 낮은 누설로 트렌치 전체에 걸쳐 높은 품질(예컨대, 2 미만의 습식 에칭 레이트 비율을 가짐)로 이루어질 필요가 있다. 구조들의 치수들이 감소되고 종횡비들이 증가됨에 따라, 증착 직후(as deposited)의 유동가능 막(flowable film)들의 사후 경화 방법들은 어려워진다. 충전된 트렌치 전체에 걸쳐 조성이 변화되는 막들이 초래된다.
[0003] 비정질 실리콘은 반도체 제조 프로세스들에서 희생 층으로서 광범위하게 사용되어 왔는데, 왜냐하면, 비정질 실리콘이 다른 막들(예컨대, 실리콘 산화물, 비정질 탄소 등)에 대해 양호한 에칭 선택성을 제공할 수 있기 때문이다. 반도체 제조에서 임계 치수(CD; critical dimension)들이 감소됨에 따라, 고 종횡비 갭들을 충전하는 것은 진보된 웨이퍼 제조에 있어서 점점 더 민감해진다. 현재의 금속 대체 게이트(metal replacement gate) 프로세스들은 퍼니스 폴리-실리콘(furnace poly-silicon) 또는 비정질 실리콘 더미 게이트(dummy gate)를 수반한다. 프로세스의 성질로 인해, Si 더미 게이트의 중간에 시임(seam)이 형성된다. 이 시임은 사후 프로세스 동안에 벌어질 수 있고, 구조가 손상되게 할 수 있다.
[0004] 비정질 실리콘(a-Si)의 종래의 플라즈마-강화 화학 기상 증착(PECVD; plasma-enhanced chemical vapor deposition)은 좁은 트렌치들의 최상부 상에 "머시룸 형상(mushroom shape)" 막을 형성한다. 이는, 플라즈마가 깊은 트렌치들 내로 침투하는 것이 가능하지 않기 때문이다. 좁은 트렌치를 최상부로부터 핀치-오프(pinching-off)하는 것의 결과로, 트렌치의 최하부에 보이드(void)가 형성된다.
[0005] 따라서, 무-시임(seam-free) 막 성장을 제공할 수 있는, 고 종횡비 구조들에서의 갭충전(gapfill)을 위한 방법들이 필요하다.
[0006] 본 개시내용의 하나 또는 그 초과의 실시예들은, 기판 표면을 제공하는 단계 ― 기판 표면은 기판 표면 상에 적어도 하나의 피처(feature)를 가짐 ― 를 포함하는 프로세싱 방법들에 관한 것이다. 적어도 하나의 피처는 기판 표면으로부터 최하부 표면까지의 깊이로 연장되고, 제1 측벽 및 제2 측벽에 의해 정의되는 폭을 갖는다. 기판 표면 상에, 그리고 적어도 하나의 피처의 제1 측벽, 제2 측벽 및 최하부 표면 상에 유동가능 막이 형성된다. 유동가능 막은 실질적으로 어떤 시임도 형성되지 않게 피처를 충전한다. 유동가능 막은, 유동가능 막을 고체화하고 그리고 실질적으로 무-시임 갭충전(seam-free gapfill)을 형성하도록 경화된다.
[0007] 본 개시내용의 추가적인 실시예들은, 기판 표면을 제공하는 단계 ― 기판 표면은 기판 표면 상에 적어도 하나의 피처를 가짐 ― 를 포함하는 프로세싱 방법에 관한 것이다. 적어도 하나의 피처는 기판 표면으로부터 최하부 표면까지의 깊이로 연장되고, 제1 측벽 및 제2 측벽에 의해 정의되는 폭 및 대략 25:1과 동일한 또는 그 초과의 종횡비를 갖는다. PECVD에 의해, 기판 표면 상에, 그리고 적어도 하나의 피처의 제1 측벽, 제2 측벽 및 최하부 표면 상에 유동가능 실리콘 막이 형성된다. 유동가능 막은 실질적으로 어떤 시임도 형성되지 않게 피처를 충전한다. 유동가능 막은, 유동가능 막을 고체화하고 그리고 실질적으로 무-시임 갭충전을 형성하도록 경화된다.
[0008] 본 개시내용의 추가의 실시예들은, 기판 표면을 제공하는 단계 ― 기판 표면은 기판 표면 상에 적어도 하나의 피처를 가짐 ― 를 포함하는 프로세싱 방법들에 관한 것이다. 적어도 하나의 피처는 기판 표면으로부터 최하부 표면까지의 깊이로 연장되고, 제1 측벽 및 제2 측벽에 의해 정의되는 폭 및 대략 25:1과 동일한 또는 그 초과의 종횡비를 갖는다. PECVD 프로세스에 의해, 기판 표면 상에, 그리고 적어도 하나의 피처의 제1 측벽, 제2 측벽 및 최하부 표면 상에 유동가능 실리콘 막이 형성된다. 유동가능 막은 실질적으로 어떤 시임도 형성되지 않게 피처를 충전한다. PECVD 프로세스는 플라즈마 가스를 포함하는 플라즈마 및 폴리실리콘 전구체를 포함한다. 폴리실리콘 전구체는 디실란, 트리실란, 테트라실란, 네오펜타실란 또는 시클로헥사실란 중 하나 또는 그 초과를 포함한다. 플라즈마 가스는 He, Ar, Kr, H2, N2, O2, O3 또는 NH3 중 하나 또는 그 초과를 포함한다. 플라즈마는 대략 200 W와 동일한 또는 그 미만의 전력을 갖고, PECVD 프로세스는 대략 100℃와 동일한 또는 그 미만의 온도에서 발생한다. 유동가능 막은, 유동가능 막을 고체화하고 그리고 실질적으로 무-시임 갭충전을 형성하도록 UV 경화에 노출된다.
[0009] 본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 예시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0010] 도 1은 본 개시내용의 하나 또는 그 초과의 실시예에 따른 기판 피처의 단면도를 도시하고; 그리고
[0011] 도 2는, 유동가능 막이 상부에 있는, 도 1의 기판 피처의 단면도를 도시한다.
[0012] 본 발명의 몇몇 예시적인 실시예들을 설명하기 전에, 본 발명은 하기의 설명에서 기술되는 구성 또는 프로세스 단계들의 세부사항들로 제한되지 않음이 이해되어야 한다. 본 발명은 다른 실시예들이 가능하며, 다양한 방식들로 실시되거나 수행될 수 있다.
[0013] 본원에서 사용되는 바와 같은 "기판"은, 제조 프로세스 동안 막 프로세싱이 수행되는, 임의의 기판, 또는 기판 상에 형성된 재료 표면을 나타낸다. 예컨대, 프로세싱이 수행될 수 있는 기판 표면은, 애플리케이션에 따라, 실리콘, 실리콘 산화물, 스트레인드 실리콘(strained silicon), 실리콘 온 인슐레이터(SOI; silicon on insulator), 탄소 도핑된 실리콘 산화물들, 비정질 실리콘, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어와 같은 재료들, 및 임의의 다른 재료들, 이를테면, 금속들, 금속 질화물들, 금속 합금들, 및 다른 전도성 재료들을 포함한다. 기판들은 반도체 웨이퍼들을 포함한다(그러나 이에 제한되지 않음). 기판들은, 기판 표면을 폴리싱, 에칭, 환원, 산화, 히드록실화(hydroxylate), 어닐링, UV 경화, e-빔 경화 및/또는 베이킹하기 위해 전처리 프로세스에 노출될 수 있다. 본 발명에서, 기판 자체의 표면 상에서 직접적으로 막 프로세싱을 하는 것에 추가하여, 개시되는 막 프로세싱 단계들 중 임의의 막 프로세싱 단계는 또한, 하기에서 보다 상세히 개시되는 바와 같이, 기판 상에 형성된 하부층 상에서 수행될 수 있으며, "기판 표면"이라는 용어는 문맥이 표시하는 바와 같이 그러한 하부층을 포함하도록 의도된다. 따라서, 예컨대, 막/층 또는 부분적인 막/층이 기판 표면 상에 증착된 경우, 새롭게 증착된 막/층의 노출된 표면이 기판 표면이 된다.
[0014] 본 개시내용의 실시예들은 작은 치수들을 갖는 고 종횡비(AR) 구조들에 막(예컨대, 비정질 실리콘)을 증착하는 방법들을 제공한다. 일부 실시예들은 유리하게, 클러스터 툴 환경에서 수행될 수 있는 순환 증착-처리 프로세스들을 수반하는 방법들을 제공한다. 일부 실시예들은 유리하게, 작은 치수들을 갖는 고 AR 트렌치들을 충전하기 위해, 무-시임 고품질 비정질 실리콘 막들을 제공한다.
[0015] 본 개시내용의 하나 또는 그 초과의 실시예들은, 20 nm 미만의 임계 치수(CD)들을 갖는 고 종횡비 구조들(예컨대, AR >8:1)을 충전할 수 있는 유동가능 비정질 실리콘 막들이 증착되는 프로세스들에 관한 것이다. 막들은 저온(예컨대, <100℃)에서 플라즈마 강화 화학 기상 증착(PECVD)으로 폴리실란 전구체를 사용하여 증착될 수 있다. 프로세스를 위한 플라즈마 전력은, 반응 역학(reaction kinetics)을 감소시키고 헤이즈 없는 막(haze free film)들을 획득하기 위해, 대략 200 W 또는 300 W 미만으로 유지될 수 있다. 챔버 바디 온도는 또한, 열 교환기 온도를 제어함으로써 제어될 수 있다. 디실란, 트리실란, 테트라실란, 네오펜타실란, 시클로헥사실란들은, 사용될 수 있는 통상적인 폴리실란들이다. 막을 안정화시키기 위해 UV 경화와 같은 증착 후 처리가 수행될 수 있다. 프로세스의 실시예들은, 유동가능 Si 프로세스에 탄화수소들 및 질소 소스들을 첨가함으로써 유동가능 SiC 및 SiCN 막들의 준비를 가능하게 한다. 부가적으로, 적절한 금속 전구체를 유동가능 실리콘 프로세스에 첨가함으로써, 유동가능 금속 실리사이드들(WSi, TaSi, NiSi)이 또한 증착될 수 있다.
[0016] 도 1은 피처(110)를 갖는 기판(100)의 부분 단면도를 도시한다. 도면들이 예시적인 목적들을 위해 단일 피처를 갖는 기판들을 도시하지만, 당업자들은 1개 초과의 피처가 존재할 수 있다는 것을 이해할 것이다. 피처(110)의 형상은 트렌치들 및 원통형 비아(via)들을 포함하는(그러나 이에 제한되지는 않음) 임의의 적절한 형상일 수 있다. 이와 관련하여 사용되는 바와 같이, "피처"라는 용어는 임의의 의도적인 표면 불규칙성을 의미한다. 피처들의 적절한 예들은, 최상부, 2개의 측벽들 및 최하부를 갖는 트렌치들, 최상부 및 2개의 측벽들을 갖는 피크들을 포함한다(그러나 이에 제한되지 않음). 피처들은 임의의 적절한 종횡비(피처의 깊이 대 피처의 폭의 비율)를 가질 수 있다. 일부 실시예들에서, 종횡비는 대략 5:1, 10:1, 15:1, 20:1, 25:1, 30:1, 35:1 또는 40:1과 동일하거나 또는 그 초과이다.
[0017] 기판(100)은 기판 표면(120)을 갖는다. 적어도 하나의 피처(110)는 기판 표면(120)에 개구를 형성한다. 피처(110)는 기판 표면(120)으로부터 최하부 표면(112)으로 깊이(D)까지 연장된다. 피처(110)는 제1 측벽(114) 및 제2 측벽(116)을 가지며, 제1 측벽(114)과 제2 측벽(116)은 피처(110)의 폭(W)을 정의한다. 측벽들과 최하부에 의해 형성되는 개방 영역은 또한, 갭으로 지칭된다.
[0018] 본 개시내용의 하나 또는 그 초과의 실시예들은, 기판 표면 ― 기판 표면은 기판 표면 상에 적어도 하나의 피처를 가짐 ― 이 제공되는 프로세싱 방법들에 관한 것이다. 이와 관련하여 사용되는 바와 같이, "제공되는"이라는 용어는, 기판이 추가의 프로세싱을 위한 포지션 또는 환경에 배치되는 것을 의미한다.
[0019] 도 2에 도시된 바와 같이, 기판 표면(120) 상에, 그리고 적어도 하나의 피처(110)의 제1 측벽(114), 제2 측벽(116) 및 최하부 표면(112) 상에 유동가능 막(150)이 형성된다. 유동가능 막(150)은, 실질적으로 어떤 시임도 형성되지 않게 적어도 하나의 피처(110)를 충전한다. 시임은 피처(110) 내에서, 피처(110)의 측벽들 사이에(그러나 반드시 피처(110)의 중간에 있지는 않음) 형성되는 갭이다. 이와 관련하여 사용되는 바와 같이, "실질적으로 어떤 시임도 없이"라는 용어는, 막 내에서 측벽들 사이에 형성되는 임의의 갭이 측벽의 단면적의 대략 1% 미만이라는 것을 의미한다.
[0020] 유동가능 막(150)은 임의의 적절한 프로세스에 의해 형성될 수 있다. 일부 실시예들에서, 유동가능 막을 형성하는 것은 플라즈마-강화 화학 기상 증착(PECVD)에 의해 수행된다. 달리 말하면, 유동가능 막은 플라즈마-강화 화학 기상 증착 프로세스에 의해 증착될 수 있다.
[0021] 일부 실시예들의 PECVD 프로세스는 기판 표면을 반응성 가스에 노출시키는 것을 포함한다. 반응성 가스는 하나 또는 그 초과의 종의 혼합물을 포함할 수 있다. 예컨대, 반응성 가스는 실리콘 전구체 및 플라즈마 가스를 포함할 수 있다. 플라즈마 가스는, 점화되어 플라즈마를 형성할 수 있고 그리고/또는 전구체에 대한 캐리어 또는 희석제로서 작용할 수 있는 임의의 적절한 가스일 수 있다.
[0022] 일부 실시예들에서, 실리콘 전구체는, 폴리실리콘 종으로 또한 지칭되는 더 고차의 실란을 포함하며, 폴리실리콘 전구체로 지칭된다. 일부 실시예들의 폴리실리콘 전구체는 디실란, 트리실란, 테트라실란, 네오펜타실란 및/또는 시클로헥사실란 중 하나 또는 그 초과를 포함한다. 하나 또는 그 초과의 실시예들에서, 폴리실리콘 전구체는 테트라실란을 포함한다. 일부 실시예들에서, 폴리실리콘 전구체는 본질적으로 테트라실란으로 이루어진다. 이와 관련하여 사용되는 바와 같이, "본질적으로 ~으로 이루어진"이라는 용어는, 반응성 가스의 실리콘 종이, 몰(molar) 기준으로, 지정된 종의 대략 95% 또는 그 초과로 구성되는 것을 의미한다. 예컨대, 본질적으로 테트라실란으로 이루어진 폴리실리콘 전구체는, 반응성 가스의 실리콘 종이, 몰 기준으로 대략 95%와 동일한 또는 그 초과의 테트라실리콘이라는 것을 의미한다.
[0023] 일부 실시예들에서, 플라즈마 가스는 He, Ar, H2, Kr, N2, O2, O3 또는 NH3 중 하나 또는 그 초과를 포함한다. 일부 실시예들의 플라즈마 가스는 반응성 가스의 반응성 종(예컨대, 폴리실리콘 종)에 대한 희석제 또는 캐리어 가스로서 사용된다.
[0024] 플라즈마는 프로세싱 챔버 내에서 생성 또는 점화될 수 있거나(예컨대, 직접 플라즈마) 또는 프로세싱 챔버 외부에서 생성되어 프로세싱 챔버 내로 유동될 수 있다(예컨대, 원격 플라즈마). 플라즈마 전력은, 실란들로의 폴리실리콘 종의 환원을 방지하고 그리고/또는 막 내의 헤이즈 형성을 최소화하거나 방지하기에 충분히 낮은 전력으로 유지될 수 있다. 일부 실시예들에서, 플라즈마 전력은 대략 300 W와 동일하거나 또는 그 미만이다. 하나 또는 그 초과의 실시예들에서, 플라즈마 전력은 대략 250 W, 200 W, 150 W, 100 W, 50 W 또는 25 W와 동일하거나 또는 그 미만이다.
[0025] 유동가능 막(150)은 임의의 적절한 온도에서 형성될 수 있다. 일부 실시예들에서, 유동가능 막(150)은 대략 -20℃ 내지 대략 100℃의 범위의 온도에서 형성된다. 온도는, 형성되는 디바이스의 열 버짓(thermal budget)을 보존하기 위해 낮게 유지될 수 있다. 일부 실시예들에서, 유동가능 막을 형성하는 것은 대략 100℃, 90℃, 80℃, 70℃, 60℃, 50℃, 40℃, 30℃, 20℃, 10℃ 또는 0℃ 미만의 온도에서 발생한다.
[0026] 유동가능 막의 조성은 반응성 가스의 조성을 변화시킴으로써 조정될 수 있다. 일부 실시예들에서, 유동가능 막은 SiN, SiO, SiC, SiOC, SiON, SiCON 중 하나 또는 그 초과를 포함한다. 산소 함유 막을 형성하기 위해, 반응성 가스는, 예컨대 산소, 오존 또는 물 중 하나 또는 그 초과를 포함할 수 있다. 질소 함유 막을 형성하기 위해, 반응성 가스는, 예컨대 암모니아, 히드라진, NO2 또는 N2 중 하나 또는 그 초과를 포함할 수 있다. 탄소 함유 막을 형성하기 위해, 반응성 가스는, 예컨대 프로필렌 및 아세틸렌 중 하나 또는 그 초과를 포함할 수 있다. 당업자들은, 유동가능 막의 조성을 변화시키기 위해, 다른 종 또는 다른 종의 조합들이 반응성 가스 혼합물에 포함될 수 있다는 것을 이해할 것이다.
[0027] 일부 실시예들에서, 유동가능 막은 금속 실리사이드를 포함한다. 반응성 가스 혼합물은, 예컨대 텅스텐, 탄탈룸 또는 니켈 중 하나 또는 그 초과를 포함하는 전구체를 포함할 수 있다. 유동가능 막의 조성을 변화시키기 위해 다른 금속 전구체들이 포함될 수 있다.
[0028] 유동가능 막(150)의 형성 후에, 유동가능 막은, 유동가능 막을 고체화하고 그리고 실질적으로 무-시임 갭충전을 형성하도록 경화된다. 일부 실시예들에서, 유동가능 막은 유동가능 막을 UV 경화 프로세스에 노출시킴으로써 경화된다. UV 경화 프로세스는 대략 10℃ 내지 대략 550℃의 범위의 온도에서 발생할 수 있다. UV 경화 프로세스는 유동가능 막을 충분히 고체화하는 데 필요한 임의의 적절한 시간 프레임 동안 발생할 수 있다. 일부 실시예들에서, UV 경화는 대략 10분, 9분, 8분, 7분, 6분, 5분, 4분, 3분, 2분 또는 1분과 동일하게 또는 그 미만 동안 발생한다.
[0029] 일부 실시예들에서, 유동가능 막을 경화시키는 것은 플라즈마 또는 전자 빔에 대한 노출을 포함한다. 막을 경화시키기 위한 플라즈마 노출은 PECVD 플라즈마와 별개의 플라즈마를 포함한다. 플라즈마 종 및 프로세싱 챔버는 동일할 수 있지만, 플라즈마 경화는 PECVD 프로세스와는 상이한 단계이다.
[0030] 본 개시내용의 일부 실시예들은, 수소 함량이 낮은 경화된 갭충전 막들을 제공한다. 일부 실시예들에서, 막을 경화시킨 후에, 갭충전 막은 대략 10 원자 퍼센트와 동일한 또는 그 미만의 수소 함량을 갖는다. 일부 실시예들에서, 경화된 막은 대략 5 원자 퍼센트와 동일한 또는 그 미만의 수소 함량을 갖는다.
[0031] 하나 또는 그 초과의 실시예들에 따르면, 기판은, 층을 형성하기 전에 그리고/또는 층을 형성한 후에, 프로세싱된다. 이러한 프로세싱은, 동일한 챔버 내에서, 또는 하나 또는 그 초과의 별개의 프로세싱 챔버들 내에서 수행될 수 있다. 일부 실시예들에서, 기판은, 추가의 프로세싱을 위해, 제1 챔버로부터 별개의 제2 챔버로 이동된다. 기판은 제1 챔버로부터 별개의 프로세싱 챔버로 직접적으로 이동될 수 있거나, 또는 기판은 제1 챔버로부터 하나 또는 그 초과의 이송 챔버들로 이동되고, 그런 다음 별개의 프로세싱 챔버로 이동될 수 있다. 따라서, 프로세싱 장치는 이송 스테이션과 연통하는 다수의 챔버들을 포함할 수 있다. 이러한 종류의 장치는 "클러스터 툴" 또는 "클러스터링된 시스템" 등으로 지칭될 수 있다.
[0032] 일반적으로, 클러스터 툴은, 기판 중심-발견 및 배향, 탈기(degassing), 어닐링, 증착, 및/또는 에칭을 포함한 다양한 기능들을 수행하는 다수의 챔버들을 포함하는 모듈식 시스템이다. 하나 또는 그 초과의 실시예들에 따르면, 클러스터 툴은, 적어도 제1 챔버 및 중앙 이송 챔버를 포함한다. 중앙 이송 챔버는, 로드 록 챔버들과 프로세싱 챔버들 사이에서 그리고 이들 간에서 기판들을 셔틀링할 수 있는 로봇을 하우징할 수 있다. 이송 챔버는 통상적으로 진공 컨디션으로 유지되며, 기판들을, 하나의 챔버로부터 다른 챔버로, 그리고/또는 클러스터 툴의 프론트 엔드에 포지셔닝된 로드 록 챔버로 셔틀링하기 위한 중간 스테이지를 제공한다. 본 발명에 대해 적응될 수 있는 2개의 잘-알려진 클러스터 툴들은 Centura® 및 Endura®이고, 이들 둘 모두는, 캘리포니아 산타클라라의 Applied Materials, Inc.로부터 입수가능하다. 그러나, 챔버들의 정확한 배열 및 조합은, 본원에서 설명되는 바와 같은 프로세스의 특정 단계들을 수행하는 목적들을 위해 변경될 수 있다. 사용될 수 있는 다른 프로세싱 챔버들은, 주기적 층 증착(CLD; cyclical layer deposition), 원자 층 증착(ALD; atomic layer deposition), 화학 기상 증착(CVD; chemical vapor deposition), 물리 기상 증착(PVD; physical vapor deposition), 에칭, 예비-세정, 화학 세정, 열 처리, 이를테면, RTP, 플라즈마 질화(plasma nitridation), 탈기, 배향, 히드록실화(hydroxylation), 및 다른 기판 프로세스들을 포함한다(그러나 이에 제한되지 않음). 클러스터 툴 상의 챔버 내에서 프로세스들을 수행함으로써, 대기 불순물들에 의한 기판의 표면 오염이, 후속 막을 증착하기 이전에 산화 없이, 회피될 수 있다.
[0033] 하나 또는 그 초과의 실시예들에 따르면, 기판은 지속적으로 진공 또는 "로드 록" 컨디션들 하에 있고, 하나의 챔버로부터 다음 챔버로 이동되는 경우에, 주변 공기에 노출되지 않는다. 따라서, 이송 챔버들은 진공 하에 있고, 진공 압력 하에서 "펌핑 다운(pump down)"된다. 불활성 가스들이 프로세싱 챔버들 또는 이송 챔버들에 존재할 수 있다. 일부 실시예들에서, 불활성 가스는 반응물들의 일부 또는 전부를 제거하기 위한 퍼지 가스로서 사용된다. 하나 또는 그 초과의 실시예들에 따르면, 퍼지 가스는, 반응물들이 증착 챔버로부터 이송 챔버로 그리고/또는 추가의 프로세싱 챔버로 이동하는 것을 방지하기 위해, 증착 챔버의 출구에서 주입된다. 따라서, 불활성 가스의 유동은 챔버의 출구에서 커튼을 형성한다.
[0034] 기판은 단일 기판 증착 챔버들 내에서 프로세싱될 수 있으며, 여기서, 단일 기판이 로딩되고, 프로세싱되고, 그리고 다른 기판이 프로세싱되기 전에 언로딩된다. 기판은 또한, 컨베이어 시스템과 유사하게 연속적인 방식으로 프로세싱될 수 있으며, 여기서, 다수의 기판이 챔버의 제1 부분 내로 개별적으로 로딩되고, 챔버를 통해 이동되고, 그리고 챔버의 제2 부분으로부터 언로딩된다. 챔버 및 연관된 컨베이어 시스템의 형상은 직선 경로 또는 곡선 경로를 형성할 수 있다. 부가적으로, 프로세싱 챔버는 캐러셀일 수 있으며, 여기서, 다수의 기판들이 중심 축을 중심으로 이동되고 그리고 캐러셀 경로 전체에 걸쳐 증착, 에칭, 어닐링, 세정 등의 프로세스들에 노출된다.
[0035] 프로세싱 동안, 기판은 가열 또는 냉각될 수 있다. 이러한 가열 또는 냉각은, 기판 지지부의 온도를 변화시키는 것, 및 가열된 또는 냉각된 가스들을 기판 표면으로 유동시키는 것을 포함하는(그러나 이에 제한되지 않음) 임의의 적절한 수단에 의해 달성될 수 있다. 일부 실시예들에서, 기판 지지부는, 기판 온도를 전도성으로 변화시키도록 제어될 수 있는 가열기/냉각기를 포함한다. 하나 또는 그 초과의 실시예들에서, 이용되는 가스들(반응성 가스들 또는 불활성 가스들)은, 기판 온도를 국부적으로 변화시키도록 가열 또는 냉각된다. 일부 실시예들에서, 가열기/냉각기는, 기판 온도를 대류성으로 변화시키기 위해, 챔버 내에서 기판 표면 근처에 포지셔닝된다.
[0036] 기판은 또한, 프로세싱 동안에, 정지되어 있거나 또는 회전될 수 있다. 회전되는 기판은, 연속적으로 또는 개별적인 단계별로 회전될 수 있다. 예컨대, 기판은 전체 프로세스 전반에 걸쳐 회전될 수 있거나, 또는 기판은 상이한 반응성 또는 퍼지 가스들에 대한 노출들 사이에서 소량만큼 회전될 수 있다. (연속적으로 또는 단계별로) 프로세싱 동안에 기판을 회전시키는 것은, 예컨대, 가스 유동 기하형상들에서의 국부적인 변동성의 영향을 최소화함으로써, 더 균일한 증착 또는 에칭을 생성하는 것을 도울 수 있다.
[0037] 본 명세서 전반에 걸쳐 "일 실시예", "특정 실시예들", "하나 또는 그 초과의 실시예들" 또는 "실시예"에 대한 언급은, 실시예와 관련하여 설명되는 특정 피처, 구조, 재료, 또는 특징이 본 발명의 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전반에 걸쳐 다양한 위치들에서의 "하나 또는 그 초과의 실시예들에서", "특정 실시예들에서", "일 실시예에서" 또는 "실시예에서"와 같은 문구들의 출현들은 반드시 본 발명의 동일한 실시예를 지칭하는 것은 아니다. 게다가, 특정 피처들, 구조들, 재료들, 또는 특징들은 하나 또는 그 초과의 실시예들에서 임의의 적절한 방식으로 조합될 수 있다.
[0038] 본원의 본 발명이 특정 실시예들을 참조하여 설명되었지만, 이러한 실시예들은 단지 본 발명의 원리들 및 애플리케이션들을 예시하는 것임을 이해해야 한다. 본 발명의 사상 및 범위를 벗어나지 않으면서 본 발명의 방법 및 장치에 대해 다양한 수정들 및 변형들이 이루어질 수 있음이 당업자들에게 자명할 것이다. 따라서, 본 발명은 첨부된 청구항들 및 그 등가물들의 범위 내에 있는 수정들 및 변형들을 포함하는 것으로 의도된다.

Claims (15)

  1. 프로세싱 방법으로서,
    기판 표면을 제공하는 단계 ― 상기 기판 표면은 상기 기판 표면 상에 적어도 하나의 피처(feature)를 갖고, 상기 적어도 하나의 피처는 상기 기판 표면으로부터 최하부 표면까지의 깊이로 연장되고, 상기 적어도 하나의 피처는, 제1 측벽 및 제2 측벽에 의해 정의되는 폭을 가짐 ―;
    상기 기판 표면 상에, 그리고 상기 적어도 하나의 피처의 제1 측벽, 제2 측벽 및 최하부 표면 상에 유동가능 막(flowable film)을 형성하는 단계 ― 상기 유동가능 막은, 실질적으로 어떤 시임(seam)도 형성되지 않게 상기 피처를 충전(filling)함 ―; 및
    상기 유동가능 막을 고체화하고 그리고 실질적으로 무-시임 갭충전(seam-free gapfill)을 형성하도록 상기 유동가능 막을 경화시키는 단계를 포함하는,
    프로세싱 방법.
  2. 제1 항에 있어서,
    상기 유동가능 막을 형성하는 단계는 플라즈마-강화 화학 기상 증착(PECVD; plasma-enhanced chemical vapor deposition)에 의해 수행되는,
    프로세싱 방법.
  3. 제2 항에 있어서,
    상기 PECVD는 플라즈마 가스를 포함하는 플라즈마 및 폴리실리콘 전구체를 포함하는,
    프로세싱 방법.
  4. 제3 항에 있어서,
    상기 폴리실리콘 전구체는 디실란, 트리실란, 테트라실란, 네오펜타실란 또는 시클로헥사실란 중 하나 또는 그 초과를 포함하는,
    프로세싱 방법.
  5. 제3 항에 있어서,
    상기 플라즈마 가스는 He, Ar, Kr, H2, N2, O2, O3 또는 NH3 중 하나 또는 그 초과를 포함하는,
    프로세싱 방법.
  6. 제5 항에 있어서,
    상기 플라즈마는 대략 300 W 미만의 전력을 갖는,
    프로세싱 방법.
  7. 제5 항에 있어서,
    상기 플라즈마는 직접 플라즈마(direct plasma)인,
    프로세싱 방법.
  8. 제1 항에 있어서,
    상기 유동가능 막을 형성하는 단계는 대략 100℃ 미만의 온도에서 발생하는,
    프로세싱 방법.
  9. 제1 항에 있어서,
    상기 유동가능 막을 경화시키는 단계는 UV 경화를 포함하는,
    프로세싱 방법.
  10. 제9 항에 있어서,
    상기 UV 경화는 대략 10℃ 내지 대략 550℃의 범위의 온도에서 발생하는,
    프로세싱 방법.
  11. 제1 항에 있어서,
    상기 유동가능 막을 경화시키는 단계는 상기 유동가능 막을 전자 빔 및/또는 PECVD 플라즈마와는 별개의 플라즈마에 노출시키는 단계를 포함하는,
    프로세싱 방법.
  12. 제3 항에 있어서,
    상기 유동가능 막은 SiN, SiO, SiC, SiOC, SiON, SiCON 중 하나 또는 그 초과를 포함하는,
    프로세싱 방법.
  13. 제12 항에 있어서,
    상기 PECVD는 프로필렌, 아세틸렌, 암모니아, 산소, 오존 또는 물 중 하나 또는 그 초과를 더 포함하는,
    프로세싱 방법.
  14. 제3 항에 있어서,
    상기 유동가능 막은 금속 실리사이드를 포함하는,
    프로세싱 방법.
  15. 제14 항에 있어서,
    상기 PECVD는 텅스텐, 탄탈룸 및/또는 니켈 전구체들 중 하나 또는 그 초과를 더 포함하는,
    프로세싱 방법.
KR1020197001979A 2016-06-25 2017-06-22 갭충전 애플리케이션들을 위한 유동가능 비정질 실리콘 막들 KR20190011817A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662354743P 2016-06-25 2016-06-25
US62/354,743 2016-06-25
PCT/US2017/038770 WO2017223323A1 (en) 2016-06-25 2017-06-22 Flowable amorphous silicon films for gapfill applications

Publications (1)

Publication Number Publication Date
KR20190011817A true KR20190011817A (ko) 2019-02-07

Family

ID=60677206

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197001979A KR20190011817A (ko) 2016-06-25 2017-06-22 갭충전 애플리케이션들을 위한 유동가능 비정질 실리콘 막들

Country Status (4)

Country Link
US (1) US20170372919A1 (ko)
KR (1) KR20190011817A (ko)
CN (1) CN109417048A (ko)
WO (1) WO2017223323A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11476419B2 (en) 2019-08-16 2022-10-18 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device including a low-k dielectric material layer

Families Citing this family (214)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
WO2019173624A1 (en) 2018-03-09 2019-09-12 Applied Materials, Inc. A method for si gap fill by pecvd
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US20210025058A1 (en) * 2018-04-03 2021-01-28 Applied Materials, Inc. Flowable film curing using h2 plasma
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
WO2020081367A1 (en) * 2018-10-19 2020-04-23 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
CN113966412A (zh) * 2019-06-08 2022-01-21 应用材料公司 可流动pecvd的低沉积速率
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP2021167466A (ja) * 2020-03-30 2021-10-21 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated バリア層のないインシトゥタングステン堆積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220298636A1 (en) * 2021-03-22 2022-09-22 Applied Materials, Inc. Methods and apparatus for processing a substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6926926B2 (en) * 2001-09-10 2005-08-09 Applied Materials, Inc. Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
US8980769B1 (en) * 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
JP4847072B2 (ja) * 2005-08-26 2011-12-28 本田技研工業株式会社 半導体集積回路装置およびその製造方法
KR100888186B1 (ko) * 2007-08-31 2009-03-10 주식회사 테스 절연막 형성 방법
US8557712B1 (en) * 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
KR20120060843A (ko) * 2009-08-14 2012-06-12 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 박막 증착용 실란 블렌드
US8466067B2 (en) * 2009-10-05 2013-06-18 Applied Materials, Inc. Post-planarization densification
WO2011072143A2 (en) * 2009-12-09 2011-06-16 Novellus Systems, Inc. Novel gap fill integration
US9719169B2 (en) * 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US20130309856A1 (en) * 2012-05-15 2013-11-21 International Business Machines Corporation Etch resistant barrier for replacement gate integration
US10325773B2 (en) * 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) * 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9514932B2 (en) * 2012-08-08 2016-12-06 Applied Materials, Inc. Flowable carbon for semiconductor processing
US9018108B2 (en) * 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9847222B2 (en) * 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
DE102013020518A1 (de) * 2013-12-11 2015-06-11 Forschungszentrum Jülich GmbH Fachbereich Patente Verfahren und Vorrichtung zur Polymerisation einer Zusammensetzung enthaltend Hydridosilane und anschließenden Verwendung der Polymerisate zur Herstellung von siliziumhaltigen Schichten
KR102332415B1 (ko) * 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법
US9914995B2 (en) * 2014-11-21 2018-03-13 Applied Materials, Inc. Alcohol assisted ALD film deposition
US9896326B2 (en) * 2014-12-22 2018-02-20 Applied Materials, Inc. FCVD line bending resolution by deposition modulation
US20160314964A1 (en) * 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9735005B1 (en) * 2016-03-11 2017-08-15 International Business Machines Corporation Robust high performance low hydrogen silicon carbon nitride (SiCNH) dielectrics for nano electronic devices

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11476419B2 (en) 2019-08-16 2022-10-18 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device including a low-k dielectric material layer

Also Published As

Publication number Publication date
WO2017223323A1 (en) 2017-12-28
US20170372919A1 (en) 2017-12-28
CN109417048A (zh) 2019-03-01

Similar Documents

Publication Publication Date Title
US20170372919A1 (en) Flowable Amorphous Silicon Films For Gapfill Applications
US11011384B2 (en) Gapfill using reactive anneal
US11515149B2 (en) Deposition of flowable silicon-containing films
US10580642B2 (en) Two-step process for silicon gapfill
US10319624B2 (en) Oxidative volumetric expansion of metals and metal containing compounds
US10615050B2 (en) Methods for gapfill in high aspect ratio structures
US20140273529A1 (en) PEALD of Films Comprising Silicon Nitride
US20170271196A1 (en) Methods For Gapfill In High Aspect Ratio Structures
US11578409B2 (en) Low deposition rates for flowable PECVD
US11367614B2 (en) Surface roughness for flowable CVD film
US20210013038A1 (en) Methods of Forming Tungsten Pillars

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E601 Decision to refuse application