CN113195786A - 用于间隙填充的远程氢等离子体暴露以及掺杂或未掺杂硅碳化物沉积 - Google Patents

用于间隙填充的远程氢等离子体暴露以及掺杂或未掺杂硅碳化物沉积 Download PDF

Info

Publication number
CN113195786A
CN113195786A CN201980084273.XA CN201980084273A CN113195786A CN 113195786 A CN113195786 A CN 113195786A CN 201980084273 A CN201980084273 A CN 201980084273A CN 113195786 A CN113195786 A CN 113195786A
Authority
CN
China
Prior art keywords
sic
film
remote
thickness
features
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980084273.XA
Other languages
English (en)
Inventor
袁光璧
耶瓦·纳克维丘特
龚波
巴德里·N·瓦拉达拉简
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN113195786A publication Critical patent/CN113195786A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

掺杂或未掺杂硅碳化物(SiCxOyNz)膜可沉积于衬底的一或更多个特征中以用于间隙填充。该掺杂或未掺杂硅碳化物膜的第一厚度沉积于该一或更多个特征中之后,在使该一或更多个特征中的每一特征的顶表面附近的开口的尺寸增大的条件下,将该掺杂或未掺杂硅碳化物膜暴露于远程氢等离子体,其中该条件可通过控制处理时间、处理频率、处理功率、和/或远程等离子体气体组成来控制。重复沉积硅碳化物膜的额外厚度且执行远程氢等离子体处理的操作,以至少基本上填充该一或更多个特征。可于沉积与等离子体处理之间引入各种时间间隔,以调整间隙填充性能。

Description

用于间隙填充的远程氢等离子体暴露以及掺杂或未掺杂硅碳 化物沉积
通过引用并入
PCT申请表作为本申请的一部分与本说明书同时提交。如在同时提交的PCT申请表中所标识的本申请要求享有其权益或优先权的每个申请均通过引用全文并入本文且用于所有目的。
背景技术
装置(例如半导体装置)的制造可能涉及诸多介电、导电或半导电膜沉积于衬底的凹入特征中。存在用于填充这种特征的多种技术,但随着装置缩小且特征变得更小,无空隙或接缝的特征填充变得越来越有挑战性。
这里提供的背景描述是为了总体呈现本公开的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。
发明内容
本发明提供了一种在衬底的一或更多个特征中沉积掺杂或未掺杂硅碳化物(SiCxOyNz)膜的方法。所述方法包括:在所述衬底的所述一或更多个特征中沉积所述SiCxOyNz膜的第一厚度;在使所述一或更多个特征中的每一特征的顶表面附近的开口的尺寸增大的条件下,将所述SiCxOyNz膜暴露于远程氢等离子体;以及在所述SiCxOyNz膜的所述第一厚度上沉积所述SiCxOyNz膜的第二厚度,其中x的值大于零,y的值等于或大于零,且z的值等于或大于零。
在一些实现方式中,所述的方法还包括:重复将所述SiCxOyNz膜暴露于所述远程氢等离子体并且在所述一或更多个特征中沉积所述SiCxOyNz膜的新厚度的操作,直到所述一或更多个特征被基本上填充。在一些实现方式中,所述远程氢等离子体的所述条件包括处理时间、处理频率、处理功率、和/或远程等离子体气体组成,其中控制所述处理时间、所述处理频率、所述处理功率、和/或所述远程等离子体气体组成,使得所述一或更多个特征中的每一特征的所述顶表面附近的所述开口的尺寸比所述一或更多个特征中的每一特征的底表面附近的开口的尺寸增大较多。暴露于所述远程氢等离子体的所述处理时间可以介于约0.5秒与约120秒之间。所述处理频率可以为沉积所述SiCxOyNz膜并且将所述SiCxOyNz膜暴露于远程氢等离子体的每一循环为
Figure BDA0003120890400000021
或更小的所述SiCxOyNz膜。所述远程氢等离子体的所述远程等离子体气体组成可以包括具有介于约10%与约50%体积百分比之间的氢浓度的所述远程氢等离子体。在一些实现方式中,所述第一厚度和所述第二厚度中的每一者介于约
Figure BDA0003120890400000022
与约
Figure BDA0003120890400000023
之间。在一些实现方式中,沉积所述SiCxOyNz膜的所述第一厚度包括:使一或更多种含硅前体流入反应室;以及将从远程等离子体源所产生的一或更多种氢自由基引入所述反应室中并且朝向所述反应室中的所述衬底,其中所述一或更多种氢自由基与所述一或更多种含硅前体反应,以沉积所述SiCxOyNz膜的所述第一厚度。在一些实现方式中,所述氢自由基中的至少90%为处于基态的氢自由基。在一些实现方式中,所述远程氢等离子体的所述条件使所述一或更多个特征中的每一特征的所述顶表面附近的所述开口的尺寸增大至少约5%。在一些实现方式中,当所述SiCxOyNz膜的所述第一厚度的碳的原子浓度介于约10%与约30%之间时,所述远程氢等离子体的所述条件使所述一或更多个特征中的每一特征的所述顶表面附近的所述开口的尺寸增大。在一些实现方式中,沉积所述SiCxOyNz膜的所述第一厚度并且将所述SiCxOyNz膜暴露于所述远程氢等离子体的操作是在未导致真空破坏的情况下进行。在一些实现方式中,所述方法还包括:在沉积所述SiCxOyNz膜的所述第一厚度与将所述SiCxOyNz膜的所述第一厚度暴露于所述远程氢等离子体之间引入时间间隔,以便调整间隙填充性能。
另一方面涉及一种设备。该设备包括:反应室;衬底支撑件,其用于支撑所述反应室中的衬底,所述衬底具有一或更多个特征;以及控制器。该控制器配置有用于执行以下操作的指令:在所述衬底的所述一或更多个特征中沉积掺杂或未掺杂硅碳化物(SiCxOyNz)膜的第一厚度;在使所述一或更多个特征中的每一特征的顶表面附近的开口的尺寸增大的条件下,将所述SiCxOyNz膜暴露于远程氢等离子体;在所述SiCxOyNz膜的所述第一厚度上沉积所述SiCxOyNz膜的第二厚度,其中x的值大于零,y的值等于或大于零,且z的值等于或大于零。
在一些实现方式中,所述控制器进一步配置有用于执行以下操作的指令:重复将所述SiCxOyNz膜暴露于所述远程氢等离子体并且在所述一或更多个特征中沉积所述SiCxOyNz膜的新厚度的操作,直到所述一或更多个特征被基本上填充。在一些实现方式中,所述远程氢等离子体的所述条件包括处理时间、处理频率、处理功率、和/或远程等离子体气体组成,其中控制所述处理时间、所述处理频率、所述处理功率、和/或远程等离子体气体组成,使得所述一或更多个特征中的每一特征的所述顶表面附近的所述开口的尺寸比所述一或更多个特征中的每一特征的底表面附近的开口的尺寸增大较多。所述远程氢等离子体的所述远程等离子体气体组成可以包括具有介于约10%与约50%体积百分比之间的氢浓度的所述远程氢等离子体。在一些实现方式中,所述第一厚度和所述第二厚度中的每一者等于或小于约
Figure BDA0003120890400000031
在一些实现方式中,所述控制器进一步配置有用于执行以下操作的指令:在沉积所述SiCxOyNz膜的所述第一厚度与将所述SiCxOyNz膜的所述第一厚度暴露于所述远程氢等离子体之间引入时间间隔,以便调整间隙填充性能。
这些和其它实施方案将参考附图在下面进一步进行描述。
附图说明
图1示出了衬底的示例性特征的横截面示意图。
图2A-2C为利用沉积-蚀刻-沉积处理进行间隙填充的示例性衬底的特征的横截面示意图。
图3A-3C为利用抑制化学过程进行间隙填充的示例性衬底的特征的横截面示意图。
图4示出根据一些实施方案的示例性衬底中的不同间隙填充材料的横截面示意图。
图5A-5C为根据一些实施方案利用远程等离子体化学气相沉积以及远程氢等离子体暴露操作进行间隙填充的示例性衬底的特征的横截面示意图。
图6示出了根据一些实施方案的具有远程等离子体源的示例性等离子体处理设备的示意图。
图7示出了根据一些其他实施方案的具有远程等离子体源的示例性等离子体处理设备的示意图。
图8显示了根据一些实施方案沉积于衬底的多个特征中的SiCxOyNz膜的TEM图像。
图9显示了根据一些实施方案沉积于衬底的多个特征中的SiCxOyNz膜的TEM图像
具体实施方式
在本公开中,术语“半导体晶片”、“晶片”、“衬底”、“晶片衬底”和“部分制造的集成电路”可互换使用。本领域的普通技术人员应理解的是,术语“部分制造的集成电路”可以指集成电路制造的许多阶段中的任意阶段期间的硅晶片。在半导体器件工业中使用的晶片或衬底典型地具有200mm或300mm或450mm的直径。下面的详细描述假设本公开是在晶片上实现。然而,本公开并不受此限制。工件可以是各种形状、尺寸和材料。除了半导体晶片外,可利用本公开优点的其它工件还包括各种物品,如印刷电路板等。
衬底可以包括“特征”或“沟槽”。如本文所使用的“特征”可以指衬底的非平面结构,通常是半导体装置制造操作中被修饰的表面。也可称为“负特征”或“凹入特征”的特征的示例包括沟槽、孔、通孔、间隙、凹入区域等等。这些术语在本发明中可互换使用。特征的一示例为半导体衬底中或衬底上层中的孔或通孔。另一示例为衬底或层中的沟槽。特征通常具有深宽比(深度比上横向尺寸)。特征可以表征为狭窄和/或凹陷开口、特征内的收缩部、以及高深宽比中的一或更多者。具有高深宽比的特征可具有等于或大于约10:1、等于或大于约15:1、等于或大于约20:1、等于或大于约25:1、等于或大于约30:1、等于或大于约40:1、等于或大于约50:1、或等于或大于约100:1的深度比上横向尺寸的深宽比。在多种实施方案中,特征可具有底层,例如阻挡层或粘附层。底层的非限制性示例包括介电层和导电层,例如,硅氧化物、硅氮化物、未掺杂硅碳化物、氧掺杂硅碳化物、氮掺杂硅碳化物、金属氧化物、金属氮化物、金属碳化物以及金属层。
衬底的特征可以是多种类型。在一些实施方案中,特征可具有笔直的侧壁、正斜率的侧壁或负斜率的侧壁。在一些实施方案中,特征可具有侧壁形貌或侧壁粗糙度,其可因形成特征的蚀刻工艺而发生。在一些实施方案中,特征可具有特征顶部大于底部的特征开口,或者特征可具有特征底部大于顶部的特征开口。在一些实施方案中,特征可用材料部分填充或具有一或更多底层。例如前述实施方案中的任一者的特征的间隙填充(gapfill)可以取决于特征类型及轮廓。半导体制造工艺经常包括间隙填充工艺或介电间隙填充工艺。通常,使用化学气相沉积(CVD)和/或原子层沉积(ALD)方法以填充特征。然而,常规技术经常导致特征内形成不期望的接缝或空隙。在一些实施方案中,间隙填充中接缝和/或空隙的存在可能导致高电阻、污染、填充材料的损失、性能变差以及甚至装置失效。
随着特征的深宽比增大,CVD气相反应的质量输送限制可能导致“面包条(bread-loafing)”沉积效应,其于顶表面呈现较厚的沉积,而在凹入表面则为较薄的沉积,这导致特征开口的顶部在特征可完全填充之前即闭合。不同于CVD工艺,ALD工艺使用表面介导的沉积反应以逐层地沉积膜,且这种膜通常为保形的。虽然ALD可沉积高保形膜,但将膜沉积至高深宽比特征中仍是一项挑战。膜沿着侧壁的台阶覆盖率(step coverage)及均匀性取决于例如沉积前体、反应物离子和/或自由基的传送以及副产物。随着特征的横向尺寸减小或特征的深度增加,沉积前体和/或反应物质的传送及扩散在特征中变得越来越困难。因此,由于扩散限制,特征的顶部暴露于较多的前体和反应物质,而特征的底部则暴露于较少的前体和反应物质。这可能导致在高深宽比特征中形成接缝和/或空隙。
图1示出了衬底的示例性特征的横截面示意图。衬底100具有特征101,其使用常规CVD、等离子体增强CVD(PECVD)、ALD或等离子体增强ALD(PEALD)技术填充。接缝106形成于沿着特征101侧壁沉积的间隙填充材料102相遇处。如果使用ALD或PEALD技术,特征101顶部处的开口闭合,且分子传送变得逐渐困难,这导致接缝106形成于特征101顶部附近并在特征101中留下空隙108。如果使用CVD或PECVD技术,则间隙填充材料102将倾向于在特征101边缘处比沿着特征侧壁更快地积聚,使得特征101的顶部在特征101被填充之前即闭合且在接缝106处被“夹断(pinched)”,因而在特征101中留下空隙108。
除了形成空隙及接缝之外,沉积于特征内的膜还可能比沉积于特征顶部附近的膜具有不同且更加劣化的膜质量。不受任何理论的限制,这可能是因为到达特征底部的反应物质的数量及分布不同于顶部处并小于顶部处。在一些实施方案中,可通过蚀刻沉积膜且观察并比较特征顶部处、特征底部处以及特征侧壁处的蚀刻速率来评估膜质量。
为了改善CVD、PECVD、ALD或PEALD工艺中的间隙填充性能,一般是实施许多方法中的一者。
在一些实施方案中,可减慢CVD、PECVD、ALD或PEALD间隙填充的沉积速率。减慢沉积速率可微调间隙填充结构中的沉积轮廓并改善间隙填充性能。例如,在特征闭合之前,可在ALD或PEALD工艺中执行更多循环。此外或替代地,初始循环期间较长的持续时间还可允许前体和/或反应物质扩散到达特征的底部和侧壁。然而,减慢沉积速率以改善间隙填充会降低整体产量,且一般更适用于低深宽比的特征和/或具有大开口的特征。
在一些实施方案中,采用沉积-蚀刻-沉积(沉积、蚀刻、沉积)技术来填充特征。沉积-蚀刻-沉积技术涉及间隙填充材料的沉积,随后回蚀一些间隙填充材料以打开特征开口,然后重新沉积一些相同的间隙填充材料以完成间隙填充或促进间隙填充工艺。图2A-2C为利用沉积-蚀刻-沉积处理来进行间隙填充的示例性衬底的特征的横截面示意图。图2A示出了衬底200的特征201的示例,其中材料202a沉积于特征201中。材料202a可沿着特征201的顶表面、侧壁以及底表面保形地沉积。在一些实施方案中,材料202a可使用ALD或PEALD来沉积。典型的ALD循环可包括:(i)配料,以输送并吸附前体材料至衬底表面上,(ii)从室中清扫掉过量的前体材料,并将自限性单层留在衬底表面上,(iii)输送反应物材料以与吸附的前体材料反应,以及(iv)从室中清扫掉未反应的反应物材料或反应副产物。该配料步骤可以自限方式吸附前体材料,使得一旦活性位点被前体材料占据,几乎没有额外的前体材料将被吸附在衬底表面上。反应物材料可同样以自限或吸附限制的方式与前体材料反应。可视情况执行清扫步骤,以从室去除过量的前体材料、反应副产物和/或未反应的反应物材料,因而完成ALD循环。图2B示出衬底200的特征201的示例,其中材料202a被回蚀。例如,如图2B所示,材料202a可被回蚀并且导致锥形轮廓。因此,特征201顶部附近比起特征201底部附近有更多的材料202a被去除。在图2B中执行的蚀刻操作对沉积的材料202a进行了整形,使得更多材料可填入特征201中。当执行后续沉积操作以在特征201顶部附近沉积比特征201底部处更多的材料时,特征201可被填充,其中空隙较小或没有空隙。图2C示出了衬底200的特征201的示例,其中材料202b沉积于特征201中。材料202b包括来自图2A及2B的材料202a。如图2C所示,材料202b可在沉积及蚀刻操作之后基本上填充特征201。材料202b的沉积可能导致空隙203的形成,但通过用一或更多蚀刻操作中断沉积操作,空隙203会较小。虽然沉积-蚀刻-沉积技术可减少空隙和/或接缝的形成,但沉积-蚀刻-沉积期间的蚀刻操作可能蚀刻底层材料,这经常会导致装置不稳定及可能的装置失效。此外,沉积-蚀刻-沉积技术经常涉及多轮的沉积-蚀刻-沉积和/或沉积与蚀刻室之间多次的晶片转移,从而降低了总产量。
在一些实施方案中,可使用抑制化学过程,使得间隙填充材料以形貌上不同的方式在特征中生长或以其他方式形成。例如,抑制剂可与材料反应并形成钝化表面以抑制生长。衬底的表面在特征的场及上部区域中可较多地钝化,而随着到特征中的距离增加,则较少地钝化。如此一来,特征顶部处的沉积被选择性抑制,而特征较下部分中的沉积可以较少抑制或不受抑制地进行。因此,增强了自下而上的填充。图3A-3C为利用抑制化学进行间隙填充的示例性衬底的特征的横截面示意图。图3A示出衬底300的特征301的示例,其中特征301的至少场区域及上部区域暴露于反应物(其抑制间隙填充材料的沉积/生长)。该反应物与材料反应,以在衬底300上形成钝化层305。举例来说,可使用氮气(N2)或氨(NH3),以形成由氮化物制成的钝化层305。间隙填充材料,例如ALD二氧化硅(SiO2),在氮化物表面上以较慢的速率成核。在图3B中,间隙填充材料302a沉积于特征301中。间隙填充材料302a可沿着特征301的顶表面、侧壁以及底表面沉积。钝化层305选择性地抑制特征301场区域及上部区域中的间隙填充材料302a的沉积/生长,使得特征301的其他区域中的间隙填充材料302a的沉积/生长是在较少抑制或无抑制下进行。在图3C中,沉积间隙填充材料302b以基本上填充特征301。间隙填充材料302b的沉积可能导致空隙303的形成,但通过抑制化学过程促进特征301中由下而上的填充,空隙303会较小。尽管抑制化学过程会减少空隙和/或接缝的形成,但抑制化学过程仅限于某些类型的化学品及工艺。换言之,不同的工艺需要不同的抑制化学品。某些抑制化学品可能不适用于限制SiCxOyNz膜的沉积/生长、或不适用于使用特定沉积技术(例如,远程等离子体CVD)的SiCxOyNz膜的沉积/生长、或不适用于具有所期望的特性(例如,对氧化物及氮化物的高蚀刻选择性)的SiCxOyNz膜的沉积/生长。因此,间隙填充中的抑制化学过程的应用可能限于某些化学品、沉积技术以及膜特性。
本发明涉及使用远程等离子体CVD和远程等离子体暴露,以沉积用于间隙填充的掺杂或未掺杂硅碳化物膜。一或更多高深宽比特征是以掺杂或未掺杂的硅碳化物间隙填充材料填充或至少基本上填充。在一些实施方案中,掺杂或未掺杂的硅碳化物间隙填充材料是硅碳氧化物(SiCO)。在一些实施方案中,掺杂或未掺杂的硅碳化物间隙填充材料是硅碳氮化物(SiCN)。将包含有氢气的源气体提供至可使该源气体解离并产生处于激发能态的离子和自由基的远程等离子体源中。激发后,处于激发能态的自由基在反应室中弛豫(relax)至基本上低能态的自由基或基态的自由基。在反应室中提供一或更多种含硅前体,其中,一或更多种含硅前体中的键被基本上低能态的自由基或基态的自由基选择性地打断,以在一或更多种高深宽比特征中形成掺杂或未掺杂的硅碳化物间隙填充材料。间隙填充是通过交替的沉积和处理操作而发生,其中沉积操作包括通过远程等离子体CVD沉积一定厚度的掺杂或未掺杂硅碳化物间隙填充材料,且其中该处理操作包括将掺杂或未掺杂硅碳化物间隙填充材料暴露于远程氢等离子体。控制远程氢等离子体处理条件,以使每一高深宽比特征顶表面附近的开口尺寸在处理后增大。在一些情况下,每一高深宽比特征的顶表面附近的开口的尺寸在处理后比底表面附近的开口的尺寸增大得更多。在一些实施方案中,远程氢等离子体处理条件通过控制处理时间、处理频率、处理功率和/或远程等离子体气体组成来控制。可在等离子体沉积与等离子体处理之间引入多种时间间隔,以调控间隙填充性能。
硅碳化物膜经常用于半导体装置中。如本文所用的术语“硅碳化物”包括未掺杂或掺杂的硅碳化物,例如氧掺杂硅碳化物或硅碳氧化物(SiCO)、氮掺杂硅碳化物或硅碳氮化物(SiCN)、氮及氧掺杂硅碳化物或硅碳氮氧化物(SiOCN)。就大多数而言,掺杂的硅碳化物具有最多约50%原子的掺杂原子,无论这样的原子是氧、氮还是其他元素的原子。掺杂水平提供所期望的膜特性。如本文所用的提及“掺杂或未掺杂的硅碳化物”具体是指“SiCxOyNz”,其中x的值大于零,y的值等于或大于零,而z的值等于或大于零。
掺杂或未掺杂的硅碳化物膜可在其他应用中用作金属扩散阻挡层、蚀刻停止层、硬掩模层、用于源极与漏极植入的栅极间隔物、用于磁阻式随机存取存储器(MRAM)或电阻式随机存取存储器(RRAM)的封装阻挡层、以及气隙处的气密性扩散阻挡层。在一些实施方案中,掺杂或未掺杂的硅碳化物膜可用作晶体管装置的高深宽比特征中的间隙填充材料。
图4示出了根据一些实施方案的示例性衬底中的不同间隙填充材料的横截面示意图。半导体装置400可包括第一导电结构402和第二导电结构404。在一些实施方案中,半导体装置400是晶体管装置。间隔物420可将第一导电结构402与第二导电结构404隔开。第一间隙填充材料在第一导电结构402上方形成第一绝缘盖层412,第二间隙填充材料在第二导电结构404上方形成第二绝缘盖层414。第一绝缘盖层412可具有与第二绝缘盖层不同的蚀刻选择性。例如,第一绝缘盖层412的第一间隙填充材料在干式蚀刻或湿式蚀刻条件下相对于第二绝缘盖层414的第二间隙填充材料可具有至少7:1的蚀刻选择性。第一间隙填充材料可具有优异的电特性,包括高击穿电压及低漏电流。另外,第一间隙填充材料可具有低介电常数(low-k),其中第一间隙填充材料的有效介电常数约4.0或更低、约3.5或更低、约3.0或更低、或约2.5或更低。在一些实施方案中,第一间隙填充材料为通过本公开内容的远程等离子体CVD工艺所形成的SiCO。第二间隙填充材料可以是氮化物或氧化物,例如硅氮化物或硅氧化物。在一些实施方案中,第一导电结构402包括晶体管装置中的源极/漏极触点,而第二导电结构404包括晶体管装置中具有栅极电极层与栅极介电层的栅极堆叠层。使第一间隙填充材料为通过本公开内容的远程等离子体CVD工艺所形成的SiCO,不仅提供良好的电特性、低介电常数以及相对于其他间隙填充材料的高蚀刻选择性,且还提供良好的台阶覆盖率以及间隙填充性能,其未留下明显接缝和/或空隙。
衬底的特征可使用涉及远程等离子体CVD与远程氢等离子体暴露的交替操作的工艺,以填充或至少基本上填充掺杂或未掺杂的硅碳化物间隙填充材料。换言之,可通过远程等离子体CVD沉积一定厚度的掺杂或未掺杂硅碳化物间隙填充材料,随后进行受控的远程氢等离子体暴露,且可重复步骤直到特征被填充或至少基本上被填充。如本文中所使用的,基本上填充可以指特征被填充到至少98%(体积百分比)。
图5A-5C为根据一些实施方案使用远程等离子体化学气相沉积和远程氢等离子体暴露操作进行间隙填充的示例性衬底的特征的横截面示意图。图5A-5C所示的工艺500的操作500a-500c可包括额外、较少或不同的操作。图5A-5C中所示的工艺500的操作500a-500c可由图6和7中所述的等离子体处理设备中的任何一者执行。
在工艺500的操作500a中,第一厚度的SiCxOyNz膜506沉积于衬底502的特征504中。虽然衬底502在图5A-5C中仅显示一个特征504,但应理解,衬底502可具有一或更多个特征504。在一些实施方案中,SiCxOyNz膜506包括硅碳氧化物(SiCO)。SiCxOyNz膜506的第一厚度通过远程等离子体CVD工艺沉积。该远程等离子体CVD工艺在邻近衬底502有相对温和的条件下在衬底502的表面上沉积第一厚度的SiCxOyNz膜506。
沉积第一厚度的SiCxOyNz膜506包括使一或更多种含硅前体流入反应室,并将远程等离子体源所产生的一或更多种氢自由基引向反应室中的衬底502,其中该一或更多种氢自由基与该一或更多种含硅前体反应,以沉积第一厚度的SiCxOyNz膜506。该一或更多种含硅前体可包括具有一或更多个硅-氢(Si-H)键和/或硅-硅(Si-Si)键的含硅前体。在一些实施方案中,含硅前体可具有一或更多个硅-碳(Si-C)键。在一些实施方案中,含硅前体可具有一或更多个硅-氧(Si-O)键。在一些实施方案中,含硅前体可具有一或更多个硅-氮(Si-N)键。含硅前体的示例于下文进一步详细讨论。
在沉积工艺期间,Si-H键和/或Si-Si键断裂并充当反应位点,以在沉积SiCxOyNz膜506中的含硅前体之间形成键。断裂的键也可充当沉积期间或之后所进行的热处理期间用于交联的位点。反应位点处的键合以及交联可在最终的SiCxOyNz膜506中共同形成主骨架或基体。在一些实施方案中,相对温和的条件可保留或基本上保留SiCxOyNz膜506的刚沉积(as-deposited)层中存在的Si-C键及Si-O键和Si-N键(如果存在的话)。据此,邻近衬底502的反应条件提供了Si-H和/或Si-Si键的选择性断裂,例如,从断裂的Si-H键中提取氢,但反应条件不能提供从Si-O键中提取氧、从Si-N键中提取氮或从Si-C键中提取碳。然而,引入共反应物(例如氧)可从Si-C键中提取碳。一般而言,该所述的反应条件是存在于衬底502的暴露面(沉积SiCxOyNz膜506的面)。它们可进一步存在于衬底502上方某个距离处,例如,衬底502上方约0.5微米至约150毫米。实际上,含硅前体的活化可在气相中发生在衬底502上方相当大距离处。通常,相关的反应条件在衬底502的整个暴露面上将是均匀的或基本上均匀的,但是某些应用可允许一些变化。
除了含硅前体之外,邻近衬底502的环境还包括一或更多种处于基本上低能态或基态的自由基。该一或更多种自由基可包括一或更多种氢自由基,其也可称为氢原子自由基或氢自由基物质。在一些实施方案中,邻近衬底502的全部或基本上全部或大部分的氢自由基处于基态,例如,邻近衬底502的氢自由基中至少有约90%或95%处于基态。举例而言,可以在远程等离子体源中的惰性载气(例如氦气)中提供氢气(H2)。氢自由基于远程等离子体源中产生并引入反应室。一旦在远程等离子体源中产生,氢自由基便处于激发能态。例如,处于激发能态的氢可具有至少10.2eV的能量(第一激发态)。激发的氢自由基可能导致含硅前体发生非选择性分解,容易打断Si-H、Si-Si、Si-N、Si-O和Si-C键,其可改变SiCxOyNz膜506的组成或物理或电特性。这可能导致膜具有高介电常数、低击穿电压、高漏电流以及较差的保形性。控制工艺条件,以使氢自由基在遇到衬底502时失去其能量或弛豫而未发生复合。控制工艺条件,以使氢自由基在邻近衬底502的环境中处于基本上低能态或基态,其中处于基本上低能态或基态的氢自由基能够选择性地打断Si-H及Si-Si键,且通常保留了Si-O、Si-N以及Si-C键。例如,可设计等离子体处理设备或相关部件,使得从远程等离子体源扩散至衬底502的氢自由基的停留时间大于被激发的氢原子自由基的能量弛豫时间。图6和7所示的等离子体处理设备可配置成用于产生温和状态,其中邻近衬底502的环境中的大部分氢自由基处于基态。
氢自由基的源气体可与其他物质(包括载气)一起输送。含硅前体可与其他物质(包括载气)一起输送。示例性的载气包括但不限于氩(Ar)、氦(He)、氖(Ne)、氪(Kr)和氙(Xe)。载气的浓度可显著大于源气体的浓度。如本文所使用的,关于相对于源气体的载气的浓度“显著大于”可指至少三倍大的体积百分比。举例来说,氢气可以以约1-50%氢的浓度提供于氦载气中。载气的存在可助于增加源气体的离子化并减少复合。虽然较低的压强通常有助于增加源气体的离子化并减少复合,但载气的存在可提供相同作用。如此一来,即使在较高的压强下,当载气(例如氦)与源气体一起流动时,便可产生大量自由基且将复合降至最低。沉积期间反应室中较高的压强可改善SiCxOyNz膜506的保形性。反应室中较高的压强可对应于大于约3托(Torr)或大于约5托的压强,例如约7托。
在一些实施方案中,引入含硅前体作为具有主要和次要物质的混合物。次要物质可能不会对SiCxOyNz膜506的组成或结构特征有重大贡献。在一些实施方案中,含硅前体提供了所沉积的SiCxOyNz膜506的基本全部质量,而来自远程等离子体的少量氢或其他元素则提供小于约5原子百分比或小于约2原子百分比。在一些实施方案中,沉积反应包括含硅前体和氢自由基以外的共反应物,其可能会或可能不会对沉积的SiCxOyNz膜506的组成有贡献。因此,共反应物可调整第一厚度的SiCxOyNz膜506的组成。共反应物的示例包括二氧化碳(CO2)、一氧化碳(CO)、水(H2O)、甲醇(CH3OH)、氧(O2)、臭氧(O3)、氮(N2)、一氧化二氮(N2O)、氨(NH3)、二氮烯(N2H2)、甲烷(CH4)、乙烷(C2H6)、乙炔(C2H2)、乙烯(C2H4)、乙硼烷(B2H6)及其组合。这样的材料可用作氮化剂、氧化剂、还原剂等。取决于共反应物的选择,该共反应物可增加或减少SiCxOyNz膜506的碳、氧或氮含量。在一些实施方案中,可沿着与氢自由基相同的流动路径将共反应物引入反应室中。可在含硅前体的上游引入共反应物,其中该共反应物可至少部分地转化成自由基和/或离子。在一些实施方案中,可沿着与含硅前体相同的流动路径将共反应物引入反应室中。在这种情况下,可在氢自由基的下游引入共反应物,通常不直接暴露于等离子体。在一些实施方案中,共反应物可以以约0.05%(质量百分比)或更少、或以约0.01%(质量百分比)或更少,或以约0.001%(质量百分比)或更少存在于工艺气体中。在一些实施方案中,共反应物可以较高的浓度存在,例如约2%(质量百分比)或更少、或约0.1%(质量百分比)或更少。在一些实施方案中,共反应物可以更高的浓度存在,例如约10%(质量百分比)或更多、或约20%(质量百分比)或更多。在一些实施方案中,共反应物中的键可被氢自由基选择性地打断以活化该共反应物。
可控制用于沉积SiCxOyNz膜506的第一厚度的工艺条件。在一些实施方案中,可于SiCxOyNz膜506的沉积期间,通过上面支撑衬底502的基座温度,以大程度地控制邻近衬底502的环境中的温度。在一些实施方案中,操作温度可介于约50℃与约500℃之间或约250℃与约400℃之间。升高温度可导致衬底表面上的交联增加。在一些实施方案中,可控制反应室中的压强,以促进反应性自由基的产生。在一些实施方案中,室压强可以是约35托或更低,在一些应用中可介于约10托与约20托之间,或者在一些其他应用中可介于约0.2托与约5托之间。
用于形成SiCxOyNz膜506的含硅前体可各自含有至少一个Si-H和/或至少一个Si-Si键。含硅前体可视情况各自含有至少一个Si-O键、Si-N键和/或Si-C键。在一些实施方案中,每一含硅前体不含有O-C或N-C键;例如,前体不含有烷氧基(-O-R),其中R是例如烃基之类的有机基团,或不含有胺(-NR1R2),其中R1和R2独立地为氢或有机基团。不受任何理论的限制,相信这种基团可对其上留有它们的前体或片段提供高粘附系数。
在沉积反应中采用的含硅前体可限于特定的化学类别或化学类别的混合物。在一些实施方案中,含硅前体包括硅氧烷。硅氧烷可以为环状、三维状或笼状、或线形。在一些实施方案中,含硅前体包括烷基硅烷或其他烃取代的硅烷。例如,含硅前体可包括烷基碳硅烷。在一些实施方案中,含硅前体包括烷氧基硅烷。在一些实施方案中,含硅前体包括硅氮烷。硅氮烷可以为环状或线形。含硅前体的化学类别的具体示例描述于Varadarajan等人于2015年2月6日申请的名称为“CONFORMAL DEPOSITION OF SILICON CARBIDE FILMS”的美国专利申请No.14/616,435,其全部内容为了所有目的皆并于此作为参考。
在沉积SiCxOyNz膜506时,工艺气体中可存在多种含硅前体,其中一些含硅前体是不同的。例如,可将硅氧烷与烷基硅烷一起使用,或者可将硅氧烷与烷氧基硅烷一起使用。各种前体的相对比例可基于所选择的前体的化学结构和所得到的SiCxOyNz膜506的应用进行选择。例如,以摩尔百分比计,硅氧烷的量可大于硅烷的量,以产生更多孔的膜。
在一些实施方案中,当沉积硅碳氧化物膜时,含硅前体可包括硅氧烷,例如环状硅氧烷或线形硅氧烷。在一些实施方案中,当沉积硅碳氧化物膜时,含硅前体可包括烷基硅烷。可引入含氧共反应物以与烷基硅烷反应。
可选择含硅前体以产生高度保形的SiCxOyNz膜506。可通过比较特征504底部、侧壁或顶部上沉积的膜的平均厚度与特征504底部、侧壁或顶部上沉积的膜的平均厚度来计算保形性。例如,可通过将特征504侧壁上沉积的膜的平均厚度除以顶部处沉积的膜的平均厚度并将其乘以100,以获得百分比来计算保形性。相信具有低粘附系数的含硅前体能够产生高度保形的膜。“粘附系数”是用于描述在相同时间段内吸附到/粘附到该表面的吸附物质(例如,片段或分子)的数量与撞击表面的物质总数相比的比率的术语。符号Sc有时用来指粘附系数。Sc的值是介于0(意味着没有任何物质粘附)和1(意味着所有的撞击物质粘附)之间。多种因素影响粘附系数,包括撞击物质的类型、表面温度、表面覆盖度、表面的结构细节、以及撞击物质的动能。
在工艺500的操作500a中,第一厚度的SiCxOyNz膜506可具有至少约75%、至少约80%、至少约85%、至少约90%、至少约95%、或至少约98%的保形性。第一厚度的SiCxOyNz膜506可等于或小于约10埃
Figure BDA0003120890400000141
或者可等于或小于约
Figure BDA0003120890400000151
在一些实施方案中,SiCxOyNz膜506的第一厚度可于约
Figure BDA0003120890400000152
与约
Figure BDA0003120890400000153
之间,或约
Figure BDA0003120890400000154
与约
Figure BDA0003120890400000155
之间。
可根据预定沉积时间来沉积第一厚度的SiCxOyNz膜506,以获得所期望的厚度。在一些实施方案中,沉积时间可介于约1秒与约200秒之间,或介于约5秒与约100秒之间。可控制第一厚度,使得后续远程等离子体处理能够充分渗透,以使第一厚度的SiCxOyNz膜506致密化以及收缩。另外,可根据后续远程等离子体处理操作的所期望的处理频率来控制第一厚度。
第一厚度的SiCxOyNz膜506沉积于衬底502的特征504中,其中特征504可采用沟槽、凹入或孔的形状。特征504可具有至少约5:1、至少约10:1、至少约15:1、至少约20:1、至少约30:1、至少约40:1、至少约50:1、或至少约100:1的深度比横向尺寸的深宽比。例如,具有高深宽比的特征可为至少10:1。横向尺寸可以是特征504的顶部处的宽度或直径。在一些实施方案中,特征504的横向尺寸可以小于约200nm、小于约100nm、介于约2nm与约100nm之间、或介于约2nm与约50nm之间。在一些实施方案中,特征504的深度可介于约0.1μm与约100μm之间、介于约0.5μm与约50μm之间、介于约0.5μm与约25μm之间、或介于约1μm与约25μm之间。
SiCxOyNz膜506的组成可能影响后续远程等离子体暴露操作中SiCxOyNz膜506的第一厚度收缩的量。在一些实施方案中,SiCxOyNz膜506的组成可具有介于约10%与约40%之间或约10%与约30%之间的碳原子浓度。在一些实施方案中,碳的原子浓度可通过调整沉积参数,例如前体的选择、前体的流速、共反应物的选择以及共反应物(例如氧)的流速来控制。例如,于SiCxOyNz膜506的沉积中增加氧的存在,即可从SiCxOyNz膜506中提取更多的碳。在一些实施方案中,在操作500a期间控制SiCxOyNz膜506中碳的原子浓度,以使远程氢等离子体暴露的条件在操作500b期间增大特征504顶表面附近的开口的尺寸。
在工艺500的操作500b中,在增大特征504顶表面附近的开口尺寸的条件下,使SiCxOyNz膜506暴露于远程氢等离子体。远程氢等离子体用于处理SiCxOyNz膜506的第一厚度,使得SiCxOyNz膜506的第一厚度在特征504顶表面附近收缩。SiCxOyNz膜506的第一厚度在特征504顶表面附近收缩多少可取决于SiCxOyNz膜506的第一厚度及组成。SiCxOyNz膜506的第一厚度在特征504顶表面附近收缩多少还可以取决于远程等离子体条件。
用于在沉积期间产生源气体的自由基的远程等离子体源也可以用于在处理期间产生源气体的自由基,以使SiCxOyNz膜506的第一厚度于特征504顶表面附近收缩。因此,可在与SiCxOyNz膜处理相同的反应室中发生SiCxOyNz膜沉积。这使得能在同一工具中执行交替的沉积和处理循环。因此,可在不引起真空破坏(例如,空气破坏)的情况下,发生沉积第一厚度,并将第一厚度的SiCxOyNz膜暴露于远程氢等离子体。真空破坏会降低产量并在衬底502中引发氧化,其会导致更高电阻并降低性能。
第一厚度的SiCxOyNz膜暴露于远程氢等离子体是在未输送含硅前体下发生。换言之,当沉积第一厚度的SiCxOyNz膜涉及使一或更多种含硅前体流动以与远程氢等离子体的氢自由基反应时,暴露第一厚度的SiCxOyNz膜将停止含硅前体的流动。可对包括氢气的源气体提供惰性载气(例如氦)。在一些实施方案中,源气体可包括氢、氮、含N-H的物质(例如NH3)、氧、含氧的物质(例如H2O、CO2或N2O)或其组合。源气体被提供于远程等离子体源中,其中氢自由是在远程等离子体源中产生,并被引入反应室中且朝向衬底502。一旦在远程等离子体源中产生,氢自由基便处于激发能态。氢自由基在遇到衬底502时失去其能量或弛豫且未发生复合。第一厚度的SiCxOyNz膜暴露于远程氢等离子体,使得至少大部分的氢自由基处于基本上低能态或基态。在一些实施方案中,源气体的至少90%的自由基为基态的氢自由基。远程氢等离子体的这种氢自由基用于使特征504顶表面附近的第一厚度的SiCxOyNz膜506致密化及收缩。至少部分地因为离子的最小浓度及氢自由基的低能态,因此将SiCxOyNz膜506暴露于远程氢等离子体通常不会对衬底502的下层造成伤害。
可根据每一远程氢等离子体处理的处理频率来沉积SiCxOyNz膜506的厚度。因此,控制每一沉积-处理循环沉积多少SiCxOyNz膜506,以改善间隙填充性能。在操作500a,SiCxOyNz膜506的第一厚度等于或小于约
Figure BDA0003120890400000161
等于或小于约
Figure BDA0003120890400000162
或者约
Figure BDA0003120890400000163
与约
Figure BDA0003120890400000164
之间。因此,在每一沉积-处理循环中沉积等于或小于约
Figure BDA0003120890400000171
等于或小于约
Figure BDA0003120890400000172
或介于约
Figure BDA0003120890400000173
与约
Figure BDA0003120890400000174
之间的SiCxOyNz膜506的厚度。较高的处理频率对应于每一循环沉积较小厚度的SiCxOyNz膜506,其中较高的处理频率可能提供较好的间隙填充性能。
可沉积SiCxOyNz膜506的组成,使得远程氢等离子体处理对收缩SiCxOyNz膜506的第一厚度有更大的影响。具体地说,可在操作500a调整SiCxOyNz膜506中碳的原子浓度,其中碳的原子浓度介于约10%与约40%之间或介于约10%与约30%之间。在一些实施方案中,当SiCxOyNz膜506中的原子碳浓度较低时,特征504顶表面附近的开口的尺寸增大得较多。在控制碳的原子浓度下,可利用远程氢等离子体的条件来增大特征504顶表面附近的开口的尺寸。这可改善间隙填充性能。
可控制远程氢等离子体的条件,以优先处理特征504顶表面附近而不是特征504底表面附近的SiCxOyNz膜506的第一厚度。特征504顶表面附近的SiCxOyNz膜506的第一厚度可比底表面附近暴露于更多的远程氢等离子体的氢自由基。在一些实施方案中,可控制处理时间和/或处理频率,以限制远程氢等离子体的氢自由基朝特征504底表面的扩散或传送。例如,较短的处理时间可限制氢自由基的扩散/传送,使其不会到达特征504底表面。在一些实施方案中,暴露于远程氢等离子体之处理时间介于约0.5秒与约120秒之间、介于约1秒与30秒之间、介于约2秒与20秒之间、或介于约5秒与15秒之间。在一些实施方案中,暴露于远程氢等离子体的处理时间为约10秒。应理解的是,处理时间可根据特征504的深宽比作变化,其中处理时间要足够长以使SiCxOyNz膜506的第一厚度致密化且收缩,但要足够短以限制氢自由基向特征504底表面的扩散及传送。
可控制远程氢等离子体的条件,以增大特征504顶表面附近的开口的尺寸。在一些实施方案中,特征504顶表面附近的开口的尺寸比特征504底表面附近的开口的尺寸增大得较多。暴露于远程氢等离子体可通过提取氢并且促进交联而使SiCxOyNz膜506致密化,从而可形成更多的Si-O-Si以及Si-C-Si键。另外,暴露于远程氢等离子体可在合适的条件下收缩SiCxOyNz膜506的厚度。在一些实施方案中,可控制处理功率以促进SiCxOyNz膜506的厚度致密化并收缩。在一些实施方案中,可调整感应耦合式等离子体的RF功率,以控制处理功率,其中RF功率可以介于约300瓦与10千瓦之间、介于约1千瓦与约8千瓦之间、或者介于约2千瓦与约6千瓦之间。可调整处理期间施加至远程等离子体源的RF功率,以增加源气体的氢自由基的产生。在一些实施方案中,处理功率可至少部分地与远程等离子体气体组成相关。远程等离子体气体组成可包括相对于载气的源气体的浓度,其中,源气体的较高浓度有助于增加自由基的产生,因而导致较高的处理功率。在一些实施方案中,源气体(例如,氢气)的浓度为至少10%(体积百分比)且余量为惰性载气、至少15%(体积百分比)且余量为惰性载气、至少20%(体积百分比)且余量为惰性载气、至少25%(体积百分比)且余量为惰性载气、介于约10%与约50%(体积百分比)之间且余量为惰性载气、或介于约10%与约30%(体积百分比)之间且余量为惰性载气。具体地说,气体混合物可包括氢气以及余量的惰性载气(例如氦),其中该气体混合物包括至少10%(体积百分比)的氢气以及余量的氦、至少15%(体积百分比)的氢气以及余量的氦、至少20%(体积百分比)的氢气以及余量的氦、至少25%(体积百分比)的氢气以及余量的氦,介于约10%与约50%(体积百分比)之间的氢气以及余量的氦、或介于约10%与约30%(体积百分比)之间的氢气以及余量的氦。相反,典型的气体混合物包括氢气,其浓度为1-10%(体积百分比),且余量为氦。处理功率及远程等离子体气体组成可根据SiCxOyNz膜506的组成作调整。在一些实施方案中,可在远程氢等离子体处理中降低处理功率和/或可降低氢源气体浓度,且仍实现特征504顶表面附近开口尺寸的增大,其中SiCxOyNz膜506中碳的原子浓度在特征504顶表面附近降低。
可利用沿着特征504顶表面的横向距离(例如直径)来测量特征504顶表面附近的开口的尺寸。具体地说,可通过特征504顶表面的相对拐角之间的距离减去特征504顶表面的拐角处的SiCxOyNz膜506厚度来测量开口的尺寸。在一些实施方案中,特征504顶表面附近的开口的尺寸可于操作500b后增大至少约1%、至少约5%、至少约10%、至少约20%、至少约50%、至少约100%、介于约1%与约100%之间、介于约5%与约100%之间、介于约1%与约50%之间、或介于约5%与约50%之间的百分比量。举例来说,特征504顶表面附近的开口尺寸于操作500b后可从20nm增大至大于30nm的量,其表示增加了至少50%。
在一些实施方案中,特征504顶表面附近的开口的尺寸比特征504底表面附近的开口的尺寸增大较多。可使用沿着特征504底表面的横向距离(例如,直径)来测量特征504底表面附近的开口尺寸。具体地说,可通过特征504底表面的相对拐角之间的距离减去特征504底表面的拐角处的SiCxOyNz膜506厚度来测量开口的尺寸。当特征504被SiCxOyNz膜506填充时,特征504底表面是指最低的暴露表面。在操作500b之后,特征504底表面附近的开口的尺寸不增大或以小于特征504顶表面附近开口的量增大。
在一些实施方案中,可控制远程氢等离子体的条件,使得SiCxOyNz膜506在顶部开口处比在底部开口处收缩较多。远程等离子体的氢自由基和/或离子本质上可为各向同性的或基本上各向同性的,使得该处理优先发生在特征504的顶部开口而不是底部开口。可控制处理频率、处理时间、处理功率和/或远程等离子体气体组成,使得特征504顶表面附近的开口的尺寸比特征504底表面附近的开口的尺寸增大较多。可控制SiCxOyNz膜506的组成及厚度,使得特征504顶表面附近的开口的尺寸比特征504底表面附近的开口的尺寸增大较多。
应理解,可控制除了处理频率、处理时间、处理功率、远程等离子体气体组成、SiCxOyNz膜506组成以及SiCxOyNz膜506厚度以外的参数,以使顶部开口处的SiCxOyNz膜506收缩。其他可调参数包括但不限于时序、气体组成、气体流速、室压强、室温度、衬底温度、沉积与等离子体处理之间的时间间隔以及衬底位置。这些参数可在暴露于远程氢等离子体期间作调整,以影响远程等离子体的特性,其可影响特征504顶表面附近的开口的尺寸。在一些实施方案中,室压强可以介于约0.2托与约5托之间、或介于约1托与约3托之间。在一些实施方案中,室压强可大于3托或大于5托,其中其他工艺条件(例如惰性载气)导致充分的离子化并且减少停留时间。在一些实施方案中,源气体可以与一或更多种共反应物例如CO2、CO、H2O、CH3OH、O2、O3、N2、N2O、NH3、N2H2、CH4、C2H6、C2H2、C2H4、B2H6或其组合一起流动。取决于共反应物的选择,一或更多种共反应物可增加或减少SiCxOyNz膜506的氧、氮或碳含量。在一些实施方案中,该一或更多种共反应物可包括CO2、O2、N2、NH3或其组合。氧气或氧自由基的存在倾向于从Si-C键中提取碳,因而将碳化物转化为氧化物。
在一些实施方案中,可在操作500a沉积第一厚度的SiCxOyNz膜506与在操作500b将第一厚度的SiCxOyNz膜506暴露于远程氢等离子体处理之间引入时间间隔。在该时间间隔期间,关闭等离子体,且一些气体继续流入反应室。在一些实施方案中,气体可包括在操作500a沉积期间流动的含硅前体。在关闭等离子体的时间间隔期间,不会发生可能会对间隙填充性能产生不利影响的残留物沉积。在一些实施方案中,时间间隔可介于约1秒与约30秒之间,例如约5秒、约10秒或约20秒。
在工艺500的操作500c中,在衬底502的特征504中沉积SiCxOyNz膜506的第二厚度。第二厚度可沉积在SiCxOyNz膜506的第一厚度上或上方。沉积SiCxOyNz膜506的第二厚度的方面可以与沉积SiCxOyNz膜506的第一厚度的方面相同或至少相似。具体地说,在沉积第一厚度包括在操作500a期间使一或更多种含硅前体流入反应室中,并引入远程等离子体源所产生的氢自由基以与一或更多种含硅前体反应的情况下,沉积第二厚度则包括在操作500c中重复上述操作500a。操作500c中的沉积时间、膜厚度、室压强、室温度、衬底温度、RF功率电平、气体流量、气体组成及其他参数可与操作500a中的相同或不同。SiCxOyNz膜506的第一厚度通过远程等离子体CVD工艺沉积,且SiCxOyNz膜506的第二厚度通过远程等离子体CVD工艺沉积,其中远程等离子体CVD工艺是在邻近衬底502的相对温和条件下在衬底502的表面上沉积SiCxOyNz膜506的第二厚度。这种相对温和条件描述于操作500a中。
在一些实施方案中,SiCxOyNz膜506的第二厚度可具有至少约75%、至少约80%、至少约85%、至少约90%、至少约95%或至少约98%的保形度。SiCxOyNz膜506的第二厚度可等于或小于约
Figure BDA0003120890400000201
或者等于或小于约
Figure BDA0003120890400000202
在一些实施方案中,SiCxOyNz膜506的第二厚度可介于约
Figure BDA0003120890400000203
与约
Figure BDA0003120890400000204
之间,或约
Figure BDA0003120890400000205
与约
Figure BDA0003120890400000206
之间。
SiCxOyNz膜506的第二厚度的沉积可在与SiCxOyNz膜506的处理及SiCxOyNz膜506的第一厚度的沉积相同的反应室中进行。因此,可在操作之间不导致真空破坏(例如,空气破坏)的情况下发生SiCxOyNz膜506的第二厚度沉积。
工艺500的操作500c可进一步包括重复500b和500a的操作,直到特征504被填充或基本上被填充。如本文中所使用的,关于填充特征504的“基本上填充”可以指使SiCxOyNz膜506占据特征504体积的至少98%。当特征504基本上被SiCxOyNz膜506填充时,可能形成接缝和/或空隙508。然而,通过如本发明所述的通过远程等离子体CVD的沉积以及远程氢等离子体暴露可消除接缝和/或空隙508形成或至少将接缝和/或空隙508尺寸减至最小。
在操作500c中重复500b以及500a的操作可包括重复:(i)将SiCxOyNz膜506暴露于远程氢等离子体,使得特征504顶表面处的开口的尺寸增大,以及(ii)沉积SiCxOyNz膜506的新厚度于特征504中。在一些实施方案中,操作500c中的处理频率、处理时间、处理功率和/或远程等离子体气体组成与操作500b以及500a中的处理频率、处理时间、处理功率和/或远程等离子体气体组成相同。例如,可提供每一沉积-处理循环为
Figure BDA0003120890400000211
或更小的处理频率、约0.5秒与120秒之间的处理时间、介于约1千瓦与约8千瓦之间的施加的RF功率的处理功率、以及具有浓度介于约10%与约50%(体积百分比)之间的氢及余量氦的远程等离子体气体组成,直到特征504被填充或至少基本上被填充。控制操作500c中所重复的操作500b期间的远程氢等离子体的条件,使得特征504顶表面附近的开口的尺寸增大。在一些实施方案中,当在操作500c中重复操作500b时,特征504顶表面附近的开口的尺寸比特征504底表面附近的开口的尺寸增大较多。当操作500c中所重复的操作500a中的一者闭合特征504的开口时,即完成填充。
在一些实施方案中,可在操作500c沉积SiCxOyNz膜506的第二厚度与重复操作500b(即等离子体处理)之间引入时间间隔。于该时间间隔期间,关闭等离子体,且一些气体继续流入反应室。在一些实施方案中,这些气体可包括在操作500b的等离子体处理期间流动的氢气、惰性载气和/或共反应物气体。在关闭等离子体的时间间隔期间,不会发生可能会对间隙填充性能产生不利影响的残留物沉积。在一些实施方案中,时间间隔可介于约1秒与约30秒之间,例如约5秒、约10秒或约20秒。一般而言,在等离子体沉积与等离子体处理操作之间可能发生多种时间间隔,以调整间隙填充性能。这意味着时间间隔可能发生在从沉积到等离子体处理的过渡中,和/或从等离子体处理回到沉积的过渡中。
在一些实施方案中,处理频率、处理时间、处理功率和/或远程等离子体气体组成的参数可根据特征504的几何形状作调整。根据特征504的深宽比,处理频率、处理时间、处理功率和/或远程等离子体气体组成可以变化。例如,处理频率可基于进人的特征几何形状作弹性调整,以对特征504的填充作整形并改善间隙填充性能。如此一来,可调整每一沉积-处理循环沉积多大SiCxOyNz膜506厚度,以使接缝和/或空隙508的形成降至最小,并保持合理产量。
本发明中通过远程等离子体CVD所沉积的SiCxOyNz膜506对氧化物及氮化物材料均具有高蚀刻选择性,其中SiCxOyNz膜506在干式蚀刻或者湿式蚀刻条件下对氧化物及氮化物材料具有至少7:1的蚀刻选择性。SiCxOyNz膜506可具有优异的电特性,包括高击穿电压和低漏电流。此外,SiCxOyNz膜506可具有低介电常数(low-k),其中SiCxOyNz膜506的有效介电常数为约4.0或更小、约3.5或更小、约3.0或更小、或者约2.5或更小。
本发明的一个方面是配置成实现本文所描述的方法的设备。适当的设备包括用于实现工艺操作的硬件和具有用于根据本发明控制工艺操作的指令的***控制器。在一些实施方案中,用于执行前述工艺操作的设备可以包括远程等离子体源。相比于直接等离子体,远程等离子体源提供温和的反应条件。适当的远程等离子体设备的实例在Varadarajan等人于2013年10月24日提交的、名称为“GROUND STATE HYDROGEN RADICAL SOURCES FORCHEMICAL VAPOR DEPOSITION OF SILICON-CARBON-CONTAINING FILMS,”的美国专利申请No.14/062,648中被描述,该美国专利申请被以引用的方式全部并入本文且用于所有目的
图6示出了根据某些实施方案的远程等离子体设备的一个示意图。该设备600包括具有喷头620的反应室610。在反应室610的内部,衬底630搁置在台座或基座635上。在一些实施方案中,基座635可以装配有加热/冷却元件。控制器640可以被连接到设备600的组件,以控制设备600的操作。例如,该控制器640可以包含用于控制设备600的操作的工艺条件的指令,如温度工艺条件和/或压力工艺条件。在一些实施方案中,控制器640可包含用于控制前体气体、共反应气体、源气和载气的流率的指令。控制器640可以包含用于控制远程氢等离子体的处理频率、处理时间、处理功率及远程等离子体气体组成的指令。控制器640的更详细的说明在下文提供。
在操作中,气体或气体混合物经由耦合到反应室610的一个或多个气体入口被引入到反应室610。在一些实施方案中,两个或更多个气体入口被耦合到反应室610。第一气体入口655可被耦合到反应室610并连接到容器650,第二气体入口665可以被耦合到反应室610并连接到远程等离子体源660。在包括远程等离子体配置的实施方案中,用于前体与在远程等离子体源660中产生的自由基物质的输送管线是分离的。因此,前体和自由基物质在到达衬底630之前基本上不会进行相互作用。应理解,在一些实施方案中,可使气体管线颠倒,使得容器650可经由第二气体入口665而提供前体气体流,而远程等离子体源660可经由第一气体入口655而提供离子和自由基。
一种或多种自由基物质可在远程等离子体源660中产生并配置为经由第二气体入口665进入反应室610。任何类型的等离子体源可以在远程等离子体源660中使用以创建自由基物质。这包括但不限于电容耦合等离子体、电感耦合等离子体、微波等离子体、直流等离子体和激光生成的等离子体。电容耦合等离子体的实例可以是射频(RF)等离子体。高频等离子体可以配置以在13.56MHz或更高来进行操作。这样的远程等离子体源660的实例可以是由Lam Research Corporation(Fremont,California)制造的
Figure BDA0003120890400000231
这样的射频远程等离子体源660的另一实例可以是由马萨诸塞州威明顿的MKS Instruments制造的
Figure BDA0003120890400000232
其可以在440kHz下操作,并且可以被提供作为螺栓固定到较大设备上的子单元,以用于并行处理一个或多个衬底。在一些实施方案中,微波等离子体可被用作远程等离子体源660,如
Figure BDA0003120890400000233
其也由MKS Instruments制造。微波等离子体可被构造成在2.45GHz的频率下操作。提供给远程等离子体源660的气体可以包括氢、氮、氧和如本文别处提及的其它气体。在某些实施方案中,氢是在载体(如氦)中提供。举例而言,在沉积操作期间,可以约1-50%(体积百分比)的浓度提供在氦载气中的氢气,而在处理操作期间则可以至少约10%(体积百分比)的浓度提供在氦载气中的氢气。
前体可以在容器650中被提供,并且可以经由第一气体入口655被供给到喷头620。喷头620将前体朝向衬底630分配到反应室610。衬底630可以位于该喷头620下方。应该理解的是,喷头620可具有任何合适的形状,并且可以具有任何数量和布置的用于分配气体到衬底630的端口。前体可以以受控的流率被供给到喷头620并最终供给到衬底630。
在远程等离子源660形成的一种或多种自由基物质可以气相方式朝向衬底630运送。一种或多种自由基物质可以通过第二气体入口665流入到反应室610。应当理解的是,如图6所示,第二气体入口665不必横向于(transverser to)衬底630的表面。在某些实施方案中,所述第二气体入口665可在衬底630正上方或在其它位置。远程等离子体源660和反应室610之间的距离可以被配置为提供温和的反应条件,从而使得在远程等离子源660产生的离子化物质基本上是中性的,但处于实质上低能态下的至少一些自由基物质保持在邻近衬底630的环境中。这样的低能态的自由基物质不再重新组合以形成稳定的化合物。远程等离子体源660和反应室610之间的距离可以是等离子体的侵蚀性(例如,由源RF功率电平部分确定的)、等离子体中气体密度(例如,如果存在浓度高的氢原子,那么它们的相当大的部分可重组以在到达反应室610之前形成H2)、以及其他因素的函数。在一些实施方案中,远程等离子体源660和反应室610之间的距离可为介于约1cm至30cm之间,例如为约5cm或约15cm。
在一些实施方案中,不是主要的含硅前体或氢自由基的共反应物在沉积反应期间引入。在一些实施方案中,装置600被配置成通过第二气体入口665引入共反应物,在这种情况下,共反应物至少部分转化成等离子体。在一些实现方案中,装置600被配置成经由第一气体入口655通过喷头620引入共反应物。共反应物的实例包括氧、氮、氨、二氧化碳、一氧化碳等。
图7根据某些其他实施方案示出了具有远程等离子体源的示例性等离子体处理设备的示意图。等离子体处理设备700包含与反应室707分离的远程等离子体源702。远程等离子体源702经由多端口气体分配器706而与反应室707流体耦合,多端口气体分配器706也可被称为喷头。自由基物质产生于远程等离子体源702中并被供应至反应室707。将一或更多种含硅前体供应至远程等离子体源702和多端口气体分配器706的下游的反应室707。该一或更多种含硅前体与自由基物质在反应室707的化学气相沉积区域708中进行反应,以在衬底712的表面上沉积SiCxOyNz膜。化学气相沉积区域708包含邻近衬底712的表面的环境。
衬底712被支撑在衬底支撑件或基座714上。基座714可在反应室704内移动,以将衬底712定位于化学气相沉积区域708内。在图7所示的实施方案中,基座714示出了已在化学气相沉积区域708内将衬底712升高。在某些实施方案中,基座714也可调整衬底712的温度,基座714可提供对衬底712上的热活化表面反应的某些选择性控制。
图7示出了设置在远程等离子体源702周围的线圈718,其中远程等离子体源702包含外壁(例如石英圆顶)。线圈718电耦合至等离子体产生器控制器722,其可用于通过感应耦合等离子体生成而在等离子体区域724内形成并维持等离子体。在某些实施方案中,等离子体产生器控制器722可包含供应功率至线圈718的电源,其中在等离子体产生期间功率可在约1至6千瓦(kW)的范围内。在某些实施方案中,用于平行板或电容耦合等离子体生成的电极或天线可用于通过等离子体激发而产生自由基的连续供应,而非使用感应耦合等离子体生成。无论用于在等离子体区域727内点燃和维持等离子体的机制为何,可在膜沉积和处理期间利用等离子体激励而连续地产生自由基物质。在某些实施方案中,在稳态膜沉积期间在近似稳态条件下产生氢自由基,但在膜沉积与处理的开始和结束时可能发生瞬变。
当氢气或其他源气体被供应至远程等离子体源702时,可在等离子体区域724内连续地产生氢自由基的供应。可在远程等离子体源702中产生经激发的氢自由基。如果未重新激发或重新供应能量或与其他自由基重新结合,则经激发的氢自由基失去其能量或弛豫。因此,经激发的氢自由基可弛豫而形成处于实质低能态或基态的氢自由基。
可使用一或更多种额外气体将氢气或其他源气体稀释。该一或更多种额外气体可被供应至远程等离子体源702。在某些实施方案中,使氢气或其他源气体与一或更多种额外气体进行混合而形成气体混合物,其中该一或更多种额外气体可包含载气。额外气体的非限制性示例可包含氦、氖、氩、氪、及氙。该一或更多种额外气体可支持或稳定远程等离子体源702内的稳态等离子体状态、或有助于瞬时等离子体的引燃或熄灭程序。在一些实施方案中,使用例如氦将氢气或其他源气体稀释可促成更高的总压力而不会造成伴随的等离子体击穿(plasma breakdown)。换言之,氢气与氦的稀释气体混合物可促成更高的总气体压力,而不会使供应至远程等离子体源702的等离子体功率增加。如图7所示,源气体供应源726与远程等离子体源702流体耦合,以供应氢气或源气体。此外,额外的气体供应源728与远程等离子体源702流体耦合,以供应一或更多种额外气体。该一或更多种额外气体也可包含上述的共反应物气体。虽然图7的实施方案描绘了源气体和一或更多种额外气体的气体混合物经由分离的气体出口而引入,但应理解,可将该气体混合物直接引入至远程等离子体源702中。即,可经由单一气体出口将预混合的稀释气体混合物供应至远程等离子体源702。
气体(例如经激发的氢与氦自由基以及弛豫的气体/自由基)从远程等离子体源702流出,并且经由多端口气体分配器706而流入反应室704。在多端口气体分配器706内以及反应室704内的气体通常不会在其内经受连续的等离子体激发。在某些实施方案中,多端口气体分配器706包含离子过滤器和/或光子过滤器。将离子和/或光子过滤可减少衬底的损害、非期望的分子再激发、和/或反应室704内的含硅前体的选择性裂解或分解。多端口气体分配器706可具有多个气体端口734,以使气体流扩散至反应室704中。在某些实施方案中,多个气体端口734可相互隔开。在某些实施方案中,可将多个气体端口734设置成规则间隔的通道或通孔的阵列,这些通道或通孔延伸穿过将远程等离子体源702与反应室704分隔的板。多个气体端口734可将从远程等离子体源702离开的自由基平稳地分散和扩散至反应室704中。
典型的远程等离子体源与反应容器相隔较远。因此,自由基消灭和重组(例如通过壁碰撞事件)会使活性物质大幅减少。相对地,在某些实施方案中,可基于在典型处理条件下的平均自由程或气流驻留时间而配置多个气体端口734的尺寸,以协助自由基自由地进入反应室704。在某些实施方案中,多个气体端口734的开口可占据多端口气体分配器706的介于约5%和约20%之间的暴露表面积。在某些实施方案中,多个气体端口734可各自具有介于约3:1和10:1之间、或介于约6:1和8:1之间的轴向长度比直径的比率。这种深宽比可使通过多个气体端口734的自由基物质的壁碰撞频率降低,并且同时为大多数激发态自由基物质提供足够的时间以弛豫为基态自由基物质。在某些实施方案中,可配置多个气体端口734的尺寸以使得气体通过多端口气体分配器706的驻留时间大于激发态自由基物质一般的能量弛豫时间。氢源气体的激发态自由基物质在图7中可由·H*表示,而氢源气体的基态自由基物质在图7中可由·H表示。
在某些实施方案中,离开多个气体端口734的激发态自由基物质可流入弛豫区域738中,弛豫区域738被包含在反应室704的内部中。弛豫区域738位于化学气相沉积区域708的上游,但在多端口气体分配器706的下游。离开多端口气体分配器706的基本上所有或至少90%的激发态自由基物质会在弛豫区域738中转变成弛豫态自由基物质。换言之,几乎所有进入弛豫区域738的激发态自由基物质(例如经激发的氢自由基)在离开弛豫区域738之前变得去激发(de-excited)、或转变成弛豫态自由基物质(例如基态氢自由基)。在某些实施方案中,可配置弛豫区域738的工艺条件或几何形状,俾使自由基物质流过弛豫区域738的驻留时间(例如由平均自由径及平均分子速度所决定的时间)引致弛豫态自由基物质自弛豫区域738流出。
随着自由基物质从多端口气体分配器706输送至弛豫区域738,可将一或更多种含硅前体和/或一或更多种共反应物引入化学气相沉积区域708中。可通过气体分配器或气体出口742将一或更多种含硅前体引入,其中气体出口742可与前体供应源740流体耦合。弛豫区域738可包含在多端口气体分配器706与气体出口742之间的空间内。气体出口742可包含彼此间隔开的开口,使得可在与从弛豫区域738流出的气体混合物平行的方向上引入一或更多种含硅前体流。气体出口742可位于多端口气体分配器706和弛豫区域738的下游。气体出口742可位于化学气相沉积区域708和衬底712的上游。化学气相沉积区域708位于反应室704的内部中,并且位于气体出口742与衬底712之间。
可防止实质上所有的一或更多种含硅前体流与邻近多端口气体分配器706的激发态自由基物质相混合。弛豫态或基态的自由基物质在邻近衬底712的区域中与一或更多种含硅前体混合。化学气相沉积区域708包含邻近衬底712的区域,在该区域中弛豫态或基态自由基物质与一或更多种含硅前体混合。在SiCxOyNz膜的CVD形成期间,弛豫态或基态自由基物质与一或更多种含硅前体以气相混合。然而,在SiCxOyNz膜的致密化及收缩期间,弛豫态或基态自由基物质不与呈气相的任何含硅前体混合。
在某些实施方案中,共反应物可从气体出口742引入并与一或更多种含硅前体一起流动。可在远程等离子体源702的下游将共反应物引入。可从前体供应源740或与气体出口742流体耦合的其他源(未图示)供应共反应物。在某些实施方案中,共反应物可从多端口气体分配器706引入,并与在远程等离子体源702中产生的自由基物质一起流动而流入反应室704。这可包含在远程等离子体源702中提供的共反应物气体的自由基和/或离子。共反应物可从额外的气体供应源728供应。
气体出口742可与多端口气体分配器706分离足够的距离,以防止一或更多种含硅前体的反向扩散或反向流。在某些实施方案中,气体出口742可与多个气体端口734分离如下距离:介于约0.5英寸至约5英寸之间、或介于约1.5英寸至约4.5英寸之间、或介于约1.5英寸至约3英寸之间的距离。
可经由出口748而将工艺气体从反应室704中去除,出口748被配置成与泵(未图示)流体耦合。因此,可将过量的含硅前体、共反应物、自由基物质以及稀释与置换或清扫气体从反应室704中去除。在某些实施方案中,***控制器750与等离子体处理设备700进行操作性通信。在某些实施方案中,***控制器750包含处理器***752(例如微处理器),其被配置成执行保存于数据***754(例如存储器)中的指令。在某些实施方案中,***控制器750可与等离子体产生器控制器722进行通信以控制等离子体参数和/或条件。在某些实施方案中,***控制器750可与基座714进行通信以控制基座高度和温度。在一些实施方案中,***控制器750可控制其他处理条件,例如RF功率设置、频率设置、工作周期、脉冲时间、反应室704内的压力、远程等离子体源702内的压力、来自源气体供应源726和额外的气体供应源728的气体流率、来自前体供应源740和其他源的气体流率、基座714的温度、以及反应室704的温度等。
下述图7的控制器750的方面也适用于图3的控制器370。控制器750可包含用于控制等离子体处理设备700的操作的工艺条件的指令。控制器750会通常包括一个或多个存储器装置和一个或多个处理器。所述处理器可以包括CPU或计算机、模拟和/或数字输入/输出连接、步进电机控制器板等。用于实现适当的控制操作的指令是在处理器上执行。这些指令可以存储在与控制器750相关联的存储器装置,或者它们可以通过网络来提供。
在某些实施方案中,控制器750控制本文描述的等离子体处理设备700的所有的或大多数的活动。例如,控制器750可控制等离子体处理设备700的与沉积SiCxOyNz膜以及任选地在包含SiCxOyNz膜的制造流程中的其他操作相关联的所有的或大多数的活动。控制器750可执行***控制软件,其包括用于控制间隙填充操作的远程等离子体条件的处理频率、处理时间、处理功率及远程等离子体气体组成的指令集。控制器750还可执行***控制软件,其包括用于控制时序、沉积与等离子体处理操作之间的时间间隔、气体组成、气体流率、室压强、室温度、衬底位置和/或其他参数的指令集。在一些实施方案中可以采用存储在与控制器750相关联的存储器装置上的其它计算机程序、脚本或程序。为了在邻近衬底712的环境提供相对温和的反应条件,参数(如RF功率电平、流到等离子体区域724的气体流率、流到化学气相沉积区域708的气体流率、以及等离子体点火的定时)可以通过控制器750调整和维持。另外,调整衬底位置可以进一步减少在邻近衬底712的环境中高能自由基物质的存在。在多站式反应器中,控制器750可包括用于不同设备站的不同或相同的指令,从而使设备站能独立或同步操作。
在一些实施方案中,控制器750可包括用于执行例如下述操作的指令:在衬底712的一或更多个特征中沉积SiCxOyNz膜的第一厚度;于增大该一或更多个特征种的每一个的顶表面附近的开口的尺寸的条件下,将SiCxOyNz膜暴露于远程氢等离子体;以及在衬底712的该一或更多个特征中沉积SiCxOyNz膜的第二厚度。在沉积SiCxOyNz膜的第一厚度及第二厚度中,该控制器750可包括用于使一或更多种含硅前体流入反应室704中并将远程等离子体源702所产生的一或更多种氢自由基引入反应室704中且朝向反应室704中的衬底712的指令,其中该一或更多种氢自由基与该一或更多种含硅前体反应以沉积SiCxOyNz膜。在一些实施方案中,控制器750可进一步包括用于控制SiCxOyNz膜的原子浓度的指令,以使远程氢等离子体的条件导致增大该一或更多个特征中的每一个特征的顶表面附近的开口的尺寸。在一些实施方案中,控制器750可进一步包括用于重复下述操作的指令:将SiCxOyNz膜暴露于远程氢等离子体并且在衬底712的一或更多个特征中沉积SiCxOyNz膜的新厚度,直到该一或更多个特征被填充或至少基本上被填充。在一些实施方案中,远程氢等离子体的条件包括处理频率、处理时间、处理功率和/或正受控的远程等离子体气体组成,以使该一或更多个特征中的每一个特征的顶表面附近的开口的尺寸比该一或更多个特征中的每一个特征的底表面附近的开口的尺寸增大较多。在一些实施方案中,远程氢等离子体的处理功率包括浓度介于约10%与约50%(体积百分比)之间的氢,或浓度介于约10%与约30%(体积百分比)之间的氢。在一些实施方案中,SiCxOyNz膜的第一厚度及第二厚度各自介于约
Figure BDA0003120890400000301
与约
Figure BDA0003120890400000302
之间、或介于约
Figure BDA0003120890400000303
与约
Figure BDA0003120890400000304
之间。
在一些实施方案中,设备700可以包括与控制器750相关联的用户界面。用户界面可以包括显示屏、设备700和/或工艺条件的图形软件显示、以及用户输入装置,诸如定点装置,键盘,触摸屏,麦克风等。
用于控制上述操作的计算机程序代码可以用任何常规的计算机可读编程语言编写:例如,汇编语言、C、C++、Pascal、Fortran或其它。编译的对象代码或脚本由处理器执行,以执行程序中识别的任务。
用于监控工艺的信号可以由***控制器的模拟和/或数字输入连接来提供。用于控制工艺的信号是在处理***的模拟和数字输出连接上的输出。
通常,本文所述的方法能够在包括半导体处理装置的***上执行,半导体处理装置如一个或多个处理工具、一个或多个室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流***等)。这些***可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。通常,电子器件可以称为“控制器”,该控制器可以控制一个或多个***的各种元件或子部件。根据处理要求和/或***的类型,控制器可以被编程以控制本文公开的任何工艺,包括控制工艺气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、RF发生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置及操作设置、晶片转移进出工具和其他转移工具和/或与具体***连接或通过接口连接的装载锁。
宽泛地讲,控制器可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)与控制器通信、定义用于在半导体晶片或***上或针对半导体晶片或***执行特定工艺的操作参数的指令。在一些实施方案中,操作参数可以是由工艺工程师定义的用于在制备晶片的一个或多个(种)层、材料(例如碳化硅)、表面、电路和/或管芯期间完成一个或多个加工步骤的配方(recipe)的一部分。
在一些实施方案中,控制器可以是计算机的一部分或者与该计算机耦接,该计算机与***集成、耦接到***、或者通过网络连接到***、或这些的组合。例如,控制器可以在“云端”或者是晶片厂(fab)主机***的全部或一部分,它们可以允许远程访问晶片处理。计算机可以启用对***的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给***提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机通信到***。在一些实例中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室内的一个或多个集成电路,它们结合以控制室内的工艺。
除了本文所描述的掺杂或未掺杂的碳化硅沉积和处理,示例的***还可以包括等离子体蚀刻室或模块、沉积室或模块、旋转清洁室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体处理***。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其他的工具电路或模块、其他工具组件、组合工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器搬运到半导体制造工厂中的工具位置和/或装载口以及从工具位置和/或装载口搬运晶片的容器的材料搬运中使用的工具通信。
上文所述的设备/工艺可以与光刻图案化工具或工艺结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必然地,这些工具/工艺将在共同的制造设施中一起使用或操作。膜的光刻图案化通常包含以下操作中的一些或所有,每个操作启用多个可行的工具:(1)使用旋涂或喷涂工具在工件,即,衬底上施加光致抗蚀剂;(2)使用热板或加热炉或UV固化工具固化光致抗蚀剂;(3)使用例如晶片步进机之类的工具使光致抗蚀剂暴露于可见光或紫外线或x-射线灯;(7)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式工作台之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转印到下方的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
图8示出了根据一些实施方案沉积于衬底的多个特征中的SiCxOyNz膜的TEM图像。该SiCxOyNz膜是作为该多个特征中的间隙填充材料。该SiCxOyNz膜可包括硅碳氧化物。该SiCxOyNz膜可通过交替进行远程等离子体CVD以及远程氢等离子体暴露操作来沉积。该处理频率为每一沉积-处理循环大于约
Figure BDA0003120890400000331
而远程氢等离子体的处理功率具有浓度介于1%与5%(体积百分比)之间的氢以及余量氦,远程氢等离子体暴露的处理时间为至少10秒。图8中的该多个特征中的每一个特征形成有空隙。
图9示出了根据一些实施方案沉积于衬底的多个特征中的SiCxOyNz膜的TEM图像。该SiCxOyNz膜是作为该多个特征中的间隙填充材料。该SiCxOyNz膜可包括硅碳氧化物。该SiCxOyNz膜可通过交替进行远程等离子体CVD以及远程氢等离子体暴露操作来沉积。可以在远程等离子体CVD与远程氢等离子体暴露操作之间引入各种时间间隔,以调整间隙填充性能。然而,控制远程氢等离子体暴露条件以限制图9中形成的空隙尺寸。该处理频率为每一沉积-处理循环等于或小于
Figure BDA0003120890400000332
该远程氢等离子体的处理功率是介于约2千瓦与约6千瓦之间,且远程等离子体气体组成具有浓度介于约10%与约50%(体积百分比)之间的氢及余量氦,远程氢等离子体暴露的处理时间是介于约0.5秒与约120秒之间。图9中的多个特征中的每一个特征中形成有空隙,但与图8相比,这些空隙明显较小。
在以上的描述中,说明了大量的特定细节,以提供对所提出的实施方案的彻底理解。所公开的实施方案可在没有这些特定细节中的一些或全部的情况下实行。在其他的示例中,为了不使本发明难以理解,公知的工艺操作不会有详细描述。虽然所公开的实施方案是结合特定实施方案而进行说明的,但应理解,其并非意图限制所公开的实施方案。
虽然上述的实施方案为了清楚和理解的目的进行了详细描述,但显而易见的是,某些变化和修改可在所附权利要求的范围内实施。应当注意,存在实现所提供的实施方案的工艺、***和设备的许多替代方式。因此,所描述的实施例应被认为是说明性的而不是限制性的,并且所述实施方案不应受限于本文所给出的细节。

Claims (24)

1.一种在衬底的一或更多个特征中沉积掺杂或未掺杂硅碳化物(SiCxOyNz)膜的方法,所述方法包括:
在所述衬底的所述一或更多个特征中沉积所述SiCxOyNz膜的第一厚度;
在使所述一或更多个特征中的每一特征的顶表面附近的开口的尺寸增大的条件下,将所述SiCxOyNz膜暴露于远程氢等离子体;以及
在所述SiCxOyNz膜的所述第一厚度上沉积所述SiCxOyNz膜的第二厚度,其中x的值大于零,y的值等于或大于零,且z的值等于或大于零。
2.根据权利要求1所述的方法,其还包括:
重复将所述SiCxOyNz膜暴露于所述远程氢等离子体并且在所述一或更多个特征中沉积所述SiCxOyNz膜的新厚度的操作,直到所述一或更多个特征被基本上填充。
3.根据权利要求1所述的方法,其中所述远程氢等离子体的所述条件包括处理时间、处理频率、处理功率、和/或远程等离子体气体组成,其中控制所述处理时间、所述处理频率、所述处理功率、和/或所述远程等离子体气体组成,使得所述一或更多个特征中的每一特征的所述顶表面附近的所述开口的尺寸比所述一或更多个特征中的每一特征的底表面附近的开口的尺寸增大较多。
4.根据权利要求3所述的方法,其中暴露于所述远程氢等离子体的所述处理时间介于约0.5秒与约120秒之间。
5.根据权利要求3所述的方法,其中所述处理频率为沉积所述SiCxOyNz膜并且将所述SiCxOyNz膜暴露于远程氢等离子体的每一循环为
Figure FDA0003120890390000011
或更小的所述SiCxOyNz膜。
6.根据权利要求3所述的方法,其中所述远程氢等离子体的所述远程等离子体气体组成包括具有介于约10%与约50%体积百分比之间的氢浓度的所述远程氢等离子体。
7.根据权利要求1-6中任一项所述的方法,其中所述第一厚度和所述第二厚度中的每一者等于或小于约
Figure FDA0003120890390000021
8.根据权利要求7所述的方法,其中所述第一厚度和所述第二厚度中的每一者介于约
Figure FDA0003120890390000022
与约
Figure FDA0003120890390000023
之间。
9.根据权利要求1-6中任一项所述的方法,其中沉积所述SiCxOyNz膜的所述第一厚度包括:
使一或更多种含硅前体流入反应室;以及
将从远程等离子体源所产生的一或更多种氢自由基引入所述反应室中并且朝向所述反应室中的所述衬底,其中所述一或更多种氢自由基与所述一或更多种含硅前体反应,以沉积所述SiCxOyNz膜的所述第一厚度。
10.根据权利要求9所述的方法,其中所述氢自由基中的至少90%为处于基态的氢自由基。
11.根据权利要求1-6中任一项所述的方法,其中所述远程氢等离子体的所述条件使所述一或更多个特征中的每一特征的所述顶表面附近的所述开口的尺寸增大至少约5%。
12.根据权利要求1-6中任一项所述的方法,其中当所述SiCxOyNz膜的所述第一厚度的碳的原子浓度介于约10%与约30%之间时,所述远程氢等离子体的所述条件使所述一或更多个特征中的每一特征的所述顶表面附近的所述开口的尺寸增大。
13.根据权利要求1-6中任一项所述的方法,其中所述SiCxOyNz膜包括硅碳氧化物(SiCO)。
14.根据权利要求1-6中任一项所述的方法,其中沉积所述SiCxOyNz膜的所述第一厚度并且将所述SiCxOyNz膜暴露于所述远程氢等离子体的操作是在未导致真空破坏的情况下进行。
15.根据权利要求1-6中任一项所述的方法,其中所述SiCxOyNz膜在干式蚀刻或湿式蚀刻条件下针对氮化物材料及氧化物材料具有大于7:1的蚀刻选择性。
16.根据权利要求1-6中任一项所述的方法,其还包括:
在沉积所述SiCxOyNz膜的所述第一厚度与将所述SiCxOyNz膜暴露于所述远程氢等离子体之间引入时间间隔,以调整间隙填充性能。
17.根据权利要求1-6中任一项所述的方法,其还包括:
在将所述SiCxOyNz膜暴露于所述远程氢等离子体之后引入时间间隔,以调整间隙填充性能。
18.一种设备,其包括:
反应室;
衬底支撑件,其用于支撑所述反应室中的衬底,所述衬底具有一或更多个特征;以及
控制器,其配置有用于执行以下操作的指令:
在所述衬底的所述一或更多个特征中沉积掺杂或未掺杂硅碳化物(SiCxOyNz)膜的第一厚度;
在使所述一或更多个特征中的每一特征的顶表面附近的开口的尺寸增大的条件下,将所述SiCxOyNz膜暴露于远程氢等离子体;以及
在所述SiCxOyNz膜的所述第一厚度上沉积所述SiCxOyNz膜的第二厚度,其中x的值大于零,y的值等于或大于零,且z的值等于或大于零。
19.根据权利要求18所述的设备,其中所述控制器进一步配置有用于执行以下操作的指令:
重复将所述SiCxOyNz膜暴露于所述远程氢等离子体并且在所述一或更多个特征中沉积所述SiCxOyNz膜的新厚度的操作,直到所述一或更多个特征被基本上填充。
20.根据权利要求18所述的设备,其中所述远程氢等离子体的所述条件包括处理时间、处理频率、处理功率、和/或远程等离子体气体组成,其中控制所述处理时间、所述处理频率、所述处理功率、和/或远程等离子体气体组成,使得所述一或更多个特征中的每一特征的所述顶表面附近的所述开口的尺寸比所述一或更多个特征中的每一特征的底表面附近的开口的尺寸增大较多。
21.根据权利要求20所述的设备,其中所述远程氢等离子体的所述远程等离子体气体组成包括具有介于约10%与约50%体积百分比之间的氢浓度的所述远程氢等离子体。
22.根据权利要求18-21中任一项所述的设备,其中所述控制器进一步配置有用于执行以下操作的指令:
在沉积所述SiCxOyNz膜的所述第一厚度与将所述SiCxOyNz膜暴露于所述远程氢等离子体之间引入时间间隔,以调整间隙填充性能。
23.根据权利要求18-21中任一项所述的设备,其中所述控制器进一步配置有用于执行以下操作的指令:
在将所述SiCxOyNz膜暴露于所述远程氢等离子体之后引入时间间隔,以调整间隙填充性能。
24.根据权利要求18-21中任一项所述的设备,其中所述第一厚度和所述第二厚度中的每一者等于或小于约
Figure FDA0003120890390000041
CN201980084273.XA 2018-10-19 2019-10-10 用于间隙填充的远程氢等离子体暴露以及掺杂或未掺杂硅碳化物沉积 Pending CN113195786A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862748186P 2018-10-19 2018-10-19
US62/748,186 2018-10-19
PCT/US2019/055671 WO2020081367A1 (en) 2018-10-19 2019-10-10 Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill

Publications (1)

Publication Number Publication Date
CN113195786A true CN113195786A (zh) 2021-07-30

Family

ID=70284056

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980084273.XA Pending CN113195786A (zh) 2018-10-19 2019-10-10 用于间隙填充的远程氢等离子体暴露以及掺杂或未掺杂硅碳化物沉积

Country Status (5)

Country Link
US (4) US11848199B2 (zh)
JP (1) JP7487189B2 (zh)
KR (5) KR20230085953A (zh)
CN (1) CN113195786A (zh)
WO (1) WO2020081367A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
WO2020081367A1 (en) 2018-10-19 2020-04-23 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
KR20220082751A (ko) * 2020-12-09 2022-06-17 에이에스엠 아이피 홀딩 비.브이. 실리콘-탄소 재료를 포함한 구조체를 형성하는 방법, 이 방법을 사용하여 형성된 구조체, 및 이 구조체를 형성하기 위한 시스템
US20230050255A1 (en) * 2021-08-13 2023-02-16 Applied Materials, Inc. Seam removal in high aspect ratio gap-fill
US20230360924A1 (en) * 2022-05-05 2023-11-09 Applied Materials, Inc. Low temperature carbon gapfill

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050211170A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US20110256726A1 (en) * 2010-04-15 2011-10-20 Adrien Lavoie Plasma activated conformal film deposition
US20120282756A1 (en) * 2011-03-23 2012-11-08 Lingkuan Meng Thin Film Filling Method
US20130330935A1 (en) * 2012-06-12 2013-12-12 Bhadri Varadarajan REMOTE PLASMA BASED DEPOSITION OF SiOC CLASS OF FILMS
US20140134827A1 (en) * 2010-04-15 2014-05-15 Novellus Systems, Inc. Conformal film deposition for gapfill
US20140356549A1 (en) * 2013-05-31 2014-12-04 Novellus Systems, Inc. METHOD TO OBTAIN SiC CLASS OF FILMS OF DESIRED COMPOSITION AND FILM PROPERTIES
US20150110968A1 (en) * 2013-10-22 2015-04-23 Lam Research Corporation Tandem source activation for cyclical deposition of films
US20150118394A1 (en) * 2013-10-24 2015-04-30 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US20150303056A1 (en) * 2012-06-12 2015-10-22 Novellus Systems, Inc. Conformal deposition of silicon carbide films
CN106067440A (zh) * 2015-04-21 2016-11-02 朗姆研究公司 使用基于碳的膜的间隙填充
US9837270B1 (en) * 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US20170365462A1 (en) * 2012-06-12 2017-12-21 Novellus Systems, Inc. Remote plasma based deposition of oxygen doped silicon carbide films
US20170372919A1 (en) * 2016-06-25 2017-12-28 Applied Materials, Inc. Flowable Amorphous Silicon Films For Gapfill Applications
US20180061636A1 (en) * 2016-08-30 2018-03-01 Versum Materials Us, Llc Precursors and Flowable CVD Methods for Making Low-K Films to Fill Surface Features
US20180247858A1 (en) * 2017-02-28 2018-08-30 Tokyo Electron Limited Film deposition method and plasma processing apparatus

Family Cites Families (214)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59128281A (ja) 1982-12-29 1984-07-24 信越化学工業株式会社 炭化けい素被覆物の製造方法
DE3811567A1 (de) 1988-04-07 1989-10-19 Wacker Chemie Gmbh Verfahren zur herstellung von organopolysilanen
KR940003787B1 (ko) 1988-09-14 1994-05-03 후지쓰 가부시끼가이샤 박막 형성장치 및 방법
JPH05326452A (ja) 1991-06-10 1993-12-10 Kawasaki Steel Corp プラズマ処理装置及び方法
FR2713666B1 (fr) 1993-12-15 1996-01-12 Air Liquide Procédé et dispositif de dépôt à basse température d'un film contenant du silicium sur un substrat métallique.
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
JP3164019B2 (ja) 1997-05-21 2001-05-08 日本電気株式会社 酸化シリコン膜およびその形成方法と成膜装置
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US7354873B2 (en) 1998-02-05 2008-04-08 Asm Japan K.K. Method for forming insulation film
JP4151862B2 (ja) 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
US6262445B1 (en) 1998-03-30 2001-07-17 Texas Instruments Incorporated SiC sidewall process
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US6846391B1 (en) 1998-04-01 2005-01-25 Novellus Systems Process for depositing F-doped silica glass in high aspect ratio structures
US20010012667A1 (en) 1999-01-15 2001-08-09 Yi Ma Clustered system and method for formation of integrated circuit devices
EP1208002A4 (en) 1999-06-03 2006-08-02 Penn State Res Found MATERIALS WITH NETWORK OF SURFACE POROSITY COLUMNS DEPOSITED IN THIN FILM
ATE418158T1 (de) 1999-08-17 2009-01-15 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten sio2-filmen zur erhöhung der stabilität während der o2-veraschung
EP1077479A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
DE60024191T2 (de) 1999-09-29 2006-07-20 Seiko Epson Corp. Druckgerät, Verfahren zu seiner Kontrolle und ein Datenspeichermedium zum Speichern eines das Verfahren ausführenden Computerprogrammes
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6399489B1 (en) 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
US6863019B2 (en) 2000-06-13 2005-03-08 Applied Materials, Inc. Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6448186B1 (en) 2000-10-06 2002-09-10 Novellus Systems, Inc. Method and apparatus for use of hydrogen and silanes in plasma
US6365527B1 (en) 2000-10-06 2002-04-02 United Microelectronics Corp. Method for depositing silicon carbide in semiconductor devices
US6576345B1 (en) 2000-11-30 2003-06-10 Novellus Systems Inc Dielectric films with low dielectric constants
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6936533B2 (en) 2000-12-08 2005-08-30 Samsung Electronics, Co., Ltd. Method of fabricating semiconductor devices having low dielectric interlayer insulation layer
KR100705189B1 (ko) 2000-12-30 2007-04-06 주식회사 하이닉스반도체 반도체 소자의 박막 형성 방법
US6846745B1 (en) 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
US20030064154A1 (en) 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
KR100778947B1 (ko) 2001-08-30 2007-11-22 동경 엘렉트론 주식회사 성막 방법 및 성막 장치
US20030154141A1 (en) 2001-09-18 2003-08-14 Pro Corp Holdings International Ltd. Image recognition inventory management system
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6838393B2 (en) 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US6699784B2 (en) 2001-12-14 2004-03-02 Applied Materials Inc. Method for depositing a low k dielectric film (K>3.5) for hard mask application
US6818570B2 (en) 2002-03-04 2004-11-16 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and high mechanical strength
US20030194496A1 (en) 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US6935553B2 (en) 2002-04-16 2005-08-30 Senju Metal Industry Co., Ltd. Reflow soldering method
JP4683825B2 (ja) 2002-04-24 2011-05-18 株式会社半導体エネルギー研究所 半導体装置およびその作製方法
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US20060014384A1 (en) 2002-06-05 2006-01-19 Jong-Cheol Lee Method of forming a layer and forming a capacitor of a semiconductor device having the same layer
US7547635B2 (en) 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
JP3991315B2 (ja) 2002-09-17 2007-10-17 キヤノンアネルバ株式会社 薄膜形成装置及び方法
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
JP4066332B2 (ja) 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 シリコンカーバイド膜の製造方法
JP4109531B2 (ja) 2002-10-25 2008-07-02 松下電器産業株式会社 半導体装置及びその製造方法
US7485570B2 (en) 2002-10-30 2009-02-03 Fujitsu Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
DE10250889B4 (de) 2002-10-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Verbesserte SiC-Barrierenschicht für eine Kupfermetallisierungsschicht mit einem Dielektrikum mit kleinem ε und Verfahren zur Herstellung derselben
US20040084774A1 (en) 2002-11-02 2004-05-06 Bo Li Gas layer formation materials
US20040232552A1 (en) 2002-12-09 2004-11-25 Advanced Micro Devices, Inc. Air gap dual damascene process and structure
US6825130B2 (en) 2002-12-12 2004-11-30 Asm Japan K.K. CVD of porous dielectric materials
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7365029B2 (en) 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US6790788B2 (en) 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US7238393B2 (en) 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US20040197474A1 (en) 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
JP2004363241A (ja) 2003-06-03 2004-12-24 Advanced Lcd Technologies Development Center Co Ltd 結晶化半導体層の形成方法及び形成装置ならびに半導体装置の製造方法
KR20050002525A (ko) 2003-06-30 2005-01-07 주식회사 하이닉스반도체 반도체 소자의 확산방지막 제조방법
JP3966249B2 (ja) 2003-07-30 2007-08-29 日産自動車株式会社 半導体装置及び半導体装置の製造方法
US7018560B2 (en) 2003-08-05 2006-03-28 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Composition for polishing semiconductor layers
US6849561B1 (en) 2003-08-18 2005-02-01 Asm Japan K.K. Method of forming low-k films
US20050100682A1 (en) 2003-11-06 2005-05-12 Tokyo Electron Limited Method for depositing materials on a substrate
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7102232B2 (en) 2004-04-19 2006-09-05 International Business Machines Corporation Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer
US7067409B2 (en) 2004-05-10 2006-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance
US7622400B1 (en) 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
JP2006013190A (ja) 2004-06-28 2006-01-12 Rohm Co Ltd 半導体装置の製造方法
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
JP4987717B2 (ja) 2004-08-18 2012-07-25 ダウ・コーニング・コーポレイション コーティングを有する基板及びその調製方法
US7422776B2 (en) 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7166544B2 (en) 2004-09-01 2007-01-23 Applied Materials, Inc. Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
GB0423685D0 (en) 2004-10-26 2004-11-24 Dow Corning Ireland Ltd Improved method for coating a substrate
US7335980B2 (en) 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US7662355B2 (en) 2004-11-29 2010-02-16 National University Corporation Tokyo University Of Agriculture And Technology Silicon nanosized linear body and a method for producing a silicon nanosized linear body
US7259111B2 (en) 2005-01-19 2007-08-21 Applied Materials, Inc. Interface engineering to improve adhesion between low k stacks
US7189658B2 (en) 2005-05-04 2007-03-13 Applied Materials, Inc. Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
KR101272097B1 (ko) 2005-06-03 2013-06-07 가부시키가이샤 한도오따이 에네루기 켄큐쇼 집적회로 장치 및 그의 제조방법
JP2006351694A (ja) 2005-06-14 2006-12-28 Fujitsu Ltd 半導体装置およびその製造方法
JP2007053133A (ja) 2005-08-15 2007-03-01 Toshiba Corp 半導体装置及びその製造方法
US8021992B2 (en) 2005-09-01 2011-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. High aspect ratio gap fill application using high density plasma chemical vapor deposition
US7718518B2 (en) 2005-12-16 2010-05-18 Asm International N.V. Low temperature doped silicon layer formation
US20070173071A1 (en) 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
EP1989733A1 (en) 2006-02-28 2008-11-12 STMicroelectronics (Crolles 2) SAS Metal interconnects in a dielectric material
WO2007116492A1 (ja) 2006-03-31 2007-10-18 Fujitsu Microelectronics Limited 半導体装置の製造方法
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
WO2007140377A2 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7514375B1 (en) 2006-08-08 2009-04-07 Novellus Systems, Inc. Pulsed bias having high pulse frequency for filling gaps with dielectric material
US20080064173A1 (en) 2006-09-08 2008-03-13 United Microelectronics Corp. Semiconductor device, cmos device and fabricating methods of the same
US8053372B1 (en) 2006-09-12 2011-11-08 Novellus Systems, Inc. Method of reducing plasma stabilization time in a cyclic deposition process
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US20080178805A1 (en) 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
DE102006058771B4 (de) 2006-12-12 2018-03-01 Schott Ag Behälter mit verbesserter Restentleerbarkeit und Verfahren zu dessen Herstellung
US20080156264A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
JP5140290B2 (ja) 2007-03-02 2013-02-06 富士フイルム株式会社 絶縁膜
CN101017834A (zh) 2007-03-02 2007-08-15 上海集成电路研发中心有限公司 一种soi集成电路结构及其制作方法
US7615482B2 (en) 2007-03-23 2009-11-10 International Business Machines Corporation Structure and method for porous SiCOH dielectric layers and adhesion promoting or etch stop layers having increased interfacial and mechanical strength
US20090264277A1 (en) 2007-04-17 2009-10-22 Dr. Rishi Raj Picoscale catalysts for hydrogen catalysis
US7955955B2 (en) 2007-05-10 2011-06-07 International Business Machines Corporation Using crack arrestor for inhibiting damage from dicing and chip packaging interaction failures in back end of line structures
JP5022116B2 (ja) 2007-06-18 2012-09-12 三菱重工業株式会社 半導体装置の製造方法及び製造装置
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
WO2009012067A1 (en) 2007-07-13 2009-01-22 Applied Materials, Inc. Boron derived materials deposition method
US20090061649A1 (en) 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
JP2009075285A (ja) 2007-09-20 2009-04-09 Fujifilm Corp 半導体デバイスの剥離液、及び、剥離方法
US7964442B2 (en) 2007-10-09 2011-06-21 Applied Materials, Inc. Methods to obtain low k dielectric barrier with superior etch resistivity
JP5006938B2 (ja) 2007-11-02 2012-08-22 キヤノンアネルバ株式会社 表面処理装置およびその基板処理方法
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
US7648899B1 (en) 2008-02-28 2010-01-19 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
US9591738B2 (en) 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
KR20090106112A (ko) 2008-04-04 2009-10-08 울산대학교 산학협력단 다결정 탄화규소 버퍼층위에 마이크로 또는 나노전자기계시스템용 질화알루미늄막 증착방법
US20090258487A1 (en) 2008-04-14 2009-10-15 Keng-Chu Lin Method for Improving the Reliability of Low-k Dielectric Materials
KR20150038544A (ko) 2008-05-07 2015-04-08 더 트러스티즈 오브 프린스턴 유니버시티 전자 장치들 또는 다른 물품들 위의 코팅들에 사용하기 위한 혼성 층들
KR101629193B1 (ko) 2008-06-26 2016-06-10 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Soi 기판의 제작 방법
US20100025370A1 (en) 2008-08-04 2010-02-04 Applied Materials, Inc. Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method
US8168268B2 (en) 2008-12-12 2012-05-01 Ovishinsky Innovation, LLC Thin film deposition via a spatially-coordinated and time-synchronized process
US8916022B1 (en) 2008-09-12 2014-12-23 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8809195B2 (en) 2008-10-20 2014-08-19 Asm America, Inc. Etching high-k materials
US8637396B2 (en) 2008-12-01 2014-01-28 Air Products And Chemicals, Inc. Dielectric barrier deposition using oxygen containing precursor
US20100224322A1 (en) 2009-03-03 2010-09-09 Applied Materials, Inc. Endpoint detection for a reactor chamber using a remote plasma chamber
WO2010132579A2 (en) 2009-05-13 2010-11-18 Cv Holdings, Llc Vessel processing
US8268722B2 (en) 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
US8084339B2 (en) 2009-06-12 2011-12-27 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US20100317198A1 (en) 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
CN102576667A (zh) 2009-07-22 2012-07-11 应用材料公司 中空阴极喷头
US8071451B2 (en) 2009-07-29 2011-12-06 Axcelis Technologies, Inc. Method of doping semiconductors
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8202783B2 (en) 2009-09-29 2012-06-19 International Business Machines Corporation Patternable low-k dielectric interconnect structure with a graded cap layer and method of fabrication
JP5656010B2 (ja) 2009-12-04 2015-01-21 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated ハードマスク膜を形成する方法およびハードマスク膜を成膜する装置
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
WO2011072143A2 (en) 2009-12-09 2011-06-16 Novellus Systems, Inc. Novel gap fill integration
JP5394270B2 (ja) 2010-01-25 2014-01-22 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
US8399350B2 (en) 2010-02-05 2013-03-19 International Business Machines Corporation Formation of air gap with protection of metal lines
KR101123829B1 (ko) 2010-02-12 2012-03-20 국제엘렉트릭코리아 주식회사 기판 처리 장치 및 방법
US8349746B2 (en) 2010-02-23 2013-01-08 Applied Materials, Inc. Microelectronic structure including a low k dielectric and a method of controlling carbon distribution in the structure
JP5476161B2 (ja) 2010-03-02 2014-04-23 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN102844848A (zh) 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
US20130012030A1 (en) 2010-03-17 2013-01-10 Applied Materials, Inc. Method and apparatus for remote plasma source assisted silicon-containing film deposition
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US20120142172A1 (en) 2010-03-25 2012-06-07 Keith Fox Pecvd deposition of smooth polysilicon films
US8288292B2 (en) 2010-03-30 2012-10-16 Novellus Systems, Inc. Depositing conformal boron nitride film by CVD without plasma
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
US8440571B2 (en) 2010-11-03 2013-05-14 Applied Materials, Inc. Methods for deposition of silicon carbide and silicon carbonitride films
KR101787041B1 (ko) 2010-11-17 2017-10-18 삼성전자주식회사 식각방지막이 구비된 반도체 소자 및 그 제조방법
CN102468434A (zh) 2010-11-17 2012-05-23 中芯国际集成电路制造(北京)有限公司 相变存储器的制作方法
US20120149213A1 (en) 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
US8329599B2 (en) 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8637412B2 (en) 2011-08-19 2014-01-28 International Business Machines Corporation Process to form an adhesion layer and multiphase ultra-low k dielectric material using PECVD
KR101334640B1 (ko) 2011-08-22 2013-11-29 서울시립대학교 산학협력단 고강도 실리콘옥시카바이드 결합 탄화규소 소재 제조용 조성물, 탄화규소 소재 및 그 제조방법
JP2013055136A (ja) 2011-09-01 2013-03-21 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
US20130217239A1 (en) 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-and-carbon-containing layers for semiconductor processing
JP2013074093A (ja) 2011-09-28 2013-04-22 Renesas Electronics Corp リフロー前処理装置およびリフロー前処理方法
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
JPWO2013073216A1 (ja) 2011-11-14 2015-04-02 住友電気工業株式会社 炭化珪素基板、半導体装置およびこれらの製造方法
WO2013103037A1 (ja) 2012-01-07 2013-07-11 日本電気株式会社 光学装置、光学素子および画像表示装置
US8586487B2 (en) 2012-01-18 2013-11-19 Applied Materials, Inc. Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
US20130242493A1 (en) 2012-03-13 2013-09-19 Qualcomm Mems Technologies, Inc. Low cost interposer fabricated with additive processes
US20130298942A1 (en) 2012-05-14 2013-11-14 Applied Materials, Inc. Etch remnant removal
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US20180347035A1 (en) 2012-06-12 2018-12-06 Lam Research Corporation Conformal deposition of silicon carbide films using heterogeneous precursor interaction
US20180330945A1 (en) 2012-06-12 2018-11-15 Lam Research Corporation Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
JP6172660B2 (ja) 2012-08-23 2017-08-02 東京エレクトロン株式会社 成膜装置、及び、低誘電率膜を形成する方法
WO2014070600A1 (en) 2012-10-29 2014-05-08 Matheson Tri-Gas, Inc. Methods for selective and conformal epitaxy of highly doped si-containing materials for three dimensional structures
JP6047795B2 (ja) 2012-11-12 2016-12-21 日東電工株式会社 アンテナモジュール
CN105143503A (zh) 2012-12-21 2015-12-09 普拉萨德·纳哈·加吉尔 陶瓷薄膜低温沉积方法
US8766404B1 (en) 2013-01-10 2014-07-01 Intermolecular, Inc. Device design for partially oriented rutile dielectrics
US8928149B2 (en) 2013-03-12 2015-01-06 Macronix International Co., Ltd. Interlayer conductor and method for forming
KR102136769B1 (ko) 2013-03-14 2020-07-22 어플라이드 머티어리얼스, 인코포레이티드 Pecvd 프로세스에서 우수한 접착 강도를 갖고 유전 상수 증가를 최소화하기 위한 접착 층
US20140302690A1 (en) 2013-04-04 2014-10-09 Applied Materials, Inc. Chemical linkers to impart improved mechanical strength to flowable films
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
US8927442B1 (en) 2013-07-25 2015-01-06 International Business Machines Corporation SiCOH hardmask with graded transition layers
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
JP6267953B2 (ja) 2013-12-19 2018-01-24 東京エレクトロン株式会社 半導体装置の製造方法
US9362186B2 (en) 2014-07-18 2016-06-07 Applied Materials, Inc. Polishing with eddy current feed meaurement prior to deposition of conductive layer
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9520295B2 (en) 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
TWI693295B (zh) 2015-02-06 2020-05-11 美商諾發系統有限公司 碳化矽膜之保形沉積
US9391086B1 (en) 2015-02-23 2016-07-12 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing nonvolatile semiconductor memory device
US20160268286A1 (en) 2015-03-11 2016-09-15 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device and semiconductor device
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
CN111118477A (zh) 2015-06-05 2020-05-08 应用材料公司 赋予掺杂硼的碳膜静电夹持及极佳颗粒性能的渐变原位电荷捕捉层
KR102658085B1 (ko) 2015-07-09 2024-04-16 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 알킬아미노 치환 할로카보실란 전구체
WO2017049253A1 (en) 2015-09-18 2017-03-23 Applied Materials, Inc. Methods for depositing conformal bcn films
US10418243B2 (en) 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US20170178899A1 (en) 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures
CN108603287B (zh) 2015-12-21 2021-11-02 弗萨姆材料美国有限责任公司 用于沉积含硅膜的组合物及使用其的方法
US20180033614A1 (en) 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
TW201822259A (zh) 2016-09-09 2018-06-16 美商諾發系統有限公司 氧摻雜矽碳化物膜之基於遠程電漿的沉積
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
WO2020081367A1 (en) 2018-10-19 2020-04-23 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050211170A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US20140134827A1 (en) * 2010-04-15 2014-05-15 Novellus Systems, Inc. Conformal film deposition for gapfill
US20110256726A1 (en) * 2010-04-15 2011-10-20 Adrien Lavoie Plasma activated conformal film deposition
US20120282756A1 (en) * 2011-03-23 2012-11-08 Lingkuan Meng Thin Film Filling Method
US20150303056A1 (en) * 2012-06-12 2015-10-22 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US20130330935A1 (en) * 2012-06-12 2013-12-12 Bhadri Varadarajan REMOTE PLASMA BASED DEPOSITION OF SiOC CLASS OF FILMS
US20170365462A1 (en) * 2012-06-12 2017-12-21 Novellus Systems, Inc. Remote plasma based deposition of oxygen doped silicon carbide films
US20140356549A1 (en) * 2013-05-31 2014-12-04 Novellus Systems, Inc. METHOD TO OBTAIN SiC CLASS OF FILMS OF DESIRED COMPOSITION AND FILM PROPERTIES
US20150110968A1 (en) * 2013-10-22 2015-04-23 Lam Research Corporation Tandem source activation for cyclical deposition of films
US20150118394A1 (en) * 2013-10-24 2015-04-30 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
CN106067440A (zh) * 2015-04-21 2016-11-02 朗姆研究公司 使用基于碳的膜的间隙填充
US20170372919A1 (en) * 2016-06-25 2017-12-28 Applied Materials, Inc. Flowable Amorphous Silicon Films For Gapfill Applications
US20180061636A1 (en) * 2016-08-30 2018-03-01 Versum Materials Us, Llc Precursors and Flowable CVD Methods for Making Low-K Films to Fill Surface Features
US9837270B1 (en) * 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US20180247858A1 (en) * 2017-02-28 2018-08-30 Tokyo Electron Limited Film deposition method and plasma processing apparatus
CN108504996A (zh) * 2017-02-28 2018-09-07 东京毅力科创株式会社 成膜方法和等离子体处理装置

Also Published As

Publication number Publication date
JP2022505310A (ja) 2022-01-14
KR20230085954A (ko) 2023-06-14
JP7487189B2 (ja) 2024-05-20
US20220238333A1 (en) 2022-07-28
KR20220056249A (ko) 2022-05-04
KR20220056248A (ko) 2022-05-04
KR20210063434A (ko) 2021-06-01
WO2020081367A1 (en) 2020-04-23
US20240063015A1 (en) 2024-02-22
US11848199B2 (en) 2023-12-19
US20210391171A1 (en) 2021-12-16
TW202032660A (zh) 2020-09-01
KR20230085953A (ko) 2023-06-14
US20220238334A1 (en) 2022-07-28

Similar Documents

Publication Publication Date Title
US20230203646A1 (en) Conformal deposition of silicon carbide films using heterogeneous precursor interaction
US11264234B2 (en) Conformal deposition of silicon carbide films
CN109791871B (zh) 基于远程等离子体的渐变或多层的碳化硅膜的沉积
CN110313051B (zh) 使用远程等离子体处理使碳化硅膜致密化
US10832904B2 (en) Remote plasma based deposition of oxygen doped silicon carbide films
US20180330945A1 (en) Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
US11848199B2 (en) Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
CN112673123A (zh) 基于远程等离子体的硼氮化物、硼碳化物和硼碳氮化物膜的沉积
TWI843755B (zh) 用於間隙填充的遠程氫電漿暴露以及摻雜或未摻雜矽碳化物沉積
JP2024096365A (ja) 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露
CN112469846B (zh) 使用异质前体相互作用的硅碳化物膜的保形沉积
TWI837151B (zh) 使用含矽及含碳前驅物的基於遠端電漿之矽碳化物膜沉積
TWI845526B (zh) 在基板上沉積矽碳化物膜的方法
CN118352224A (zh) 基于远程等离子体的渐变或多层的碳化硅膜的沉积

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination