JP7331119B2 - 複数の機能性チップを伴う三次元nandメモリデバイスの集積 - Google Patents

複数の機能性チップを伴う三次元nandメモリデバイスの集積 Download PDF

Info

Publication number
JP7331119B2
JP7331119B2 JP2021549842A JP2021549842A JP7331119B2 JP 7331119 B2 JP7331119 B2 JP 7331119B2 JP 2021549842 A JP2021549842 A JP 2021549842A JP 2021549842 A JP2021549842 A JP 2021549842A JP 7331119 B2 JP7331119 B2 JP 7331119B2
Authority
JP
Japan
Prior art keywords
chip
memory
interconnect
layer
dram
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021549842A
Other languages
English (en)
Other versions
JP2022521618A (ja
Inventor
ジュン・リュウ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Yangtze Memory Technologies Co Ltd
Original Assignee
Yangtze Memory Technologies Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Yangtze Memory Technologies Co Ltd filed Critical Yangtze Memory Technologies Co Ltd
Publication of JP2022521618A publication Critical patent/JP2022521618A/ja
Application granted granted Critical
Publication of JP7331119B2 publication Critical patent/JP7331119B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/005Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor comprising combined but independently operative RAM-ROM, RAM-PROM, RAM-EPROM cells
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/02Disposition of storage elements, e.g. in the form of a matrix array
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/02Disposition of storage elements, e.g. in the form of a matrix array
    • G11C5/025Geometric lay-out considerations of storage- and peripheral-blocks in a semiconductor storage device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/0345Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/03452Chemical vapour deposition [CVD], e.g. laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • H01L2224/03462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • H01L2224/03464Electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05567Disposition the external layer being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05601Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/05611Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05639Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05657Cobalt [Co] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05666Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05684Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/05686Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • H01L2224/091Disposition
    • H01L2224/0918Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/09181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/29186Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/32146Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the layer connector connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/83895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/83896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/9202Forming additional connectors after the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L24/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • H01L2924/1435Random access memory [RAM]
    • H01L2924/1436Dynamic random-access memory [DRAM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • H01L2924/1435Random access memory [RAM]
    • H01L2924/1437Static random-access memory [SRAM]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/18Peripheral circuit regions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/50Peripheral circuit region structures
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Non-Volatile Memory (AREA)
  • Logic Circuits (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

本開示は、概して半導体技術の分野に関し、より詳細には三次元(3D)集積回路を形成するための方法に関する。
プレーナ型集積回路(IC)デバイスは、プロセス技術、回路設計、プログラムアルゴリズム、および製作プロセスを向上させることでより小さい大きさへと縮小されている。しかしながら、論理/アナログデバイスおよびメモリセルの形状サイズが下限に近づくにつれて、プレーナプロセスおよび製作技術は困難になり、高コストになる。そのため、プレーナ型ICデバイスについての密度および帯域幅が上限に近づいている。三次元(3D)ICアーキテクチャはプレーナ型ICデバイスにおける密度および性能の限界に対処できる。
三次元集積回路デバイス、および三次元集積回路デバイスを形成するための方法の実施形態が、本開示において記載されている。
本開示の一態様は、三次元半導体デバイスを形成するための方法を提供し、その方法は、マイクロプロセッサチップを形成するステップを含み、そのステップは、少なくとも1つのマイクロプロセッサデバイスを第1の基板の上に形成することと、少なくとも1つの第1の相互接続構造を有する第1の相互接続層を少なくとも1つのマイクロプロセッサデバイスの上に形成することとを含む。方法は、メモリチップを形成するステップも含み、そのステップは、少なくとも1つのメモリセルを第2の基板の上に形成することと、少なくとも1つの第2の相互接続構造を有する第2の相互接続層を少なくとも1つのメモリセルの上に形成することとを含む。方法は、マイクロプロセッサチップの少なくとも1つのマイクロプロセッサデバイスが、少なくとも1つの第1の相互接続構造または少なくとも1つの第2の相互接続構造を通じてメモリチップの少なくとも1つのメモリセルと電気的に接続されるように、マイクロプロセッサチップの第1の相互接続層をメモリチップの第2の相互接続層と接合するステップをさらに含む。
一部の実施形態では、マイクロプロセッサチップの第1の相互接続層をメモリチップの第2の相互接続層と接合するステップは、接合境界面において、誘電体から誘電体への接合と、金属から金属への接合とを含む。
一部の実施形態では、マイクロプロセッサチップを形成するステップは、コンピュータまたは携帯機器のためのデジタル信号処理装置、マイクロコントローラ、または中央演算機を形成することを含む。
一部の実施形態では、メモリチップを形成するステップは、スタティックランダムアクセスメモリ、ダイナミックランダムアクセスメモリ、またはフラッシュメモリを形成することを含む。
本開示の別の態様は、三次元半導体デバイスを形成するための方法を提供し、その方法は、第1のメモリチップを形成するステップを含み、そのステップは、少なくとも1つの第1のメモリセルを第1の基板の上に形成することと、少なくとも1つの第1の相互接続構造を有する第1の相互接続層を少なくとも1つの第1のメモリセルの上に形成することとを含む。方法は、第2のメモリチップを形成するステップも含み、そのステップは、少なくとも1つの第2のメモリセルを第2の基板の上に形成することと、少なくとも1つの第2の相互接続構造を有する第2の相互接続層を少なくとも1つの第2のメモリセルの上に形成することとを含む。方法は、第1のメモリチップの少なくとも1つの第1のメモリセルが、少なくとも1つの第1の相互接続構造または少なくとも1つの第2の相互接続構造を通じて第2のメモリチップの少なくとも1つの第2のメモリセルと電気的に接続されるように、第1のメモリチップの第1の相互接続層を第2のメモリチップの第2の相互接続層と接合するステップをさらに含む。方法は、マイクロプロセッサチップを形成するステップも含み、そのステップは、少なくとも1つのマイクロプロセッサデバイスを第3の基板の上に形成することと、少なくとも1つの第3の相互接続構造を有する第3の相互接続層を少なくとも1つのマイクロプロセッサデバイスの上に形成することとを含む。方法は、マイクロプロセッサチップの少なくとも1つのマイクロプロセッサデバイスが、少なくとも1つの第1の相互接続構造または少なくとも1つの第3の相互接続構造を通じて第1のメモリチップの少なくとも1つの第1のメモリセルと電気的に接続されるように、マイクロプロセッサチップの第3の相互接続層を第1のメモリチップの第1の基板と接合するステップをさらに含む。
一部の実施形態では、第1のメモリチップの第1の相互接続層を第2のメモリチップの第2の相互接続層と接合するステップは、接合境界面において、誘電体から誘電体への接合と、金属から金属への接合とを含む。
一部の実施形態では、マイクロプロセッサチップの第3の相互接続層を第1のメモリチップの第1の基板と接合するステップは、接合境界面において、誘電体から誘電体への接合と、金属から金属への接合とを含む。
一部の実施形態では、三次元半導体デバイスを形成するための方法は、第1のメモリチップの第1の基板を通じて延びる少なくとも1つの垂直相互接続構造を形成するステップであって、少なくとも1つの垂直相互接続構造は少なくとも1つの第1の相互接続構造に電気的接続を提供する、ステップをさらに含む。
一部の実施形態では、三次元半導体デバイスを形成するための方法は、第2のメモリチップの第2の基板を通じて延びる少なくとも1つの垂直相互接続構造を形成するステップであって、少なくとも1つの垂直相互接続構造は少なくとも1つの第2の相互接続構造に電気的接続を提供する、ステップも含む。
一部の実施形態では、三次元半導体デバイスを形成するための方法は、第2のメモリチップの少なくとも1つの垂直相互接続構造と電気的に接続される少なくとも1つの入力/出力パッドを形成するステップをさらに含む。
一部の実施形態では、三次元半導体デバイスを形成するための方法は、マイクロプロセッサチップの第3の基板を通じて延びる少なくとも1つの垂直相互接続構造を形成するステップであって、少なくとも1つの垂直相互接続構造は少なくとも1つの第3の相互接続構造に電気的接続を提供する、ステップも含む。
一部の実施形態では、三次元半導体デバイスを形成するための方法は、マイクロプロセッサチップの少なくとも1つの垂直相互接続構造と電気的に接続される少なくとも1つの入力/出力パッドを形成するステップをさらに含む。
一部の実施形態では、三次元半導体デバイスを形成するための方法は、第1のメモリチップの第1の相互接続層を第2のメモリチップの第2の相互接続層と接合するステップの後に第1の基板または第2の基板を薄化するステップであって、薄化は、研削、湿式エッチング、乾式エッチング、または化学機械研磨を含む、ステップをさらに含む。
一部の実施形態では、三次元半導体デバイスを形成するための方法は、マイクロプロセッサチップの第3の相互接続層を第1のメモリチップの第1の基板と接合するステップの後に第2の基板または第3の基板を薄化するステップであって、薄化は、研削、湿式エッチング、乾式エッチング、または化学機械研磨を含む、ステップをさらに含む。
一部の実施形態では、マイクロプロセッサチップを形成するステップは、コンピュータまたは携帯機器のためのデジタル信号処理装置、マイクロコントローラ、または中央演算機を形成することを含む。
一部の実施形態では、第1のメモリチップを形成するステップは、スタティックランダムアクセスメモリまたはダイナミックランダムアクセスメモリを形成することを含む。
一部の実施形態では、第2のメモリチップを形成するステップはフラッシュメモリを形成することを含む。
本開示の別の態様は、第1の基板における少なくとも1つのマイクロプロセッサデバイスと、少なくとも1つのマイクロプロセッサデバイスに配置される、少なくとも1つの第1の相互接続構造を備える第1の相互接続層とを備えるマイクロプロセッサチップを有する三次元(3D)半導体デバイスを提供する。3D半導体デバイスは、第2の基板における少なくとも1つのメモリセルと、少なくとも1つのメモリセルに配置される、少なくとも1つの第2の相互接続構造を備える第2の相互接続層とを有するメモリチップも備える。3D半導体デバイスでは、マイクロプロセッサチップの第1の相互接続層はメモリチップの第2の相互接続層と接合され、少なくとも1つのマイクロプロセッサデバイスは、少なくとも1つの第1の相互接続構造または少なくとも1つの第2の相互接続構造を通じて少なくとも1つのメモリセルと電気的に接続される。
一部の実施形態では、三次元半導体デバイスは、マイクロプロセッサチップの第1の相互接続層とメモリチップの第2の相互接続層との間の接合境界面であって、誘電体から誘電体への接合と、金属から金属への接合とを含む接合境界面をさらに備える。
一部の実施形態では、マイクロプロセッサチップは、コンピュータまたは携帯機器のためのデジタル信号処理装置、マイクロコントローラ、または中央演算機を備える。
一部の実施形態では、メモリチップは、スタティックランダムアクセスメモリ、ダイナミックランダムアクセスメモリ、またはフラッシュメモリを備える。
本開示の別の態様は、第1の基板における少なくとも1つのマイクロプロセッサデバイスと、少なくとも1つのマイクロプロセッサデバイスに配置される、少なくとも1つの第1の相互接続構造を備える第1の相互接続層とを備えるマイクロプロセッサチップを有する三次元(3D)半導体デバイスを提供する。3D半導体デバイスは、第2の基板における少なくとも1つの第1のメモリセルと、少なくとも1つの第1のメモリセルに配置される、少なくとも1つの第2の相互接続構造を備える第2の相互接続層とを有する第1のメモリチップも備える。3D半導体デバイスは、第3の基板における少なくとも1つの第2のメモリセルと、少なくとも1つの第2のメモリセルに配置される、少なくとも1つの第3の相互接続構造を備える第3の相互接続層とを有する第2のメモリチップをさらに備える。3D半導体デバイスでは、マイクロプロセッサチップの第1の相互接続層は第1のメモリチップの第2の基板と接合され、マイクロプロセッサチップの少なくとも1つのマイクロプロセッサデバイスは、少なくとも1つの第1の相互接続構造または少なくとも1つの第2の相互接続構造を通じて第1のメモリチップの少なくとも1つの第1のメモリセルと電気的に接続される。3D半導体デバイスでは、第2のメモリチップの第3の相互接続層は第1のメモリチップの第2の相互接続層と接合され、マイクロプロセッサチップの少なくとも1つのマイクロプロセッサデバイスは、少なくとも1つの第1の相互接続構造、少なくとも1つの第2の相互接続構造、または、少なくとも1つの第3の相互接続構造を通じて第2のメモリチップの少なくとも1つの第2のメモリセルと電気的に接続される。
一部の実施形態では、三次元半導体デバイスでは、第1のメモリチップの少なくとも1つの第1のメモリセルは、少なくとも1つの第3の相互接続構造または少なくとも1つの第2の相互接続構造を通じて第2のメモリチップの少なくとも1つの第2のメモリセルと電気的に接続される。
一部の実施形態では、三次元半導体デバイスは、第1の基板、第2の基板、または第3の基板を通じて延びる少なくとも1つの垂直相互接続構造であって、少なくとも1つの第1の相互接続構造、少なくとも1つの第2の相互接続構造、または少なくとも1つの第3の相互接続構造に電気的接続を提供する少なくとも1つの垂直相互接続構造をさらに備える。
一部の実施形態では、三次元半導体デバイスは、少なくとも1つの垂直相互接続構造と電気的に接続される、第1の基板または第3の基板における少なくとも1つの入力/出力パッドも備える。
一部の実施形態では、三次元半導体デバイスは、第2のメモリチップの第3の相互接続層と第1のメモリチップの第2の相互接続層との間の接合境界面であって、誘電体から誘電体への接合と、金属から金属への接合とを含む接合境界面をさらに備える。
一部の実施形態では、三次元半導体デバイスは、マイクロプロセッサチップの第1の相互接続層と第1のメモリチップの第2の基板との間の接合境界面であって、誘電体から誘電体への接合と、金属から金属への接合とを含む接合境界面も備える。
一部の実施形態では、マイクロプロセッサチップは、コンピュータまたは携帯機器のためのデジタル信号処理装置、マイクロコントローラ、または中央演算機を備える。
一部の実施形態では、第1のメモリチップはスタティックランダムアクセスメモリまたはダイナミックランダムアクセスメモリを備える。
一部の実施形態では、第2のメモリチップはフラッシュメモリを備える。
本開示の他の態様が、本開示の記載、特許請求の範囲、および図面を考慮して、当業者によって理解され得る。
本明細書に組み込まれており、本明細書の一部を形成する添付の図面は、本開示の実施形態を示しており、さらに、本記載と一緒に、本開示の原理を説明するように供し、当業者に本開示を実施および使用させることができるように供する。
本開示の一部の実施形態による例示の三次元(3D)集積回路(IC)デバイスの概略図である。 本開示の一部の実施形態による様々なプロセス段階におけるCPUチップの概略的な断面図である。 本開示の一部の実施形態による様々なプロセス段階におけるCPUチップの概略的な断面図である。 本開示の一部の実施形態による様々なプロセス段階におけるDRAMチップの概略的な断面図である。 本開示の一部の実施形態による様々なプロセス段階におけるDRAMチップの概略的な断面図である。 本開示の一部の実施形態による、CPUチップおよびDRAMチップを伴う例示の3DのICデバイスの概略図である。 本開示の一部の実施形態による、CPUチップおよびDRAMチップを伴う3DのICデバイスを形成するための例示の方法の流れ図である。 本開示の一部の実施形態による、CPUチップ、DRAMチップ、およびNANDチップを伴う例示の3DのICデバイスの概略図である。 本開示の一部の実施形態による特定のプロセス段階におけるDRAMチップの概略的な断面図である。 本開示の一部の実施形態による特定のプロセス段階におけるNANDチップの概略的な断面図である。 本開示の一部の実施形態による様々なプロセス段階における、DRAMチップおよびNANDチップを伴う例示の3DのICデバイスの概略的な断面図である。 本開示の一部の実施形態による様々なプロセス段階における、DRAMチップおよびNANDチップを伴う例示の3DのICデバイスの概略的な断面図である。 本開示の一部の実施形態による様々なプロセス段階における、DRAMチップおよびNANDチップを伴う例示の3DのICデバイスの概略的な断面図である。 本開示の一部の実施形態による様々なプロセス段階における、NANDチップ、DRAMチップ、およびCPUチップを伴う例示の3DのICデバイスの概略的な断面図である。 本開示の一部の実施形態による様々なプロセス段階における、NANDチップ、DRAMチップ、およびCPUチップを伴う例示の3DのICデバイスの概略的な断面図である。 本開示の一部の実施形態による、NANDチップ、DRAMチップ、およびCPUチップを伴う3DのICデバイスを形成するための例示の方法の流れ図である。
本発明の特徴および利点は、同様の符号が全体を通じて対応する要素を特定している図面と併せて理解されるとき、以下に述べられている詳細な説明からより明らかとなる。図面では、同様の符号は、同一、機能的に同様、および/または構造的に同様の要素を概して指示している。要素が最初に現れる図面は、対応する符号における最も左の数字によって指示されている。
本開示の実施形態は、添付の図面を参照して説明される。
特定の構成および配置が検討されているが、これは例示の目的のためだけに行われていることは理解されるべきである。当業者は、他の構成および配置が本開示の精神および範囲から逸脱することなく使用できることを認識するものである。本開示が様々な他の用途においても用いられ得ることは、当業者には明らかとなる。
本明細書における「一実施形態」、「実施形態」、「例の実施形態」、「一部の実施形態」などへの言及は、記載されている実施形態が特定の特徴、構造、または特性を含み得るが、すべての実施形態が特定の特徴、構造、または特性を必ずしも含まない可能性のあることを指示することは留意されたい。さらに、このような文言は必ずしも同じ実施形態に言及しているわけではない。さらに、特定の特徴、構造、または特性が実施形態との関連で記載されているとき、明示的に記載されているかに拘わらず、他の実施形態と関連するこのような特徴、構造、または特性に影響を与えることは、当業者の知識の範囲内である。
概して、用語は文脈における使用から少なくとも一部理解され得る。例えば、本明細書で使用されるような「1つまたは複数」という用語は、少なくとも一部で文脈に依存して、単数形の意味での任意の特徴、構造、または特性を記載するために使用できる、または、複数形の意味での特徴、構造、または特性の組み合わせを記載するために使用できる。同様に、「1つ」または「その」などの用語も、少なくとも一部で文脈に依存して、単数の使用を伝えるように、または、複数の使用を伝えるように理解され得る。また、「~に基づいて」という用語は、因子の排他的なセットを伝えるように必ずしも意図されていないとして理解でき、代わりに、ここでも少なくとも一部で文脈に依存して、必ずしも明確に記載されていない追加の因子の存在を許容する可能性がある。
本開示における「~の上に」、「~の上方に」、および「~にわたって」の意味は、「~の上に」が何か「の上に直接的に」あることを意味するだけでなく、中間の特徴または層が間にある状態で何か「の上に」の意味も含むような幅広い様態で解釈されるべきであることは、容易に理解されるべきである。さらに「~の上方に」または「~にわたって」は、何か「の上方に」または「にわたって」を意味するだけでなく、中間の特徴または層が間にない状態で何か「の上方に」または「にわたって」ある(すなわち何かの上に直接)という意味も含み得る。
さらに、「~の下に」、「~の下方に」、「下方」、「~の上方」、「上方」などの空間的に相対的な用語は、本明細書において、図に示されているように、ある要素または特徴の別の要素または特徴に対する関係を説明するように、記載の容易性のために使用され得る。空間的に相対的な用語は、図に描写されている配向に加えて、使用中またはプロセスステップにおけるデバイスの異なる配向を網羅するように意図されている。装置は他で配向されてもよく(90度または他の配向で回転させられる)、本明細書で使用される空間的に相対的な記載はそれに応じて同様に解釈できる。
本明細書で使用されているように、「基板」という用語は、後に続く材料の層が追加される材料に言及している。基板は「上」面と「底」面とを備える。基板の上面は、典型的には、半導体デバイスが形成される場所であり、そのため、他に述べられていない場合、半導体デバイスは基板の上側に形成される。底面は上面の反対であり、そのため、基板の底側は基板の上側の反対である。基板自体はパターン形成され得る。基板の上に追加される材料は、パターン形成され得る、または、パターン形成されないままであり得る。さらに、基板は、シリコン、ゲルマニウム、ガリウムヒ素、リン化インジウムなどの多彩な半導体材料を含み得る。代替で、基板は、ガラス、プラスチック、またはサファイアのウェーハなど、非導電性材料から作られてもよい。
本明細書で使用されているように、「層」という用語は、厚さのある領域を含む材料の部分に言及している。層は、上側と、層の底側が基板に比較的近い底側とを有し、上側は基板から比較的離れている。層が、下に位置する構造もしくは上に位置する構造の全体にわたって延在し得る、または、下に位置する構造もしくは上に位置する構造の延在より小さい延在を有し得る。さらに、層は、連続的な構造の厚さより小さい厚さを有する一様または非一様で連続的な構造の領域であり得る。例えば、層は、連続的な構造の上面に、底面に、または、それらの面の間の任意のセットの水平の平面の間に、位置させられ得る。層は、水平に、鉛直に、および/または、先細りの表面に沿って、延在することができる。基板は、層とでき、1つもしくは複数の層を備えることができ、ならびに/または、その上、その上方、および/もしくはその下方に1つまたは複数の層を有することができる。層が複数の層を備え得る。例えば、相互接続層が1つまたは複数の導電層および接触層(接点、相互接続線、および/または垂直相互接続アクセス(VIA)が形成される)と、1つまたは複数の誘電層とを含み得る。
本明細書で使用されているように、「名目的な/名目的に」という用語は、所望の値より大きいおよび/または小さい値の範囲と共に、製品またはプロセスの設計の局面の間に設定される、構成要素またはプロセスステップについての特性またはパラメータの所望または目的の値に言及している。値の範囲は、製造プロセスにおける若干の変化または公差によるためであり得る。本明細書で使用されているように、「約」という用語は、主題の半導体デバイスと関連する特定の技術ノードに基づいて変化し得る所与の量の値を指示する。特定の技術ノードに基づいて、「約」という用語は、例えば値の10~30%(例えば、値の±10%、±20%、または±30%)の範囲内で変化する所与の量の値を指示することができる。
本明細書で使用されているように、「3Dメモリデバイス」という用語は、メモリストリングが基板に対して垂直方向に延びるように、横方向に配向された基板におけるメモリセルトランジスタ(本明細書では、NANDメモリストリングなど、「メモリストリング」と称される)の垂直に配向されたストリングを伴う半導体デバイスに言及している。本明細書で使用されているように、「垂直の」または「垂直に」という用語は、基板の横方向表面に対する名目上の直角を意味する。
集積回路(IC)についての技術的な開発が半導体デバイスの性能における根本的な限界に近づくにつれて、能動デバイスおよび回路の複数の積み重ねられた層を含む三次元(3D)ICは、従来の二次元(2D)のプレーナ型ICの代わりになる魅力を提供する。3DのICは、高密度、高帯域幅、低出力、および小さい形状因子を含む多くの便益を提供することができる。1つの可能な適用は、単一または複数のメモリチップを論理チップの上に積み重ねることであり、論理チップとメモリチップとは、例えば入力/出力(IO)といった数百個の相互接続を通じて通信でき、小さな消費電力で高帯域幅を可能にする。アーキテクチャおよびフロアプランを最適化することで、メモリチップと論理チップとの間の相互接続の長さは最小とされ、遅れの低減と帯域幅の向上とをもたらすことができる。
Si貫通電極(TSV)が、3DのICを構築するときの解決策として使用されている。TSVは、積み重ねられたチップ同士の間の通信を可能にするために鉛直の相互接続が(シリコン)基板を通じて形成される技術である。シリコン基板は薄化され得るが、特定の厚さが、機械的な強度を維持し、複数の積み重ねられた3DのICに支持を提供するために必要とされる。シリコン基板の厚さ、および高アスペクト比VIAエッチングおよび金属充填における困難のため、TSVは、大きな横方向寸法およびピッチを有し、使用できるTSVの数を制限し、それによって3DのICの性能向上を制限してしまう。
本開示による様々な実施形態は、製作方法と、他の3DのICと比較して、より小さい大きさ、より大きい密度、より大きい帯域幅、および向上した性能(速さ/出力)を伴う対応する3DのICデバイスとを提供する。ハイブリッド接合技術を使用することで、ダイナミックランダムアクセスメモリ(DRAM)、NANDフラッシュメモリ、または他の機能性チップが、数千個または数百万個の金属相互接続を通じて中央処理装置(CPU)チップと集積され、例えばコンピュータオンチップといったスーパーチップを可能にすることができる。
ここで、本開示の第1の実施形態の例が図1~図6を参照して説明される。
図1は、本開示の一部の実施形態による例示の3DのICデバイス100の概略図を示している。3DのICデバイス100はマイクロプロセッサチップ101とメモリチップ103とを備え得る。一部の実施形態では、マイクロプロセッサチップ101は、例えば、コンピュータまたは携帯機器のためのデジタル信号処理装置、マイクロコントローラ、または中央演算機(CPU)といった、任意の適切なマイクロプロセッサであり得る。一部の実施形態では、メモリチップ103は、例えば、スタティックランダムアクセスメモリ(SRAM)、ダイナミックランダムアクセスメモリ(DRAM)、相変化メモリ、磁気ランダムアクセスメモリ、またはフラッシュメモリといった、任意の適切な揮発性または不揮発性のメモリであり得る。例として、マイクロプロセッサチップ101は、CPUチップとでき、CPUチップ101とも称され、メモリチップ103は、DRAMチップとでき、DRAMチップ103とも称される。CPUチップ101およびDRAMチップ103は、複数のCPU相互接続VIA105および複数のDRAM相互接続VIA107をそれぞれ備え得る。ハイブリッド接合を通じて、DRAMチップ103とCPU101とは3DのICデバイス100を形成するために一体に結合され得る。DRAMチップ103およびCPUチップ101は、CPU/DRAM相互接続VIA105/107を通じて一体に電気的に接続され得る。
図2は、本開示の一部の実施形態による例示のCPUチップ101の断面を示している。CPUチップ101はCPU基板202を備えることができ、CPU基板202は、シリコン(例えば、単結晶シリコン)、シリコンゲルマニウム(SiGe)、ゲルマニウム(Ge)、シリコンオンインシュレータ(SOI)、ゲルマニウムオンインシュレータ(GOI)、ガリウムヒ素(GaAs)、窒化ガリウム、炭化ケイ素、ガラス、III-V化合物、任意の他の適切な材料、またはそれらの任意の組み合わせを含み得る。
CPUチップ101は、CPU基板202の上に1つまたは複数のマイクロプロセッサデバイスまたはCPUデバイス204を備え得る。CPUデバイスは、CPU基板202の「上に」形成でき、CPUデバイス204の全体または一部が、CPU基板202に(例えば、CPU基板202の上面の下方に)、および/または、直接的にCPU基板202の上に、形成される。CPUデバイス204は、例えば、金属酸化物半導体電界効果トランジスタ(MOSFET)、バイポーラ接合トランジスタ(BJT)、ダイオード、抵抗、コンデンサ、インダクタなど、任意の適切な半導体デバイスを備え得る。半導体デバイスのうち、p型および/またはn型のMOSFETは、論理回路設計において広く実施されており、本開示におけるCPUデバイス204についての例として使用される。
CPUデバイス204は、pチャネル型MOSFETまたはnチャネル型MOSFETのいずれかとでき、限定されることはないが、シャロートレンチアイソレーション(STI)(図2では示されていない)によって包囲される能動デバイス領域、n型またはp型のドーピングで能動デバイス領域に形成されるウェル206、ゲート誘電体を含むゲートスタック208、ゲート導体、および/またはゲートハードマスクを備え得る。CPUデバイス204は、ソース/ドレイン延在および/またはハロー領域(図2では示されていない)と、ゲートスペーサ210と、ゲートスタックの各々の側面に位置するソース/ドレイン212とをも備え得る。CPUデバイス204は、ソース/ドレインの上部分においてケイ化物接触領域(図示されていない)をさらに備え得る。他の知られているデバイスがCPU基板202の上に形成されてもよい。CPUデバイス204の構造および製作方法は、当業者に知られており、本明細書では全体について組み込まれている。
STIは、リソグラフィおよびエッチングを用いて基板にパターン形成し、絶縁材料を充填し、基板202の上に同一平面の表面を形成するために絶縁材料を研磨することで形成できる。STIのための絶縁材料には、酸化ケイ素、酸窒化ケイ素、TEOS、低温酸化物(LTO)、高温酸化物(HTO)、窒化ケイ素などがあり得る。STIのための絶縁材料は、化学的蒸気堆積(CVD)、物理的蒸気堆積(PVD)、プラズマ増強CVD(PECVD)、低圧化学蒸気堆積(LPCVD)、高密度プラズマ(HDP)化学蒸気堆積、急速熱化学蒸気堆積(RTCVD)、金属有機化学蒸気堆積(MOCVD)、原子層堆積(ALD)、スパッタリング、熱酸化、熱窒化、またはそれらの組み合わせなどの技術を用いて堆積させられ得る。STIの形成は、より良好な電気絶縁に向けて、配置された絶縁材料を圧縮するために、高温でのアニールのステップを含んでもよい。他のSTI構造が、当業者には明らかであるように用いられてもよい。
CPUデバイス204のウェル206は、nチャネル型MOSFETのためのp型ドーピングと、pチャネル型MOSFETのためのn型ドーピングとを含むことができ、p型ウェルおよびn型ウェルとそれぞれ呼ばれる。ウェル206のドーパントプロファイルおよび濃度はCPUデバイス204のデバイス特性に影響を与える。低い閾電圧(V)を伴うMOSFETデバイスについて、ウェル206は、より小さい濃度でドーピングでき、低電圧のp型ウェルまたは低電圧のn型ウェルを形成することができる。高いVを伴うMOSFETについて、ウェル206は、より大きい濃度でドーピングでき、高電圧p型ウェルまたは高電圧n型ウェルを形成することができる。一部の実施形態では、p型基板202から電気的絶縁を提供するために、ディープn型ウェルが、高いVを伴うn型チャネルMOSFETについて、高電圧p型ウェルの下に形成され得る。
n型ウェルの形成は、リン、ヒ素、アンチモン、および/またはそれらの任意の組み合わせなど、任意の適切なn型ドーパントを含み得る。p型ウェルの形成は、例えばボロンといった任意の適切なp型ドーパントを含み得る。ドーパント混入は、活性化アニールが後に続くイオン注入を通じて、または、能動デバイス領域についてのエピタキシの間のその場でのドーピングを通じて達成され得る。
CPUデバイス204のゲートスタック208は、ゲートスタック208がソース/ドレイン形成の前に配置およびパターン形成される「ゲートファースト」のスキームによって形成され得る。CPUデバイス204のゲートスタック208は、犠牲ゲートスタックが最初に形成され、次に、ソース/ドレイン形成の後に高k誘電層およびゲート導体によって置き換えられ得る「置き換え」スキームによって形成されてもよい。
一部の実施形態では、ゲート誘電体は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、および/または、酸化ハフニウム膜、酸化ジルコニウム膜、酸化アルミニウム膜、酸化タンタル膜、酸化マグネシウム膜、もしくは酸化ランタン膜、および/またはその組み合わせなどの高k誘電膜から作られ得る。ゲート誘電体は、CVD、PVD、PECVD、LPCVD、RTCVD、スパッタリング、MOCVD、ALD、熱酸化、熱窒化、またはそれらの組み合わせなど、任意の適切な方法によって配置され得る。
一部の実施形態では、ゲート導体は、タングステン、コバルト、ニッケル、銅、もしくはアルミニウム、および/またはそれらの組み合わせなどの金属から作られ得る。一部の実施形態では、ゲート導体は、窒化チタン(TiN)、窒化タンタル(TaN)などの導電性材料も含み得る。ゲート導体は、例えば、スパッタリング、熱蒸着、電子ビーム蒸着、ALD、PVD、および/またはそれらの組み合わせといった、任意の適切な堆積方法によって形成され得る。
一部の実施形態では、ゲート導体は、多結晶シリコン、多結晶ゲルマニウム、多結晶ゲルマニウム-シリコンなどの多結晶半導体、および任意の他の適切な材料、ならびに/またはそれらの組み合わせを含み得る。一部の実施形態では、多結晶材料は、ホウ素、リン、またはヒ素などの任意の適切な種類のドーパントと共に取り込むことができる。一部の実施形態では、ゲート導体はアモルファス半導体とすることもできる。
一部の実施形態では、ゲート導体は、WSi、CoSi、NiSi、またはAlSiなどを含む金属シリサイドから作られ得る。金属シリサイド材料の形成は、金属層と、前述した同様の技術を用いる多結晶半導体とを形成することを含み得る。金属シリサイドの形成は、反応しなかった金属の除去が後に続く、堆積させられた金属層および多結晶半導体層に熱アニールプロセスを適用することをさらに含み得る。
ゲートスペーサ210は、絶縁材料を堆積させ、次に異方性エッチングを実施することを通じて形成され得る。ゲートスペーサ210のための絶縁材料は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、TEOS、LTO、HTOなどを含め、任意の絶縁体であり得る。ゲートスペーサ210は、CVD、PVD、PECVD、LPCVD、RTCVD、MOCVD、ALD、スパッタリング、またはそれらの組み合わせなどの技術を用いて堆積させられ得る。ゲートスペーサ210の異方性エッチングには、例えば反応性イオンエッチング(RIE)といった乾式エッチングがある。
ソース/ドレイン212の間のゲートスタック208の長さLはMOSFETの重要な特徴である。ゲート長さLは、MOSFETの駆動電流の大きさを決定し、そのため論理回路のために積極的に縮小させられる。ゲート長さLは約100nm未満であり得る。一部の実施形態では、ゲート長さは約5nmから約30nmの間の範囲にあり得る。このような小さい寸法を伴うゲートスタックのパターン形成は、非常に困難であり、光近接効果補正、二重露光および/または二重エッチング、自己整合二重パターン形成などを含む技術を使用することができる。
一部の実施形態では、CPUデバイス204のソース/ドレイン212は高濃度ドーパントで取り込まれる。n型MOSFETについて、ソース/ドレイン212のためのドーパントは、リン、ヒ素、アンチモン、および/またはそれらの任意の組み合わせなど、任意の適切なn型ドーパントを含み得る。p型MOSFETについて、ソース/ドレイン212のためのドーパントは、例えばボロンといった任意の適切なp型ドーパントを含み得る。ドーパント混入は、ドーパント活性化アニールが後に続くイオン注入を通じて達成され得る。ソース/ドレイン212は、例えばシリコンといった基板202と同じ材料から作られ得る。一部の実施形態では、CPUデバイス204のソース/ドレイン212は、高性能を達成するために基板202と異なる材料から作られ得る。例えば、シリコン基板の上に、p型MOSFETのためのソース/ドレイン212はSiGeを含むことができ、n型MOSFETのためのソース/ドレイン212は炭素の取り込みを含むことができる。異なる材料を伴うソース/ドレイン212の形成は、ソース/ドレイン領域において基板材料をエッチバックすることと、エピタキシなどの技術を用いて新たなソース/ドレイン材料を配置することとを含み得る。ソース/ドレイン212のためのドーピングも、エピタキシの間のその場でのドーピングを通じて達成され得る。
CPUデバイス204は、ゲートスタック208の各々の側面に沿って任意選択のソース/ドレイン延在および/またはハロー領域(図2に示されていない)も有し得る。ソース/ドレイン延在および/またはハロー領域は、ゲートスタックの下方の能動デバイス領域の内部に位置し、約0.5μm未満のチャネル長さを伴うCPUデバイス204のためのより良好な短いチャネル制御のために主に実施される。ソース/ドレイン延在および/またはハロー領域の形成は、ソース/ドレイン212の形成と同様とできるが、最適なドーピングプロファイル、深さ、または濃度を得るために異なる埋め込み条件(例えば、ドーズ、角度、エネルギー、種類など)を使用してもよい。
CPUデバイス204は、プレーナ型能動デバイス領域(図2に示されているような)を伴うCPU基板202の上に形成でき、MOSFETのチャネルおよび電流の方向はCPU基板202の上面と平行である。一部の実施形態では、CPUデバイスは、例えば、「FIN」(図示されていない)のような形でのいわゆる「FINFET」といった、3D能動デバイス領域を伴うCPU基板202の上に形成されてもよく、その場合、MOSFETのゲートスタックはFINの周りに巻き付けられ、MOSFETのチャネルはFINの3つの側面(上部およびゲートの下の2つの側壁)に沿って位置する。FINFETデバイスのための構造および方法は、当業者に知られており、本開示ではさらに詳述されていない。
しかしながら、CPUデバイス204はMOSFETに限定されない。例えばダイオード、抵抗、コンデンサ、インダクタ、BJTなどの他のデバイスの構造が、異なるマスク設計およびレイアウトを通じたMOSFET製作の間に同時に形成されてもよい。MOSFET以外のデバイスを形成するために、例えば、異なるドーパントプロファイル、膜厚、または材料スタックなどを得るためのプロセスステップがMOSFETのプロセスの流れに追加または修正され得る。一部の実施形態では、MOSFET以外のCPUデバイス204は、特定の回路要件を達成するために、追加の設計および/またはリソグラフィマスクレベルで製作されてもよい。
一部の実施形態では、複数のCPUデバイス204が、CPUチップ101の動作のための任意のデジタル回路、アナログ回路、および/または混合信号回路を形成するために使用できる。CPUチップ101は、例えば、命令によって特定される基本の算術動作、論理動作、制御動作、および入力/出力(I/O)動作を実施することができる。
一部の実施形態では、CPUチップ101は、電気的接続を異なるCPUデバイス204と外部デバイス(例えば、電力供給部、別のチップ、I/Oデバイスなど)との間に提供するために、CPUデバイス204の上方にCPU相互接続層214を備え得る。CPU相互接続層214は、例えば、1つまたは複数の垂直接触構造216、および、1つまたは複数の横方向の導電線218といった、1つまたは複数の相互接続構造を備え得る。接触構造216および導電線218は、中間工程(MOL)の相互接続および配線工程(BEOL)の相互接続など、任意の適切な種類の相互接続を幅広く含むことができる。CPUチップ101における接触構造216および導電線218は、タングステン(W)、コバルト(Co)、銅(Cu)、チタン(Ti)、タンタル(Ta)、アルミニウム(Al)、窒化チタン(TiN)、窒化タンタル(TaN)、ニッケル、ケイ化物(WSi、CoSi、NiSi、AlSiなど)、またはそれらの任意の組み合わせなど、任意の適切な導電性材料を含み得る。導電性材料は、化学的蒸気堆積(CVD)、プラズマ増強CVD(PECVD)、物理的蒸気堆積(PVD)、原子層堆積(ALD)、電気メッキ、化学メッキ、スパッタリング、蒸着、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスによって堆積させられ得る。
CPU相互接続層214は絶縁層220をさらに含み得る。CPU相互接続層214における絶縁層220は、例えば、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、ドーピングされた酸化ケイ素(F-、C-、N-、またはH-のドーピングされた酸化物など)、テトラエトキシシラン(TEOS)、ポリイミド、スピンオングラス(SOG)、多孔質SiCOHなどの低k誘電材料、シルセスキオキサン(SSQ)、またはそれらの任意の組み合わせといった絶縁材料を含み得る。絶縁材料は、CVD、PVD、PECVD、ALD、高密度プラズマCVD(HDP-CVD)、スパッタリング、スピンコーティング、またはそれらの任意の組み合わせなど、1つまたは複数の薄膜堆積プロセスによって堆積させられ得る。
図2では、2つの導電レベル222(「金属レベル」とも称される)が例として示されており、各々の金属レベル222は、CPU基板202から同じ距離に位置させられる同じ金属レベルの導電線218を伴う接触構造216および導電線218を備える。CPUチップ101のための金属レベル222の数は限定されておらず、CPU性能のために任意の数で最適化され得る。
CPU相互接続層214は、CPUチップ101の底から上まで金属レベル222を積み重ねることで形成され得る。図2におけるCPUチップ101の例では、底金属レベル222-1が最初に形成でき、次に、上方金属レベル222-2が底金属レベル222-1の上に形成できる。各々の金属レベル222の製作プロセスは、限定されることはないが、金属レベルに必要な厚さを伴う絶縁層220の一部分を配置することと、フォトリソグラフィを用いて絶縁層220のその一部分にパターン形成し、接触構造216および導電線218のための接触孔を形成するために乾式/湿式エッチングすることと、接触構造216および導電線218のための接触孔を充填するために導電性材料を配置することと、化学機械研磨(CMP)または反応性イオンエッチング(RIE)などの平坦化プロセスを用いて、接触孔の外の過剰な導電性材料を除去することとを含み得る。
一部の実施形態では、最も上の導電線218はCPUチップ101の上面223と同一平面にあり、最も上の導電線218は、別のチップまたは外部デバイスの上の導電線に直接的に接続できる。
一部の実施形態では、最も上の導電線218は絶縁層220の内側に埋め込まれ、導電線218の上における絶縁材料が出荷または取り扱いの間にキズからの保護を提供する。最も上の導電線218への電気的接続は、金属VIAを形成することで、または、乾式/湿式エッチングを用いて絶縁層220をエッチバックするだけで、後で確立させることができる。
図3は、本開示の一部の実施形態による、特定のプロセス段階における例示のCPUチップ300の断面を示している。CPUチップ300は、CPUチップ101の上に配置された接合層324を備える。CPUチップ300は複数のCPU相互接続VIA105も備え、CPU相互接続VIA105は、接合層324を貫いて絶縁層220へと延び、CPUチップ101の導電線218との電気的接触を形成している。
接合層324は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、またはそれらの任意の組み合わせなどの誘電材料を含み得る。接合層324は、例えば、エポキシ樹脂、ポリイミド、乾燥膜、感光性ポリマなどの接着材料も含み得る。接合層324は、CVD、PVD、PECVD、ALD、高密度プラズマCVD(HDP-CVD)、スパッタリング、スピンコーティング、またはそれらの任意の組み合わせなど、1つまたは複数の薄膜堆積プロセスによって形成され得る。
CPU相互接続VIA105は、銅(Cu)、スズ(Sn)、ニッケル(Ni)、金(Au)、銀(Ag)、チタン(Ti)、アルミニウム(Al)、窒化チタン(TiN)、窒化タンタル(TaN)、またはそれらの任意の組み合わせなどの金属を含み得る。CPU相互接続VIA105の金属は、化学的蒸気堆積(CVD)、プラズマ増強CVD(PECVD)、物理的蒸気堆積(PVD)、原子層堆積(ALD)、電気メッキ、化学メッキ、スパッタリング、蒸着、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスによって配置させられ得る。
CPU相互接続VIA105の製作プロセスには、限定されることはないが、フォトリソグラフィ、湿式/乾式エッチング、平坦化(例えば、CMPまたはRIEエッチバック)などがさらにあり得る。
図4Aは、本開示の一部の実施形態による例示のDRAMチップ103の断面を示している。DRAMチップ103は、DRAM基板402と、DRAM周辺デバイス(図示されていない)と、DRAMメモリセルと、DRAM相互接続層414とを備える。DRAM基板402はCPU基板202と同様とできる。DRAM相互接続層414は、CPU相互接続層214と同様とでき、同様の材料および同様のプロセスを用いて形成され得る。例えば、DRAM相互接続層414の相互接続構造(接触構造416および導電線418など)および絶縁層420は、CPU相互接続層214の相互接続構造(接触構造216および導電線218など)および絶縁層220とそれぞれ同様である。
一部の実施形態では、DRAM周辺デバイスは、トランジスタ、ダイオード、コンデンサ、抵抗などの任意の能動的および/または受動的な半導体デバイスを備え得る。複数のDRAM周辺デバイスは、DRAMチップ103の動作を支持するための適切なデジタル周辺回路、アナログ周辺回路、および/または混合信号周辺回路を形成することができる。例えば、周辺回路は、ページバッファ、デコーダ(例えば、行デコーダおよび列デコーダ)、センスアンプ、ドライバ、チャージポンプ、タイミングと制御、および同様の回路を備え得る。DRAM周辺デバイスは、CPUデバイス204と同様とでき、同様のプロセスを用いて形成され得る。
複数のDRAMメモリセルは、DRAMメモリ配列、保存機能を提供するDRAMチップのコア領域として配置され得る。各々のDRAMメモリセルは、DRAMデバイス404とDRAMコンデンサ430とを備える。DRAMデバイス404はCPUデバイス204と同様とでき、例えば金属酸化物半導体電界効果トランジスタ(MOSFET)といった任意の適切な半導体デバイスも備え得る。N型MOSFETは、DRAMメモリセルにおいてアクセストランジスタとしてしばしば実施される。図4では、MOSFETは、DRAMデバイス404の例として示されている。
CPUデバイス204と同様に、DRAMデバイス404は、限定されることはないが、シャロートレンチアイソレーション(STI)によって包囲される能動デバイス領域、n型またはp型のドーピングで能動デバイス領域に形成されるウェル、ゲート誘電体を含むゲートスタック408、ゲート導体、および/またはゲートハードマスクも備え得る。DRAMデバイス404は、ソース/ドレイン延在および/またはハロー領域と、ゲートスペーサ410と、ゲートスタックの各々の側面に位置するソース/ドレイン412とをも備え得る。CPUデバイス204は、ソース/ドレインの上部分においてケイ化物接触領域をさらに備え得る。簡潔性のために、DRAMデバイス404のSTI、ウェル、延在/ハロー、およびケイ化物接触領域は図4に示されていない。他の知られているデバイスがDRAM基板402の上に形成されてもよい。DRAMデバイス404の構造および製作方法は、異なるデバイス性能のための変更(例えば、寸法、厚さ、ドーパント/濃度など)を伴うCPUデバイス204と同様とできる。
DRAMデバイス404は、プレーナ型能動デバイス領域(図4に示されているような)を伴うDRAM基板402の上に形成でき、MOSFETのチャネルおよび電流の方向はDRAM基板402の上面と平行である。一部の実施形態では、DRAMデバイス404は、例えば垂直MOSFETまたはゲートオールアラウンド型MOSFETといった3D能動デバイス領域を伴うDRAM基板402の上に形成されてもよく、MOSFETのゲートスタックはシリコンピラーの周りに巻き付けられ、電流方向はDRAM基板402に対して垂直である。垂直MOSFETおよびゲートオールアラウンド型MOSFETデバイスのための構造および方法は、当業者に知られており、本開示ではさらに詳述されていない。
一部の実施形態では、DRAMチップ103のDRAMコンデンサ430は、2つのコンデンサ電極434の間に挟まれたコンデンサ誘電層432を備え得る。コンデンサ誘電層432は、例えば、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、またはそれらの任意の組み合わせなど、任意の適切な誘電材料を含み得る。コンデンサ誘電層432は、例えば、酸化ハフニウム、酸化ジルコニウム、酸化アルミニウム、酸化タンタル、酸化ランタン、またはそれらの任意の組み合わせといった高k誘電材料も含み得る。コンデンサ誘電層432は、熱酸化、CVD、PVD、PECVD、LPCVD、スパッタリング、MOCVD、ALD、またはそれらの任意の組み合わせなど、任意の適切な方法によって配置され得る。コンデンサ電極434は、例えば、タングステン(W)、アルミニウム(Al)、銅(Cu)、コバルト(Co)、チタン(Ti)、タンタル(Ta)、窒化チタン(TiN)、窒化タンタル(TaN)、および/またはそれらの任意の組み合わせなどの金属または金属化合物といった、任意の適切な導電性材料を含み得る。金属または金属化合物は、CVD、PVD、PECVD、スパッタリング、熱蒸着、電子ビーム蒸着、MOCVD、および/またはALDなどの適切な堆積方法を用いて堆積させられ得る。
一部の実施形態では、最も上の導電線418はDRAMチップ103の上面423と同一平面にあり、最も上の導電線418は、別のチップまたは外部デバイスの上の導電線に直接的に接続できる。
一部の実施形態では、最も上の導電線418は絶縁層420の内側に埋め込まれ、導電線418の上における絶縁材料が出荷または取り扱いの間にキズからの保護を提供する。最も上の導電線418への電気的接続は、金属VIAを形成することで、または、乾式/湿式エッチングを用いて絶縁層420をエッチバックするだけで、後で確立させることができる。
図4Bは、本開示の一部の実施形態による、特定のプロセス段階における例示のDRAMチップ400の断面を示している。DRAMチップ400は、DRAMチップ103と、DRAMチップ103に配置された接合層424と、DRAMチップ103のために形成された複数のDRAM相互接続VIA107とを備える。DRAM接合層424およびDRAM相互接続VIA107の構造および製作方法は、CPU接合層324およびCPU相互接続VIA105とそれぞれ同様である。
DRAMチップ103は、充電または放電させることができるDRAMコンデンサ430におけるデータの各々のビットを保存する。DRAMメモリセルは、1つのDRAMコンデンサ430と1つのDRAMデバイス404(例えば、n型MOSFETを使用するアクセストランジスタ)とを通常備える。DRAMのメモリセルは長方形の配列で通常は配置され、ワード線がDRAMデバイス404のゲート電極に接続され、ビット線がDRAMデバイス404のドレインに接続される。
揮発性メモリとして、DRAMは、DRAMコンデンサ430における保存ビットを保つためにデータのビットを周期的に書き換える。しかしながら、スタティックランダムアクセスメモリ(SRAM)セルにおける4個または6個のトランジスタと比較して、DRAMメモリセルははるかにより単純でより小さい。これは、DRAMを非常に大きな密度に到達させ、1ビットあたりでDRAMをはるかにより安価にする。そのため、DRAMは、低コストで大容量のメモリが必要とされるデジタル電子機器で広く使用されている。DRAMについての最も大きい用途のうちの1つは、マイクロプロセッサ(例えば、CPUおよびGPU)におけるメインメモリである。
従来、DRAMチップはパッケージングの間にCPUチップにワイヤ接合されている。DRAMチップをCPUチップの上に積み重ねる(または、逆もまた同様である)ことが、チップにおけるI/Oの数が増加するにつれて益々難しくなっている。Si貫通電極(TSV)は、より大きな相互接続密度を提供する能力を有する。しかしながら、TSVは、従来のBEOLでの銅のVIAと比べて比較的大きい。また、接触ピッチがより小さくなるにつれて、アンダーフィルは過度に困難なポスト接合になる。
本開示の一部の実施形態によれば、DRAMチップ103は、ハイブリッド接合技術を使用してCPUチップ101に接合できる。
図5は、本開示の一部の実施形態による例示の3DのICデバイス500の断面を示している。3DのICデバイス500は、図1における3DのICデバイス100の例を示しており、DRAMチップ103はCPUチップ101と接合でき、CPU/DRAMの相互接続VIA105/107を通じてCPUチップ101と電気的に接続できる。
一部の実施形態では、3DのICデバイス500は、CPU相互接続層214の絶縁層220とDRAM相互接続層414の絶縁層420との間に形成される接合境界面536を備え得る。相互接続VIA105/107は、CPU相互接続層214の任意の導電線218または接触構造216と、DRAM相互接続層414の任意の導電線418または接触構造416とを電気的に接続するために、接合境界面526において結合され得る。このようにして、CPUチップ101とDRAMチップ103とは電気的に接続され得る。
一部の実施形態では、3DのICデバイス500は、CPUチップ300の接合層324とDRAMチップ400の接合層424との間に形成される接合境界面536を備え得る。この例では、相互接続VIA105/107は、それぞれ接合層324/424を通じて延び、CPU相互接続層214の任意の導電線218または接触構造216と、DRAM相互接続層414の導電線418または接触構造416との間の電気的接続も形成する。このようにして、CPUチップ101とDRAMチップ103とはここでも電気的に接続され得る。
接合の後、CPUチップ101における任意のデバイスまたは回路は、DRAMチップ103における任意のデバイスまたは回路に電気的に接続され得る。図5は、DRAMチップ103がCPUチップ101の上に接合される実施形態を示している。一部の実施形態では、CPUチップ101はDRAMチップ103の上に接合され得る。
図6は、一部の実施形態による、図1~図5に示された3DのICデバイスを形成するための例示の製作プロセス600を示している。製作プロセス600に示された動作が完全ではないことと、他の動作が、任意の図示されている動作の前、後、または間に実施され得ることとは、理解されるべきである。一部の実施形態では、例示の製作プロセス600の一部のプロセスステップは、省略されてもよい、または、簡潔性のためにここでは記載されていない他のプロセスを含んでもよい。一部の実施形態では、方法600のプロセスステップは、異なる順番で実施されてもよい、および/または、変化してもよい。
図6に示されているように、製作プロセス600は、マイクロプロセッサチップが第1の基板の上に形成されるプロセスステップS610において始まる。一部の実施形態では、マイクロプロセッサチップを形成するステップは、コンピュータまたは携帯機器のためのデジタル信号処理装置、マイクロコントローラ、または中央演算機を形成することを含む。例では、マイクロプロセッサチップは、CPUデバイス204とCPU相互接続層214とを備える図2に示されたCPUチップ101であり得る。CPUチップのための製作プロセスは、CPUチップ101のための製作プロセスと同様であり得る。
一部の実施形態では、複数のCPU相互接続VIAはCPUチップ101のために形成され得る。CPU相互接続VIAは、図3におけるCPU相互接続VIA105とでき、同様の材料から作られ、同様のプロセスを用いて形成され得る。CPU相互接続VIAは、CPUチップ101のための電気的接続を作るために形成される。相互接続VIAのための製作プロセスは、リソグラフィ、湿式/乾式エッチングを用いるトレンチ形成、トレンチの内への導電性材料の配置および充填、および、CMPなどの平坦化プロセスを用いてのトレンチの外の過剰な材料の除去を含む。
一部の実施形態では、接合層はCPUチップ101に配置され得る。接合層は、図3における接合層324とでき、同様の技術を用いて製作できる。
プロセスステップS620において、メモリチップが第2の基板の上に形成される。一部の実施形態では、メモリチップを形成するステップは、スタティックランダムアクセスメモリ、ダイナミックランダムアクセスメモリ、またはフラッシュメモリを形成することを含む。例では、メモリチップは、DRAM周辺デバイスと、DRAMメモリセルと、DRAM相互接続層414とを備える図4Aに示されたDRAMチップ103であり得る。
一部の実施形態では、複数のDRAM相互接続VIAはDRAMチップ103のために形成され得る。DRAM相互接続VIAは、図4BにおけるDRAM相互接続VIA107とでき、同様の技術を用いて形成され得る。
一部の実施形態では、接合層はDRAMチップ103に配置され得る。接合層は、図4Bにおける接合層424とでき、同様の技術を用いて製作できる。
プロセスステップS630において、DRAMチップは3DのICデバイスを形成するためにCPUチップに接合でき、3DのICデバイスは図5における3DのICデバイス500であり得る。
一部の実施形態では、CPUチップ101とDRAMチップ103とは、製品設計および製造戦略に応じて、ダイのレベル(例えば、ダイツーダイ、またはチップツーチップ)で、または、ウェーハのレベル(例えば、ウェーハツーウェーハ、またはチップツーウェーハ)で一体に接合できる。ウェーハのレベルにおける接合は大きな処理量を提供することができ、CPUチップ101を伴う第1の基板におけるすべてのダイ/チップは、DRAMチップ103を伴う第2の基板と同時に結合させることができる。個々の3DのICデバイス500は、ウェーハ接合の後に切り出され得る。一方、ダイのレベルでの接合は、切り出しおよびダイ試験の後に実施でき、CPUチップ101およびDRAMチップ103の機能的なダイが最初に選択され、次に3DのICデバイス100を形成するために接合され、3DのICデバイス500のより大きな生産量を可能にすることができる。
一部の実施形態では、DRAMチップ103はひっくり返され、CPUチップの上方に位置決めされ得る(または、逆もまた同様である)。DRAMチップ103のDRAM相互接続層414は、CPUチップ101のCPU相互接続層214と並べられ得る。
一部の実施形態では、DRAM相互接続層414をCPU相互接続層214と並べることが、DRAMチップ103のDRAM相互接続VIA107を、CPUチップ101の対応するCPU相互接続VIA105と並べられることで実施される。結果として、対応する相互接続VIA同士が接合境界面536において接続でき、DRAMチップ103はCPUチップ101に電気的に接続できる。
一部の実施形態では、CPUチップ101とDRAMチップ103とはハイブリッド接合によって結合され得る。ハイブリッド接合、特には金属/誘電体ハイブリッド接合は、直接接合技術(例えば、ハンダまたは接着剤などの中間層を使用することなく表面同士の間に接合を形成すること)とでき、これは、金属-金属の接合と、誘電体-誘電体の接合とを同時に得られる。図1および図5に示されているように、DRAMチップ103はCPUチップ101と結合され、それによって接合境界面536を形成することができる。
一部の実施形態では、接合層は、ハイブリッド接合の前にCPUチップ101および/またはDRAMチップ103の上に形成できる。接合層は、図3に示されたCPUチップ101における接合層324と、図4BにおけるDRAMチップ103における接合層424とであり得る。接合層324/424は、例えば窒化ケイ素、酸窒化ケイ素、または酸化ケイ素といった誘電材料であり得る。接合境界面536において、接合は、金属から金属への接合に加えて、窒化ケイ素から窒化ケイ素への間、酸化ケイ素から酸化ケイ素への間、または窒化ケイ素から酸化ケイ素への間で起こり得る。一部の実施形態では、接合層は、例えばエポキシ樹脂、ポリイミド、乾燥膜など、接合強度を高めるための接着材料も含み得る。
一部の実施形態では、処理プロセスが接合境界面536における接合強度を高めるために使用され得る。処理プロセスは、絶縁層220/420の表面が化学的接合を形成するように、DRAM相互接続層414の表面とCPU相互接続層214の表面とを準備することができる。処理プロセスには、例えば、プラズマ処理(例えば、F、Cl、またはHを含むプラズマで)または化学的プロセス(例えば、ギ酸)があり得る。一部の実施形態では、処理プロセスは、真空または不活性雰囲気(例えば、窒素またはアルゴンによる)における約250℃から約600℃までの温度において実施され得る熱処理を含み得る。熱プロセスは、CPU相互接続VIA105とDRAM相互接続VIA107との間に金属間拡散をもたらす可能性がある。結果として、相互接続VIAの対応する対における金属材料は、接合プロセスの後、互いと混合され得る、または、合金を形成し得る。
一部の実施形態では、この第1の基板および/または第2の基板は接合の後に薄化され得る。一部の実施形態では、ハンドルウェハ(例えば、ガラス、プラスチック、またはシリコン)が、薄化プロセスの前に第1の基板または第2の基板に取り付けられ得る。一部の実施形態では、基板薄化プロセスは、研削、乾式エッチング、湿式エッチング、および化学機械研磨(CMP)のうちの1つまたは複数を含み得る。
ここで、本開示の第2の実施形態の例が図7~図15を参照して説明される。
図7は、本開示の一部の実施形態による例示の3DのICデバイス700の概略図を示している。3DのICデバイス700は、マイクロプロセッサチップと、第1のメモリチップと、第2のメモリチップとを備え得る。一部の実施形態では、マイクロプロセッサチップは、例えば、コンピュータまたは携帯機器のためのデジタル信号処理装置、マイクロコントローラ、または中央演算機(CPU)といった、任意の適切なマイクロプロセッサであり得る。例では、マイクロプロセッサチップは、図1~図3に示されているマイクロプロセッサチップ101と同様とでき、CPUチップ101とも称される。一部の実施形態では、第1のメモリチップは、例えばスタティックランダムアクセスメモリ(SRAM)またはダイナミックランダムアクセスメモリ(DRAM)といった、任意の揮発性メモリであり得る。例では、第1のメモリチップは、図1、図4A、および図4Bに示されているメモリチップ103と同様とでき、DRAMチップ103とも称される。一部の実施形態では、第2のメモリチップは、相変化メモリ、磁気ランダムアクセスメモリ、フラッシュメモリなど、任意の適切な不揮発性メモリであり得る。例では、第2のメモリチップは、NANDフラッシュメモリとでき、NANDチップ740とも称される。
CPUチップ101は、第1の実施形態におけるものと同様であり、図1および図3に描写されている複数のCPU相互接続VIA105を備える。NANDチップ740は複数のNAND相互接続VIA742も備える。DRAMチップ103は、DRAMチップ103の上側および底側のそれぞれに複数のDRAM相互接続VIA107fおよび107bを備える。チップの「上」側は、機能的デバイス(例えば、トランジスタ、ダイオードなど)が製作される側面と称される。チップの「下」側は上側の反対である。
ハイブリッド接合を通じて、NANDチップ740と、DRAMチップ103と、CPU101とは3DのICデバイス700を形成するために一体に結合され得る。DRAMチップ103とCPUチップ101とはCPU/DRAM相互接続VIA105/107bを通じて一体に電気的に接続でき、一方、DRAMチップ103とNANDチップ740とはDRAM/NAND相互接続VIA107f/742を通じて一体に電気的に接続できる。
図8は、本開示の一部の実施形態による、特定のプロセス段階における例示のDRAMチップ800の断面を示している。DRAMチップ800は、DRAMチップ103と、DRAMチップ103に配置された接合層424と、DRAMチップ103の上側に形成された複数のDRAM相互接続VIA107fとを備える図4BにおけるDRAMチップ400と同様であり得る。
一部の実施形態では、DRAMチップ800はDRAM基板接触部844も備える。DRAM基板接触部844は、接触構造416と同様の材料およびプロセスを用いて形成できる。DRAM基板接触部844は、電気的接続をDRAM基板402に提供することができる。一部の実施形態では、接触構造416および導電線418を伴う複数の金属レベルは基板接触部844と接続され得る。
一部の実施形態では、DRAM基板402は、DRAMデバイス404の製作の前に両側で研磨され得る。この例では、DRAM基板402は、高品質の半導体デバイスのために滑らかな表面を提供するために、両方が研磨および処理された上側および底側における表面を備える。一部の実施形態では、DRAM基板402は、標準的なウェーハ厚さ(シリコン基板については約700μm)から、例えば200mmのシリコンウェーハについての約200μmの厚さといった、後の構造を支持するのに十分な機械的強度のある厚さまで薄化され得る。
図9は、本開示の一部の実施形態による、特定のプロセス段階における例示のNANDチップ900の断面を示している。NANDチップ900は、NANDチップ740と、NANDチップ740に配置される接合層924と、複数のNAND相互接続VIA742とを備えることができ、NAND相互接続VIA742は、接合層924を通じて延び、NANDチップ740との電気的接続を形成する。
NANDチップ740は、NAND基板902と、周辺デバイス(図示されていない)と、NANDメモリセルと、NAND相互接続層914とを備え得る。NAND基板902はCPU基板202と同様とできる。NAND相互接続層914は、CPU相互接続層214と同様とでき、同様の材料および同様のプロセスを用いて形成され得る。例えば、NAND相互接続層914の相互接続構造(例えば、接触構造916および導電線918)および絶縁層920は、CPU相互接続層214の相互接続構造(例えば、接触構造216および導電線218)および絶縁層220とそれぞれ同様である。
一部の実施形態では、NAND周辺デバイスは、トランジスタ、ダイオード、コンデンサ、抵抗などの任意の能動的および/または受動的な半導体デバイスを備え得る。複数のNAND周辺デバイスは、NANDチップ740の動作を支持するための適切なデジタル周辺回路、アナログ周辺回路、および/または混合信号周辺回路を形成することができる。例えば、周辺回路は、ページバッファ、デコーダ(例えば、行デコーダおよび列デコーダ)、センスアンプ、ドライバ、チャージポンプ、タイミングと制御、および同様の回路を備え得る。NAND周辺デバイスは、CPUデバイス204と同様とでき、同様のプロセスを用いて形成され得る。
NANDチップ900における構成要素の空間的関係をさらに図示するために、x軸およびy軸が図9に加えられていることは留意されたい。基板902は、x方向(横方向または幅方向)において横に延びる2つの横方向表面(例えば、上面および底面)を備える。本明細書で使用されているように、1つの構成要素(例えば、層またはデバイス)が半導体デバイス(例えば、NANDチップ900)の別の構成要素(例えば、層またはデバイス)の「上」にあるのか、「上方」にあるのか、「下方」にあるのかは、基板がy方向において半導体デバイスの最も下の平面に位置付けられるとき、y方向(垂直方向または厚さ方向)において半導体デバイス(例えば、基板902)の基板に対して決定される。空間的な関係を説明するための同じ概念は、本開示を通じて適用されている。
一部の実施形態では、NANDチップ740は、NANDメモリセルがNANDメモリストリング946を備える3DのNANDフラッシュメモリであり得る。NANDメモリストリング946は、複数の導体層948および誘電層950の対を貫いて延びている。複数の導体層/誘電層の対は、本明細書では「交互の導体/誘電体の積み重ね」952とも称される。交互の導体/誘電体の積み重ね952における導体層948および誘電層950は垂直方向において交互になっている。別の言い方をすれば、交互の導体/誘電体の積み重ね952の上または下におけるものを除いて、各々の導体層948は、両側における2つの誘電層950によって挟まれ、各々の誘電層950は、両側における2つの導体層948によって挟まれ得る。導体層948は同じ厚さまたは異なる厚さを各々有し得る。同様に、誘電層950は同じ厚さまたは異なる厚さを各々有し得る。一部の実施形態では、交互の導体/誘電体の積み重ね952は、導体層/誘電層の対と異なる材料および/または厚さを伴うより多くの導体層またはより多くの誘電層を備える。導体層948は、W、Co、Cu、Al、Ti、Ta、TiN、TaN、Ni、ドーピングされたケイ素、ケイ化物(例えば、NiSi、WSi、CoSi、TiSi)、またはそれらの任意の組み合わせなど、導体材料を含み得る。誘電層950は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、またはそれらの任意の組み合わせなどの誘電材料を含み得る。
図9に示されているように、各々のNANDメモリストリング946は半導体チャネル954とメモリ膜956とを備え得る。一部の実施形態では、半導体チャネル954は、アモルファスシリコン、ポリシリコン、または単結晶シリコンなどのシリコンを含む。一部の実施形態では、メモリ膜956は、トンネル層、蓄積層(「電荷トラップ/蓄積層」としても知られている)、および遮断層を含む複合層である。各々のNANDメモリストリング946は円筒形(例えば、柱の形)を有し得る。半導体チャネル954、トンネル層、蓄積層、および遮断層は、一部の実施形態によれば、この順番で柱の中心から外面に向かう方向に沿って配置される。トンネル層は、酸化ケイ素、窒化ケイ素、またはそれらの任意の組み合わせを含み得る。遮断層は、酸化ケイ素、窒化ケイ素、高い誘電率(高k)の誘電体、またはそれらの任意の組み合わせを含み得る。蓄積層は、窒化ケイ素、酸窒化ケイ素、ケイ素、またはそれらの任意の組み合わせを含み得る。一部の実施形態では、メモリ膜956はONO誘電体(例えば、酸化ケイ素を含むトンネル層、窒化ケイ素を含む蓄積層、および酸化ケイ素を含む遮断層)を含む。
一部の実施形態では、NANDメモリストリング946は、複数の制御ゲート(各々がNANDメモリストリング946のためのワード線の一部である)をさらに備える。交互の導体/誘電体の積み重ね952における各々の導体層948は、NANDメモリストリング946の各々のメモリセルのための制御ゲートとして作用できる。図9に示されているように、NANDメモリストリング946は、NANDメモリストリング946の下方端において下方選択ゲート958(例えば、ソース選択ゲート)を備え得る。NANDメモリストリング946は、NANDメモリストリング946の上方端において上選択ゲート960(例えば、ドレイン選択ゲート)も備え得る。本明細書で使用されているように、構成要素(例えば、NANDメモリストリング946)の「上方端」は、y方向においてNAND基板902から遠くに離れた端であり、構成要素(例えば、NANDメモリストリング946)の「下方端」は、y方向においてNAND基板902により近い端である。図9に示されているように、各々のNANDメモリストリング946について、ドレイン選択ゲート960はソース選択ゲート958の上方にあり得る。一部の実施形態では、選択ゲート958/960は、W、Co、Cu、Al、ドーピングされたケイ素、ケイ化物、またはそれらの任意の組み合わせなど、導体材料を含む。
一部の実施形態では、NANDチップ740は、エピタキシャル層962をNANDメモリストリング946の半導体チャネル954の下方端に備える。エピタキシャル層962はケイ素などの半導体材料を含み得る。エピタキシャル層962は、NAND基板902における半導体層964からエピタキシャル成長させられ得る。半導体層964は、ドーピングされ得ない、p型またはn型のドーパントによって、一部ドーピングされ得る(厚さ方向および/または幅方向において)、または完全にドーピングされ得る。各々のNANDメモリストリング946について、エピタキシャル層962は本明細書において「エピタキシャルプラグ」と称される。各々のNANDメモリストリング946の下方端におけるエピタキシャルプラグ962は、半導体チャネル954と、半導体層964のドーピングされた領域との両方に接触することができる。エピタキシャルプラグ962は、NANDメモリストリング946の下方端における下方選択ゲート958のチャネルとして機能することができる。
一部の実施形態では、アレイデバイスは、階段構造領域において複数のワード線接触部966をさらに備える。各々のワード線接触部966は、メモリセルを個別に制御するために交互の導体/誘電体の積み重ね952における対応する導体層948との電気接触を形成することができる。ワード線接触部966は、接触孔を乾式/湿式エッチングし、続いて、例えばW、Ti、TiN、Cu、TaN、Al、Co、Ni、またはそれらの任意の組み合わせといった導体で充填することによって形成できる。
図9に示されているように、NANDチップ740は、NANDメモリストリング946の半導体チャネル954へのアクセスを個別に提供するために、NANDメモリストリング946の上に形成されるビット線接触部968も備える。
ワード線接触部966およびビット線接触部968に接続される導電線は、NANDチップ740のワード線およびビット線を形成する。典型的には、ワード線およびビット線は互いに対して直角に(例えば、それぞれ行および列において)位置させられ、メモリの「配列」を形成する。
一部の実施形態では、NANDチップ900はNAND基板接触部944も備える。NAND基板接触部944は、接触構造916と同様の材料およびプロセスを用いて形成できる。NAND基板接触部944は、NANDチップ900の上面900tからNAND相互接続VIA742を通じたNAND基板902への電気的接続を提供することができる。一部の実施形態では、接触構造916および導電線918を伴う複数の金属レベルは基板接触部944を接続するときに使用され得る。
図10は、本開示の一部の実施形態による例示の3DのICデバイス1000の断面を示している。3DのICデバイス1000はDRAMチップ103とNANDチップ740とを備え、NANDチップ740は、図5における3DのICデバイス500のために使用される構造および方法と同様に、DRAMチップ103と接合され得る。NANDチップ740とDRAMチップ103とは、3DのICデバイス1000を形成するために、ダイ/チップのレベルまたはウェーハのレベルにおいて一体に接合され得る。NAND相互接続VIA742およびDRAM相互接続VIA107fは、接合の後に電気的接続を形成することができる。
一部の実施形態では、3DのICデバイス1000は、DRAM相互接続層414の絶縁層420とNAND相互接続層914の絶縁層920との間に形成される第1の接合境界面1070を備え得る。相互接続VIA107f/742は、DRAM相互接続層414の導電線418/接触構造416を、NAND相互接続層914の導電線918/接触構造916と電気的に接続するために、第1の接合境界面1070において結合され得る。このようにして、DRAMチップ103とNANDチップ740とは電気的に接続され得る。
一部の実施形態では、3DのICデバイス1000は、DRAMチップ800の接合層424とNANDチップ900の接合層924との間に形成される第1の接合境界面1070を備え得る。この例では、相互接続VIA107f/742は、それぞれ接合層424/924を通じて延び、DRAM相互接続層414の導電線418/接触構造416と、NAND相互接続層914の導電線918/接触構造916との間の電気的接続も形成する。このようにして、DRAMチップ103におけるデバイスおよび回路とNANDチップ740とは電気的に接続され得る。
一部の実施形態では、3DのICデバイス1000は、導電線418に接続されるDRAM基板接触部844およびDRAMチップ103の接触構造416を備え得る。一部の実施形態では、3DのICデバイス1000は、導電線918に接続されるNAND基板接触部944およびNANDチップ740の接触構造916を備え得る。
一部の実施形態では、3DのICデバイス1000は、DRAM/NAND相互接続VIA107f/742DRAM/NANDを通じて第1の接合境界面1070においてNAND基板接触部944に接続されるDRAM基板接触部844を備え得る。一部の実施形態では、3DのICデバイス1000は、導電線918に接続されるDRAM基板接触部844およびNANDチップ740の接触構造916を備え得る。一部の実施形態では、3DのICデバイス1000は、導電線418に接続されるNAND基板接触部944およびDRAMチップ103の接触構造416を備え得る。これらの例では、電気的接続は第1の接合境界面1070を横切る。
接合の後、DRAMチップ103における任意のデバイスまたは回路は、NANDチップ740における任意のデバイスまたは回路に電気的に接続され得る。図10は、NANDチップ740がDRAMチップ103の上に接合される実施形態を示している。一部の実施形態では、DRAMチップ103はNANDチップ740の上に接合され得る。
図11は、本開示の一部の実施形態による例示の3DのICデバイス1100の断面を示している。3DのICデバイス1100は、3DのICデバイス1000(図10)のDRAM基板402に形成される垂直相互接続構造(Si貫通電極(TSV)とも称される)1172を備え、TSV1172はDRAM基板接触部844との電気的接続を形成する。
一部の実施形態では、電気的接続がTSV1172、DRAM基板接触部844、導電線418、および/またはDRAMチップの接触構造416の間に形成され得る。この例では、DRAMチップ103における任意のデバイスまたは回路がTSV1172を通じて底面402bに電気的に配線され得る。
一部の実施形態では、電気的接続がTSV1172、DRAM基板接触部844、およびDRAM/NAND相互接続VIA107f/742の間に形成され得る。このようにして、電気的接続が、NANDチップ740の接触構造916、導電線918、または基板接触部944を用いる様々な電気的経路を通じて、DRAMチップ103のTSV1172からNANDチップ740における任意のデバイスまたは回路へと確立され得る。
一部の実施形態では、TSV1172は、研削、CMP、RIE、湿式化学エッチングなどを用いてDRAM基板402を薄化した後に形成され得る。一部の実施形態では、保護膜が、DRAM基板402における薄化プロセスの前に3DのICデバイス1100にわたって配置され得る。保護膜は、フォトレジスト、ポリイミド、酸化ケイ素、窒化ケイ素などを含むことができ、薄化プロセスの後に除去され得る。
一部の実施形態では、3DのICデバイス1100は、NAND基板902において、3DのICデバイス1100の表面1100tからのSi貫通電極(TSV)1172を備えてもよく(図11では示されていない)、TSV1172はNAND基板接触部944との電気的接続を形成することができる。一部の実施形態では、電気的接続がTSV1172、NAND基板接触部944、導電線918、および/またはNANDチップ740の接触構造916の間に形成され得る。この例では、NANDチップ740における任意のデバイスまたは回路がTSV1172を通じて表面1100tに電気的に配線され得る。一部の実施形態では、電気的接続がNAND基板902におけるTSV1172、NAND基板接触部944、およびDRAM/NAND相互接続VIA107f/742の間に形成され得る。このようにして、電気的接続が、DRAMチップ103の接触構造416、導電線418、または基板接触部844を用いる様々な電気的経路を通じて、NANDチップ740のTSV1172からDRAMチップ103における任意のデバイスまたは回路へと確立され得る。
図12は、本開示の一部の実施形態による例示の3DのICデバイス1200の断面を示している。3DのICデバイス1200は、図11における3DのICデバイス1100の底面420bに形成された接合層1224および複数のDRAM相互接続VIA107bを備え、DRAM相互接続VIA107bは接合層1224を貫いて延びている。接合層1224およびDRAM相互接続VIA107bは、接合層424およびDRAM相互接続VIA107fと同様であり、同様の材料およびプロセスによって形成される。
一部の実施形態では、DRAM相互接続VIA107bがTSV1172に配置され、TSV1172との電気的接続を形成する。図12では、簡潔性のために、すべてのTSV1172がDRAM相互接続VIA107bとの電気的接続を示すために描かれているわけではない。
図13は、本開示の一部の実施形態による例示の3DのICデバイス1300の断面を示している。3DのICデバイス1300は、3DのICデバイス1200(図12に示されている)と、CPUチップ300(図3に示されている)とを備え、3DのICデバイス1200は、第2の接合境界面1376でCPUチップ300に接合されている。CPUチップ300におけるCPU相互接続VIA105は、3DのICデバイス1200におけるDRAM相互接続VIA107bと電気的に接続している。3DのICデバイス1200とCPUチップ300とは、3DのICデバイス1300を形成するために、ダイ/チップのレベルまたはウェーハのレベルにおいて一体に接合され得る。
一部の実施形態では、3DのICデバイス1300は、CPU相互接続層214の絶縁層220と3DのICデバイス1200のDRAM基板402との間に形成される第2の接合境界面1376を備え得る。相互接続VIA107b/105は、CPU相互接続層214の導電線218/接触構造216を3DのICデバイス1200のTSV172と電気的に接続するために、第2の接合境界面1376において結合され得る。このようにして、CPUチップ101とDRAMチップ103とは電気的に接続され得る。TSV1172を通じて、CPU/DRAMチップ101/103におけるDRAM基板接触部844、導電線218/418、および/または接触構造216/416、DRAMチップ103における任意のデバイスおよび回路は、CPUチップ101における任意のデバイスおよび回路と電気的に接続され得る。
一部の実施形態では、CPUチップ101は、DRAM基板接触部844と同様のCPU基板接触部(図13には示されていない)も備え得る。CPU基板接触部は、DRAMチップ103とCPUチップ101との間に、または、CPUチップ101の内部に、さらなる電気経路を提供することができる。
一部の実施形態では、DRAM基板402は底面402b(図13に示されていない)における誘電層も備え得る。第2の接合境界面1376は、DRAM基板402の底面402bにおける誘電層とCPU相互接続層214の絶縁層220との間に形成され得る。DRAM基板402の底面402bにおける誘電層は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、またはそれらの任意の組み合わせを備え得る。
一部の実施形態では、3DのICデバイス1300は、DRAMチップ103の接合層1224とCPUチップ300の接合層324との間に形成される第2の接合境界面1376を備え得る。この例では、相互接続VIA107b/105は、それぞれ接合層324/1224を通じて延び、DRAMチップ103のTSV1172と、CPU相互接続層214の導電線218/接触構造216との間の電気的接続も形成する。このようにして、TSV1172を通じて、CPU/DRAMチップ101/103における基板接触部844、導電線218/418、および/または接触構造216/416、CPUチップ101およびDRAMチップ103における任意のデバイスおよび回路は、電気的に接続され得る。
一部の実施形態では、3DのICデバイス1300は、例えばCPUチップ101、DRAMチップ103、およびNANDチップ740といった3つの電気的に接続されたチップを備え、NANDチップ740とDRAMチップ103とは第1の接合境界面1070において接合され、DRAMチップ103とCPUチップ101とは第2の接合境界面1376において接合される。第1の接合境界面1070を横切って、NANDチップ740における任意のデバイスまたは回路は、DRAMチップ103における任意のデバイスまたは回路と電気的に接続され得る。第2の接合境界面1376を横切って、DRAMチップ103における任意のデバイスまたは回路は、CPUチップ101における任意のデバイスまたは回路に電気的に接続され得る。
一部の実施形態では、NANDチップ740は基板接触部944を備え、DRAMチップ103は基板接触部844を備える。この例では、直接的な電気的接続が、最小の数の導電線418/918および接触構造416/916で、相互接続VIA742/107fを通じて、NAND基板接触部944とDRAM基板接触部844との間に形成され得る。このようにして、CPUチップ101における任意のデバイス/回路は、DRAMチップ103を通る大掛かりな長さの配線なしで、NANDチップ740における任意のデバイス/回路に直接的に接続され得る。
一部の実施形態では、CPUチップ101は基板接触部(図13には示されていない)も備え得る。この例では、外部信号が、3DのICデバイス1300において並列な3つのチップのうちのいずれか1つに送られ得る。CPUチップ101、DRAMチップ103、およびNANDチップ740は、外部デバイスによって個別に直接的にアクセスされ得る。
図13は、DRAMチップ103が、3DのICデバイス1000(図10参照)を最初に形成するNANDチップ740に接合され、DRAMチップ103の上側がNANDチップ740の上側を向く一方で、NAND基板902の底およびDRAM基板402の底が接合の後に3DのICデバイス1000の2つの側面を形成する3DのICデバイス1300の例を示している。ここで、基板の「上」側は、能動的な半導体デバイスが形成されている側に言及している。この例では、CPUチップ101の上側は、3DのICデバイス1300を形成するために、第2の接合プロセスの間にDRAM基板402の底面に後で接合される。
一部の実施形態では、CPUチップ101は最初にDRAMチップ103に接合でき、CPUチップ101の上側はDRAMチップ103の上側を向く。この例では、NANDチップ740は続いてDRAMチップ103に接合でき、NANDチップ740の上側はDRAM基板402の底側を向く。
図14は、本開示の一部の実施形態による例示の3DのICデバイス1400の断面を示しており、3DのICデバイス1400は、図13における3DのICデバイス1300のNAND基板902に配置される絶縁膜1478と、絶縁膜1478に形成される1つまたは複数の入力/出力(I/O)パッド1480と、NAND基板902を通じて基板接触部944にI/Oパッドを接続する1つまたは複数のTSV1482とを備える。TSV1482は、DRAMチップ103のTSV1172と同様とでき、同様のプロセスを用いて形成され得る。
絶縁膜1478は、例えば、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、ドーピングされた酸化ケイ素(F-、C-、N-、またはH-のドーピングされた酸化物など)、テトラエトキシシラン(TEOS)、ポリイミド、スピンオングラス(SOG)、多孔質SiCOHなどの低k誘電材料、シルセスキオキサン(SSQ)、またはそれらの任意の組み合わせといった任意の適切な絶縁材料であり得る。絶縁膜1478は、CVD、PVD、PECVD、ALD、高密度プラズマCVD(HDP-CVD)、スパッタリング、スピンコーティング、またはそれらの任意の組み合わせなど、1つまたは複数の薄膜堆積プロセスによって堆積させられ得る。
一部の実施形態では、I/Oパッド1480は絶縁膜1478と同一平面上にある。一部の実施形態では、I/Oパッド1480は絶縁膜1478から押し出され得る、または、凹まされ得る。I/Oパッド1480は、例えば、銅(Cu)、スズ(Sn)、ニッケル(Ni)、金(Au)、銀(Ag)、チタン(Ti)、アルミニウム(Al)、TiN、TaN、Al、またはそれらの任意の組み合わせといった、任意の適切な導電性材料を含み得る。I/Oパッド1480は、化学的蒸気堆積(CVD)、プラズマ増強CVD(PECVD)、物理的蒸気堆積(PVD)、電気メッキ、化学メッキ、スパッタリング、蒸着、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスによって堆積させられ得る。I/Oパッド1480の製作プロセスには、限定されることはないが、リソグラフィ、湿式/乾式エッチング、平坦化(例えば、RIEエッチバックおよびCMP)があり得る。
一部の実施形態では、絶縁膜1478、I/Oパッド1480、およびTSV1482もCPU基板202の上に形成され得る。この例では、CPUチップ101は1つまたは複数の基板接触部も備え得る。
I/Oパッド1480を通じて、外部デバイスが3DのICデバイス1400に接続できる。一部の実施形態では、I/Oパッド1480を通じて、外部デバイスは、NANDチップ740、DRAMチップ103、および/またはCPUチップ101における任意のデバイスまたは回路に接続できる。
図15は、一部の実施形態による、図7~図14に示された3DのICデバイスを形成するための例示の製作プロセス1500を示している。製作プロセス1500に示された動作が完全ではないことと、他の動作が、任意の図示されている動作の前、後、または間に実施され得ることとは、理解されるべきである。一部の実施形態では、例示の製作プロセス1500の一部のプロセスステップは、省略されてもよい、または、簡潔性のためにここでは記載されていない他のプロセスステップを含んでもよい。一部の実施形態では、方法1500のプロセスステップは、異なる順番で実施されてもよい、および/または、変化してもよい。
プロセスステップS1510において、第1のメモリチップが第1の基板の上に形成される。一部の実施形態では、第1のメモリチップを形成するステップは、スタティックランダムアクセスメモリまたはダイナミックランダムアクセスメモリを形成することを含む。例では、第1のメモリチップは、図8におけるDRAMチップ103であり得る。DRAMチップ103は、DRAM周辺デバイスと、DRAMメモリセルと、DRAM相互接続層414とを備え得る。DRAMチップ103の製作プロセスは、図6および図8におけるプロセスステップS620において、図4Aおよび図4Bに関して記載されている。
一部の実施形態では、DRAMチップは、接合層、複数の相互接続VIA、および、上側における基板接触部を備え、これらは、例えば図8において、接合層424、DRAM相互接続VIA107f、およびDRAM基板接触部844として示されている。DRAMチップの上側は、DRAM周辺デバイスおよびメモリセルが形成されているDRAM基板の側に言及している。
一部の実施形態では、接合層424は、DRAM相互接続層414を完成した後にDRAMチップ740に配置され得る。接合層424は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、またはそれらの任意の組み合わせなどの誘電材料を含み得る。接合層424は、例えば、エポキシ樹脂、ポリイミド、乾燥膜、感光性ポリマなどの接着材料も含み得る。接合層424は、CVD、PVD、PECVD、ALD、高密度プラズマCVD(HDP-CVD)、スパッタリング、スピンコーティング、またはそれらの任意の組み合わせなど、1つまたは複数の薄膜堆積プロセスによって形成され得る。
一部の実施形態では、DRAM相互接続VIA107fは、DRAM相互接続層414に形成でき、DRAMチップ103における導電線418および/または接触構造416のうちの1つまたは複数に電気的に接続できる。DRAM相互接続VIA107fの製作プロセスは、DRAM相互接続VIA107fのためのトレンチを形成するために、フォトリソグラフィおよび湿式/乾式エッチングを含み得る。次に、導電性材料の層が、DRAM相互接続VIA107fのためのトレンチを充填するためにDRAMチップ103に配置される。一部の実施形態では、DRAM相互接続VIA107fは、銅(Cu)、スズ(Sn)、ニッケル(Ni)、金(Au)、銀(Ag)、チタン(Ti)、アルミニウム(Al)、タンタル、窒化チタン(TiN)、窒化タンタル(TaN)、またはそれらの任意の組み合わせなどの導電性材料を含み得る。DRAM相互接続VIA107fの導電性材料は、CVD、PVD、メッキ、スパッタリング、蒸着、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスによって形成できる。トレンチの外の過剰な導電性材料は、平坦化プロセス(例えば、CMP、またはRIEエッチバック)を用いることで除去できる。
プロセスステップS1520において、第2のメモリチップが第2の基板に形成される。一部の実施形態では、第2のメモリチップの形成は、相変化メモリ、磁気ランダムアクセスメモリ、またはフラッシュメモリを形成することを含む。例では、第2のメモリチップは、図9におけるNANDチップ740であり得る。NANDチップ740は、NAND周辺デバイスと、NANDメモリセルと、NAND相互接続層914とを備え得る。
一部の実施形態では、NAND周辺デバイスは、n型MOSFET、p型MOSFET、ダイオード、抵抗、コンデンサ、インダクタなどの任意の適切な半導体デバイスであり得る。周辺デバイスのための製作プロセスは、CPUデバイスまたはDRAM周辺デバイスと同様である。
一部の実施形態では、NANDチップ740は3DNANDフラッシュメモリである。NANDメモリセルはNANDメモリストリング946と階段構造とを備え得る。
一部の実施形態では、NANDチップ740の製作は、第1の誘電層950と、第1の誘電層950と異なる第2の誘電層(図には示されていない)とによって複数の誘電層の対(本明細書では、「交互の誘電体の積み重ね」とも称される)を形成することを含み得る。一部の実施形態では、第1の誘電層は酸化ケイ素とでき、第2の誘電層は窒化ケイ素とできる。交互の誘電体の積み重ねが、CVD、PVD、ALD、スパッタリング、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスによって形成できる。
一部の実施形態では、NANDチップ740の製作は、複数のエッチングトリムプロセスを用いて交互の誘電体の積み重ねの端に階段構造を形成することも含み得る。
一部の実施形態では、NANDチップ740の製作は、第2の誘電層を除去し、交互の導体/誘電体の積み重ね952を形成するために導体層948で置き換えることも含み得る。導体層948による第2の誘電層の置き換えは、第1の誘電層950に対して選択的に第2の誘電層を湿式エッチングし、構造を導体層948で充填することで実施され得る。導体層948は、ポリシリコン、W、Co、Ti、TiN、Ta、TaN、Al、Ni、ケイ化物などを含み、CVD、ALDなどによって充填され得る。
一部の実施形態では、NANDチップ740の製作は、交互の導体/誘電体の積み重ね952を貫く複数のNANDメモリストリング946を形成することをさらに含み得る。一部の実施形態では、NANDメモリストリング946を形成するための製作プロセスは、交互の導体/誘電体の積み重ね952を垂直に貫いて延びる半導体チャネル954を形成することを含み得る。一部の実施形態では、半導体チャネル954は、CVD、ALDなどの薄膜堆積プロセスを用いて形成されるアモルフォスシリコン層またはポリシリコン層であり得る。
一部の実施形態では、NANDメモリストリング946を形成するための製作プロセスは、半導体チャネル954と、交互の導体/誘電体の積み重ね952における複数の導体/誘電体の層の対との間に、メモリ膜956を形成することをさらに含み得る。メモリ膜956は、遮断層、蓄積層、およびトンネル層などの複数の誘電層の組み合わせなどの複合誘電層であり得る。
遮断層は、電子電荷の流出を遮断するために使用できる。一部の実施形態では、遮断層は、酸化ケイ素層であり得る、または、酸化ケイ素/酸窒化ケイ素/酸化ケイ素(SiO-SiON-SiO)の多層の積み重ねの組み合わせであり得る。一部の実施形態では、遮断層は高い誘電率(高k)の誘電体(例えば、酸化アルミニウム)を含む。一例では、遮断層は、窒化ケイ素堆積プロセスの後のその場での蒸気発生(ISSG)酸化によって形成される酸化ケイ素層を含む。
蓄積層は、電子電荷を蓄積するために使用できる。蓄積層における電荷の蓄積および/または除去は、半導体チャネルのオン/オフ状態および/またはコンダクタンスに影響を与えることができる。蓄積層は多結晶シリコン(ポリシリコン)または窒化ケイ素を含み得る。蓄積層は、限定されることはないが、窒化ケイ素、酸窒化ケイ素、酸化ケイ素と窒化ケイ素との組み合わせ、またはそれらの任意の組み合わせを備える材料の1つまたは複数の膜を備え得る。一部の実施形態では、蓄積層は、1つまたは複数の堆積プロセスを用いて形成される窒化物層を含み得る。
トンネル層は、電子電荷(電子または空孔)をトンネルするために使用され得る。トンネル層は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、またはそれらの任意の組み合わせなどの誘電材料であり得る。一部の実施形態では、トンネル層は、堆積プロセスを用いて形成される酸化物層であり得る。
一部の実施形態では、NANDチップ740の製作は、NANDメモリストリング946の端においてエピタキシャル層962を形成することをさらに含み得る。一部の実施形態では、エピタキシャル層962は、第2の基板において形成でき、エピタキシャルプラグ962として各々のNANDメモリストリング946に対応することができる。エピタキシャル層962は所望のドーピングレベルに埋め込まれ得る。
一部の実施形態では、NANDチップ740の製作は、複数のワード線接触を形成することをさらに含み得る。図9に示されているように、各々のワード線接触部966は、階段構造の対応する導体層948への電気的接触を形成するために垂直に延びることができ、各々の導体層948は、NANDメモリストリング946のメモリセルを個々に制御することができる。一部の実施形態では、ワード線接触部966を形成するための製作プロセスは、乾式/湿式エッチングプロセスを用いて絶縁層920を貫く垂直な開口を形成し、続いて、W、Co、Cu、Al、ドーピングされたポリシリコン、ケイ化物、またはそれらの任意の組み合わせなどの導電性材料で開口を充填することを含む。導電性材料は、ALD、CVD、PVD、メッキ、スパッタリング、またはそれらの任意の組み合わせによって配置され得る。
一部の実施形態では、NANDチップ740の製作は、NANDメモリストリングを周辺デバイスと電気的に接続することができるNAND相互接続層914を形成することをさらに含み得る。図9に示されているように、一部の実施形態では、NAND相互接続層914は、1つまたは複数の接触構造916および導電線918を絶縁層920に備え得る。一部の実施形態では、NAND相互接続層914を形成するための製作プロセスは、絶縁層920を形成し、続いて、絶縁層920におけるNANDメモリストリング946と接触する複数のビット線接触部968を形成することを含む。絶縁層920は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、またはそれらの任意の組み合わせなどの誘電材料の1つまたは複数の層を含み得る。絶縁層920は、CVD、PVD、PECVD、ALD、高密度プラズマCVD(HDP-CVD)、スパッタリング、スピンコーティング、またはそれらの任意の組み合わせなど、1つまたは複数の薄膜堆積プロセスによって形成され得る。ビット線接触部968は、開口を絶縁層920に形成し、続いて、CVD、PVD、スパッタリング、蒸着、メッキ、またはそれらの任意の組み合わせによって堆積させられる、W、Co、Cu、Al、Ti、TiN、Ta、TaN、ドーピングされたケイ素、ケイ化物、またはそれらの任意の組み合わせなどの導電性材料で開口を充填することによって形成され得る。
一部の実施形態では、NAND相互接続層914を形成するための製作プロセスは、1つまたは複数の導電線918と、絶縁層920における1つまたは複数の接触構造916とを形成することをさらに含む。導体層および接触層は、W、Co、Cu、Al、Ti、Ta、TiN、TaN、ドーピングされたケイ素、ケイ化物、またはそれらの任意の組み合わせなど、導体材料を含み得る。導体層および接触層は、任意の適切な知られているBEOL方法によって形成され得る。
一部の実施形態では、例えば、接合層、複数の相互接続VIA、および基板接触部といった他の構造がNANDチップに形成されてもよく、これらは、図9において、接合層924、NAND相互接続VIA742、およびNAND基板接触部944として示されている。
一部の実施形態では、接合層924は、NAND相互接続層914を完成した後にNANDチップ740に配置され得る。接合層924は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、またはそれらの任意の組み合わせなどの誘電材料を含み得る。接合層924は、例えば、エポキシ樹脂、ポリイミド、乾燥膜、感光性ポリマなどの接着材料も含み得る。接合層924は、CVD、PVD、PECVD、ALD、高密度プラズマCVD(HDP-CVD)、スパッタリング、スピンコーティング、またはそれらの任意の組み合わせなど、1つまたは複数の薄膜堆積プロセスによって形成され得る。
一部の実施形態では、NAND相互接続VIA742は、NAND相互接続層914に形成でき、NANDチップ740における導電線918および/または接触構造916のうちの1つまたは複数に電気的に接続できる。NAND相互接続VIA742の製作プロセスは、NAND相互接続VIA742のためのトレンチを形成するために、フォトリソグラフィおよび乾式/湿式エッチングを含み得る。次に、導電性材料の層が、NAND相互接続VIA742のためのトレンチを充填するためにNANDチップ740に配置される。一部の実施形態では、NAND相互接続VIA742は、銅(Cu)、スズ(Sn)、ニッケル(Ni)、金(Au)、銀(Ag)、チタン(Ti)、アルミニウム(Al)、タンタル、窒化チタン(TiN)、窒化タンタル(TaN)、またはそれらの任意の組み合わせなどの導電性材料を含み得る。NAND相互接続VIA742の導電性材料は、CVD、PVD、メッキ、スパッタリング、蒸着、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスによって形成できる。トレンチの外の過剰な導電性材料は、平坦化プロセス(例えば、CMP、またはRIEエッチバック)を用いることで除去できる。
プロセスステップS1530において、DRAMチップは、第1の3DのICデバイスを形成するためにNANDチップと接合される。第1の3DのICデバイスの例が図10に3DのICデバイス1000として示されており、NANDチップ740はひっくり返されてDRAMチップ103の上方に位置決めされ得る。一部の実施形態では、DRAMチップ103はNANDチップ740の上に接合され得る。
一部の実施形態では、NANDチップ740とDRAMチップ103とは、ダイのレベル(例えば、ダイツーダイ、またはチップツーチップ)で、または、ウェーハのレベル(例えば、ウェーハツーウェーハ、またはチップツーウェーハ)で一体に接合できる。
一部の実施形態では、NANDチップ740は、NAND相互接続VIA742を、対応するDRAM相互接続VIA107fと並べることで、DRAMチップ103に位置決めされる。結果として、対応する相互接続VIA同士が第1の接合境界面1070において接続でき、DRAMチップ103はNANDチップ740に電気的に接続できる。
一部の実施形態では、NANDチップ740とDRAMチップ103とはハイブリッド接合によって結合でき、接合は、例えば、金属から金属へ、および、誘電体から誘電体へといった、同時に接合境界面において異なる材料の間で起こり得る。ハイブリッド接合プロセスは、図6におけるプロセスステップS630において記載されたプロセスと同様であり得る。この例では、金属から金属への接合が、NAND相互接続VIA742とDRAM相互接続VIA107fとの間で起こり得る。誘電体から誘電体への接合は、NAND/DRAM相互接続層914/414の絶縁層920/420の間でそれぞれ起こり得る。一部の実施形態では、誘電体から誘電体への接合は、NANDチップ740の接合層924とDRAMチップ103の接合層424との間で起こることができ、接合層924/424は、例えば酸化ケイ素、窒化ケイ素、または酸窒化ケイ素といった誘電材料である。一部の実施形態では、接合層は、例えばエポキシ樹脂、ポリイミド、乾燥膜など、接合強度を高めるための接着材料も含み得る。
一部の実施形態では、処理プロセスは、接合の前、最中、または後に実施され得る。処理プロセスは、プラズマ処理、湿式化学処理、または熱処理を含む可能性があり、CPUチップおよびDRAMチップのためにプロセスステップS630において使用されるプロセスと同様である。
一部の実施形態では、NANDチップ740および/またはDRAMチップ103の基板は接合の後に薄化され得る。一部の実施形態では、ハンドルウェハ(例えば、ガラス、プラスチック、またはシリコン)が、薄化プロセスの前にNAND/DRAMチップ740/103に取り付けられ得る。一部の実施形態では、基板薄化プロセスは、研削、乾式エッチング、湿式エッチング、および化学機械研磨(CMP)を含み得る。
一部の実施形態では、複数の垂直相互接続構造(例えば、Si貫通電極(TSV))がDRAMチップ103および/またはNANDチップ740のために形成できる。DRAMチップ103のためのTSVは図11におけるTSV1172と同様であり、NANDチップ740のためのTSVは図14におけるTSV1482と同様である。TSVは、DRAMチップ103とNANDチップ740との接合の前または後に形成され得る。一部の実施形態では、TSVは基板薄化の後に形成され得る。
一部の実施形態では、TSV1172は、導電性材料の充填および平坦化が後に続くTSVトレンチを形成するためのパターン形成プロセスを用いることで、DRAMチップ103の底面420bから形成され得る。TSV1172のためのパターン形成プロセスはリソグラフィとエッチングとを含み得る。フォトレジストに加えて、誘電体ARC(DARC)や底ARC(BARC)などの反射防止コーティング(ARC)が、リソグラフィの品質を向上させ、エッチングの間に追加の保護を提供するために使用できる。一部の実施形態では、ハードマスク(例えば、酸化ケイ素、窒化ケイ素、または酸窒化ケイ素)が、エッチングの間に下にある材料のより大きな保護を提供するために、TSV1172のパターン形成の前にDRAM基板402の底面420bに堆積させられ得る。TSV1172についてのエッチングプロセスは、例えば、湿式化学エッチング、反応性イオンエッチング(RIE)、高アスペクト比プラズマエッチング、またはそれらの任意の組み合わせを含み得る。一部の実施形態では、TSV1172のディープシリコントレンチが、SF化学を用いるプラズマエッチングとC化学を用いる保護膜体積とを交互に行うことで形成できる。TSV1172のトレンチを充填するために使用される導電性材料は、タングステン(W)、コバルト(Co)、銅(Cu)、チタン(Ti)、タンタル(Ta)、アルミニウム(Al)、窒化チタン(TiN)、窒化タンタル(TaN)、ニッケル、ポリシリコン、多結晶シリコンゲルマニウム、多結晶ゲルマニウム、ケイ化物(WSi、CoSi、NiSi、AlSiなど)、またはそれらの任意の組み合わせを含み得る。導電性材料は、化学的蒸気堆積(CVD)、プラズマ増強CVD(PECVD)、物理的蒸気堆積(PVD)、原子層堆積(ALD)、電気メッキ、化学メッキ、スパッタリング、蒸着、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスによって堆積させられ得る。過剰な導電性材料は、例えばRIEエッチバック、化学機械研磨(CMP)といった平坦化プロセスによって除去できる。NANDチップ740のためのTSV1482の形成は、前述したTSV1172と同様である。
次の接合プロセスに向けてNANDチップ740および/またはDRAMチップ103を準備するために、一部の実施形態では、接合層と複数の相互接続VIAとは、第1の3DのICデバイスを形成した後、DRAMチップの底側に形成され得る。ここで、「上」側は、DRAMメモリセルが形成されるDRAMチップ103の側に言及している。図12に示されたこの例では、DRAMチップ103の上側は、NANDチップ740との接合境界面により近い。それによって、DRAMチップの底側は接合境界面からより遠くに離れている。接合層および相互接続VIAは、図12における接合層1224およびDRAM相互接続VIA107bと同様であり、プロセスステップS1510に記載された接合層424およびDRAM相互接続VIA107fと同様に形成できる。
プロセスステップS1540において、マイクロプロセッサチップが第3の基板の上に形成される。一部の実施形態では、マイクロプロセッサチップを形成するステップは、コンピュータまたは携帯機器のためのデジタル信号処理装置、マイクロコントローラ、または中央演算機を形成することを含む。ある例では、マイクロプロセッサチップは、CPUデバイスとCPU相互接続層とを備えるCPUチップであり得る。CPUチップは接合層と複数の相互接続VIAとを備えてもよい。CPUチップは、図3に示され、図6におけるプロセスステップS610について記載されているのと同様のプロセスを用いるCPUチップ300とできる。
プロセスステップS1550において、第1の3DのICデバイスは、第2の3DのICデバイスを形成するためにCPUチップと接合される。第2の3DのICデバイスの例が図13に3DのICデバイス1300として示されており、DRAMチップ103の底がCPUチップ101の上と接合され得る。一部の実施形態では、第1の3DのICデバイスとCPUチップとは、ダイのレベル(例えば、ダイツーダイ、またはチップツーチップ)で、または、ウェーハのレベル(例えば、ウェーハツーウェーハ、またはチップツーウェーハ)で一体に接合できる。一部の実施形態では、接合は、DRAMチップ103の底におけるDRAM相互接続VIA107bをCPUチップ101の上における対応するCPU相互接続VIA105と並べることで実施される。
一部の実施形態では、第1の3DのICデバイスとCPUチップ103とはハイブリッド接合によって結合でき、ハイブリッド接合プロセスは、プロセスステップS1520において記載されているプロセスと同様である。
一部の実施形態では、TSVは、CPUチップ101、DRAMチップ103、およびNANDチップ740に形成できる。TSVは、図11におけるTSV1172および図14におけるTSV1482と同様であり、同様のプロセスで形成できる。
一部の実施形態では、1つまたは複数のI/OパッドがNANDチップ740および/またはCPUチップ101の上に形成でき、NANDチップ740およびCPUチップ101のTSVにそれぞれ連結する。I/Oパッドの例が図14にI/Oパッド1480として示されている。I/Oパッド1480を形成するために、絶縁膜がNANDチップの基板に配置される。図14に示されている例では、NANDチップの上側は接合境界面により近く、絶縁膜はNAND基板902の後側に配置されている。絶縁膜は、図14における絶縁膜1478とでき、例えば、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、ドーピングされた酸化ケイ素(F-、C-、N-、またはH-のドーピングされた酸化物など)、テトラエトキシシラン(TEOS)、ポリイミド、スピンオングラス(SOG)、多孔質SiCOHなどの低k誘電材料、シルセスキオキサン(SSQ)、またはそれらの任意の組み合わせといった任意の適切な絶縁材料であり得る。絶縁膜1478は、CVD、PVD、PECVD、ALD、高密度プラズマCVD(HDP-CVD)、スパッタリング、スピンコーティング、またはそれらの任意の組み合わせなど、1つまたは複数の薄膜堆積プロセスによって堆積させられ得る。
次に、絶縁膜1478は、I/Oパッド1480のための孔またはトレンチを形成するためにリソグラフィおよび湿式/乾式エッチングを使用してパターン形成され、後のプロセスにおける電気的接触のためにNANDチップ740のTSV1482を露出させる。次に、導電性材料がNAND基板902に配置され、I/Oパッド1480のための孔およびトレンチを充填する。I/Oパッド1480のための導電性材料は、銅(Cu)、スズ(Sn)、ニッケル(Ni)、金(Au)、銀(Ag)、チタン(Ti)、アルミニウム(Al)、TiN、TaN、Al、またはそれらの任意の組み合わせを含み得る。I/Oパッド1480のための導電性材料は、化学的蒸気堆積(CVD)、プラズマ増強CVD(PECVD)、物理的蒸気堆積(PVD)、電気メッキ、化学メッキ、スパッタリング、蒸着、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスによって堆積させられ得る。I/Oパッドの孔/トレンチの外の過剰な導電性材料は、平坦化プロセス(例えば、RIEエッチバックおよびCMP)によって除去できる。一部の実施形態では、I/Oパッド1480は絶縁膜1478と同一平面上にある。一部の実施形態では、I/Oパッド1480は絶縁膜1478から押し出され得る、または、凹まされ得る。
一部の実施形態では、同様の絶縁膜、I/Oパッド、およびTSVもCPU基板202の上に形成され得る。この例では、CPUチップ101は1つまたは複数の基板接触部も備え得る。
一部の実施形態では、1つまたは複数の機能性チップ(例えば、SRAM、DRAM、GPUなど)が、同様の技術を用いて3DのICデバイス1400のCPUチップ101とさらに接合され得る。別の接合プロセスについて第2の3DのICデバイスのCPUチップ101を準備するために、CPU基板202は薄化でき、CPU基板の底におけるTSV、接合層、および相互接続VIAの形成が後に続く。このようにして、ハイブリッド接合を通じて、複数の機能性チップが互いの上に積み重ねでき、より短い距離、より短い待ち時間、およびより大きい帯域幅での電気的接続を形成する。
したがって、集積回路、および集積回路を作る方法による三次元デバイスの様々な実施形態が、本開示に記載されている。機能性チップを垂直の積み重ねで集積することで、機能性チップ同士の間の電気的接続の距離が大きく縮小できる。そのため、3DのICデバイスは、他の二次元のICと比較して、より小さい大きさ、より大きい密度、より速い速度、およびより大きな帯域幅を達成することができる。
一部の実施形態では、三次元半導体デバイスを形成するための方法が、マイクロプロセッサチップを形成するステップを含み、そのステップは、少なくとも1つのマイクロプロセッサデバイスを第1の基板の上に形成することと、少なくとも1つの第1の相互接続構造を有する第1の相互接続層を少なくとも1つのマイクロプロセッサデバイスの上に形成することとを含む。方法は、メモリチップを形成するステップも含み、そのステップは、少なくとも1つのメモリセルを第2の基板の上に形成することと、少なくとも1つの第2の相互接続構造を有する第2の相互接続層を少なくとも1つのメモリセルの上に形成することとを含む。方法は、マイクロプロセッサチップの少なくとも1つのマイクロプロセッサデバイスが、少なくとも1つの第1の相互接続構造または少なくとも1つの第2の相互接続構造を通じてメモリチップの少なくとも1つのメモリセルと電気的に接続されるように、マイクロプロセッサチップの第1の相互接続層をメモリチップの第2の相互接続層と接合するステップをさらに含む。
一部の実施形態では、三次元半導体デバイスを形成するための方法が、第1のメモリチップを形成するステップを含み、そのステップは、少なくとも1つの第1のメモリセルを第1の基板の上に形成することと、少なくとも1つの第1の相互接続構造を有する第1の相互接続層を少なくとも1つの第1のメモリセルの上に形成することとを含む。方法は、第2のメモリチップを形成するステップも含み、そのステップは、少なくとも1つの第2のメモリセルを第2の基板の上に形成することと、少なくとも1つの第2の相互接続構造を有する第2の相互接続層を少なくとも1つの第2のメモリセルの上に形成することとを含む。方法は、第1のメモリチップの少なくとも1つの第1のメモリセルが、少なくとも1つの第1の相互接続構造または少なくとも1つの第2の相互接続構造を通じて第2のメモリチップの少なくとも1つの第2のメモリセルと電気的に接続されるように、第1のメモリチップの第1の相互接続層を第2のメモリチップの第2の相互接続層と接合するステップをさらに含む。方法は、マイクロプロセッサチップを形成するステップも含み、そのステップは、少なくとも1つのマイクロプロセッサデバイスを第3の基板の上に形成することと、少なくとも1つの第3の相互接続構造を有する第3の相互接続層を少なくとも1つのマイクロプロセッサデバイスの上に形成することとを含む。方法は、マイクロプロセッサチップの少なくとも1つのマイクロプロセッサデバイスが、少なくとも1つの第1の相互接続構造または少なくとも1つの第3の相互接続構造を通じて第1のメモリチップの少なくとも1つの第1のメモリセルと電気的に接続されるように、マイクロプロセッサチップの第3の相互接続層を第1のメモリチップの第1の基板と接合するステップをさらに含む。
一部の実施形態では、三次元(3D)半導体デバイスが、第1の基板における少なくとも1つのマイクロプロセッサデバイスと、少なくとも1つのマイクロプロセッサデバイスに配置される、少なくとも1つの第1の相互接続構造を備える第1の相互接続層とを有するマイクロプロセッサチップを備える。3D半導体デバイスは、第2の基板における少なくとも1つのメモリセルと、少なくとも1つのメモリセルに配置される、少なくとも1つの第2の相互接続構造を備える第2の相互接続層とを有するメモリチップも備える。3D半導体デバイスでは、マイクロプロセッサチップの第1の相互接続層はメモリチップの第2の相互接続層と接合され、少なくとも1つのマイクロプロセッサデバイスは、少なくとも1つの第1の相互接続構造または少なくとも1つの第2の相互接続構造を通じて少なくとも1つのメモリセルと電気的に接続される。
一部の実施形態では、三次元(3D)半導体デバイスが、第1の基板における少なくとも1つのマイクロプロセッサデバイスと、少なくとも1つのマイクロプロセッサデバイスに配置される、少なくとも1つの第1の相互接続構造を備える第1の相互接続層とを有するマイクロプロセッサチップを備える。3D半導体デバイスは、第2の基板における少なくとも1つの第1のメモリセルと、少なくとも1つの第1のメモリセルに配置される、少なくとも1つの第2の相互接続構造を備える第2の相互接続層とを有する第1のメモリチップも備える。3D半導体デバイスは、第3の基板における少なくとも1つの第2のメモリセルと、少なくとも1つの第2のメモリセルに配置される、少なくとも1つの第3の相互接続構造を備える第3の相互接続層とを有する第2のメモリチップをさらに備える。3D半導体デバイスでは、マイクロプロセッサチップの第1の相互接続層は第1のメモリチップの第2の基板と接合され、マイクロプロセッサチップの少なくとも1つのマイクロプロセッサデバイスは、少なくとも1つの第1の相互接続構造または少なくとも1つの第2の相互接続構造を通じて第1のメモリチップの少なくとも1つの第1のメモリセルと電気的に接続される。3D半導体デバイスでは、第2のメモリチップの第3の相互接続層は第1のメモリチップの第2の相互接続層と接合され、マイクロプロセッサチップの少なくとも1つのマイクロプロセッサデバイスは、少なくとも1つの第1の相互接続構造、少なくとも1つの第2の相互接続構造、または、少なくとも1つの第3の相互接続構造を通じて第2のメモリチップの少なくとも1つの第2のメモリセルと電気的に接続される。
特定の実施形態の前述の記載は、他の者が、当業者の範囲内の知識を適用することで、過度な実験なしで、および、本開示の大まかな概念から逸脱することなく、様々な用途について、このような特定の実施形態を容易に修正および/または適合することができるように、本開示の大まかな本質を十分に明らかにしている。そのため、このような適合および修正は、本開示、および本明細書に存在する案内に基づいて、開示されている実施形態の等価の意味および範囲内にあるように意図されている。本明細書における表現または用語が記載の目的のためであり、限定の目的のためではなく、そのため、本明細書の用語または表現が、本開示および案内に鑑みて当業者によって解釈されることは、理解されるものである。
本開示の実施形態は、その特定された機能および関係の実施を示す機能性構築ブロックの助けで先に記載されている。これらの機能性構築ブロックの境界は、本記載の利便性のために本明細書において任意に定められている。代替の境界は、その特定された機能および関係が適切に実施される限り定めることができる。
概要および要約の部分は、本発明者によって検討される本開示の例示の実施形態のうちの1つまたは複数を明記し得るがすべてを明記しているのではなく、本開示および添付の特許請求の範囲をいかなる形でも限定するようには意図されていない。
本開示の広がりおよび範囲は、先に記載した例示の実施形態のいずれかによって限定されるべきではないが、以下の特許請求の範囲およびそれらの等価のみに従って定められるべきである。
100 3DのICデバイス
101 マイクロプロセッサチップ、CPUチップ
103 メモリチップ、DRAMチップ
105 CPU相互接続VIA
107、107b、107f DRAM相互接続VIA
202 CPU基板
204 CPUデバイス
206 ウェル
208 ゲートスタック
210 ゲートスペーサ
212 ソース/ドレイン
214 CPU相互接続層
216 垂直接触構造
218 導電線
220 絶縁層
222 導電レベル、金属レベル
222-1 底金属レベル
222-2 上方金属レベル
223 上面
300 CPUチップ
324 CPU接合層
400 DRAMチップ
402 DRAM基板
402b 底面
404 DRAMデバイス
408 ゲートスタック
410 ゲートスペーサ
412 ソース/ドレイン
414 DRAM相互接続層
416 接触構造
418 導電線
420 絶縁層
420b 底面
423 上面
424 DRAM接合層
430 DRAMコンデンサ
432 コンデンサ誘電層
434 コンデンサ電極
500 3DのICデバイス
526 接合境界面
536 接合境界面
600 製作プロセス
700 3DのICデバイス
740 NANDチップ
742 NAND相互接続VIA
800 DRAMチップ
844 DRAM基板接触部
900 NANDチップ
900t 上面
902 NAND基板
914 NAND相互接続層
916 接触構造
918 導電線
920 絶縁層
924 接合層
944 NAND基板接触部
946 NANDメモリストリング
948 導体層
950 誘電層
952 交互の導体/誘電体の積み重ね
954 半導体チャネル
956 メモリ膜
958 下方選択ゲート、ソース選択ゲート
960 上選択ゲート、ドレイン選択ゲート
962 エピタキシャル層、エピタキシャルプラグ
964 半導体層
966 ワード線接触部
968 ビット線接触部
1000 3DのICデバイス
1070 第1の接合境界面
1100 3DのICデバイス
1100t 表面
1172 垂直相互接続構造、Si貫通電極(TSV)
1200 3DのICデバイス
1224 接合層
1300 3DのICデバイス
1376 第2の接合境界面
1400 3DのICデバイス
1478 絶縁膜
1480 入力/出力(I/O)パッド
1482 TSV
1500 製作プロセス
L ゲート長さ

Claims (13)

  1. 三次元半導体デバイスを形成するための方法であって、
    第1のメモリチップを形成するステップであって、
    少なくとも1つの第1のメモリセルを第1の基板の上に形成すること、および、
    少なくとも1つの第1の相互接続構造を備える第1の相互接続層を前記少なくとも1つの第1のメモリセルの上に形成すること
    を含むステップと、
    第2のメモリチップを形成するステップであって、
    少なくとも1つの第2のメモリセルを第2の基板の上に形成すること、および、
    少なくとも1つの第2の相互接続構造を備える第2の相互接続層を前記少なくとも1つの第2のメモリセルの上に形成すること
    を含むステップと、
    前記第1のメモリチップの前記少なくとも1つの第1のメモリセルが、前記少なくとも1つの第1の相互接続構造または前記少なくとも1つの第2の相互接続構造を通じて前記第2のメモリチップの前記少なくとも1つの第2のメモリセルと電気的に接続されるように、前記第1のメモリチップの前記第1の相互接続層を前記第2のメモリチップの前記第2の相互接続層と接合するステップであって、前記第1のメモリチップの前記第1の相互接続層を前記第2のメモリチップの前記第2の相互接続層と接合する前記ステップは、接合境界面において、誘電体から誘電体への接合と、金属から金属への接合とを含む、ステップと、
    マイクロプロセッサチップを形成するステップであって、
    少なくとも1つのマイクロプロセッサデバイスを第3の基板の上に形成すること、および、
    第3の相互接続層を前記少なくとも1つのマイクロプロセッサデバイスの上に形成すること
    を含むステップと、
    前記マイクロプロセッサチップの前記少なくとも1つのマイクロプロセッサデバイスが、前記第1のメモリチップの前記少なくとも1つの第1のメモリセルと電気的に接続されるように、前記マイクロプロセッサチップの前記第3の相互接続層を前記第1のメモリチップの前記第1の基板と接合するステップと
    を含む方法。
  2. 前記マイクロプロセッサチップの前記第3の相互接続層を前記第1のメモリチップの前記第1の基板と接合する前記ステップは、接合境界面において、誘電体から誘電体への接合と、金属から金属への接合とを含む、請求項に記載の方法。
  3. 前記第1のメモリチップの前記第1の基板を通じて延びる少なくとも1つの垂直相互接続構造を形成するステップであって、前記少なくとも1つの垂直相互接続構造は前記第1の相互接続層の少なくとも1つの第1の相互接続構造に電気的接続を提供する、ステップをさらに含む、請求項に記載の方法。
  4. 前記第2のメモリチップの前記第2の基板を通じて延びる少なくとも1つの垂直相互接続構造を形成するステップであって、前記少なくとも1つの垂直相互接続構造は前記第2の相互接続層の少なくとも1つの第2の相互接続構造に電気的接続を提供する、ステップをさらに含む、請求項に記載の方法。
  5. 前記マイクロプロセッサチップの前記第3の基板を通じて延びる少なくとも1つの垂直相互接続構造を形成するステップであって、前記少なくとも1つの垂直相互接続構造は前記第3の相互接続層の少なくとも1つの第3の相互接続構造に電気的接続を提供する、ステップをさらに含む、請求項に記載の方法。
  6. 前記マイクロプロセッサチップを形成する前記ステップは、コンピュータまたは携帯機器のためのデジタル信号処理装置、マイクロコントローラ、または中央演算機を形成することを含む、請求項に記載の方法。
  7. 前記第1のメモリチップを形成する前記ステップはスタティックランダムアクセスメモリまたはダイナミックランダムアクセスメモリを形成することを含む、請求項に記載の方法。
  8. 前記第2のメモリチップを形成する前記ステップはフラッシュメモリを形成することを含む、請求項に記載の方法。
  9. 少なくとも1つのマイクロプロセッサデバイス、および、
    前記少なくとも1つのマイクロプロセッサデバイスに配置される、少なくとも1つの第1の相互接続構造を備える第1の相互接続層
    を備えるマイクロプロセッサチップと、
    少なくとも1つの第1のメモリセル、および、
    前記少なくとも1つの第1のメモリセルに配置される、少なくとも1つの第2の相互接続構造を備える第2の相互接続層
    を備える第1のメモリチップと、
    少なくとも1つの第2のメモリセル、および、
    前記少なくとも1つの第2のメモリセルに配置される、少なくとも1つの第3の相互接続構造を備える第3の相互接続層
    を備える第2のメモリチップと
    前記第2のメモリチップの前記第3の相互接続層と前記第1のメモリチップの前記第2の相互接続層との間の接合境界面であって、誘電体から誘電体への接合と、金属から金属への接合とを含む接合境界面と
    を備え、
    前記マイクロプロセッサチップの前記第1の相互接続層は前記第1のメモリチップと接合され、前記マイクロプロセッサチップの前記少なくとも1つのマイクロプロセッサデバイスは、前記少なくとも1つの第1の相互接続構造または前記少なくとも1つの第2の相互接続構造を通じて前記第1のメモリチップの前記少なくとも1つの第1のメモリセルと電気的に接続され、
    前記第2のメモリチップの前記第3の相互接続層は前記第1のメモリチップの前記第2の相互接続層と接合され、前記マイクロプロセッサチップの前記少なくとも1つのマイクロプロセッサデバイスは、前記少なくとも1つの第1の相互接続構造、前記少なくとも1つの第2の相互接続構造、または、前記少なくとも1つの第3の相互接続構造を通じて前記第2のメモリチップの前記少なくとも1つの第2のメモリセルと電気的に接続され
    前記第1のメモリチップの前記少なくとも1つの第1のメモリセルは、前記少なくとも1つの第3の相互接続構造または前記少なくとも1つの第2の相互接続構造を通じて前記第2のメモリチップの前記少なくとも1つの第2のメモリセルと電気的に接続される、三次元半導体デバイス。
  10. 前記マイクロプロセッサチップの前記第1の相互接続層と前記第1のメモリチップとの間の接合境界面であって、誘電体から誘電体への接合と、金属から金属への接合とを含む接合境界面をさらに備える、請求項に記載の三次元半導体デバイス。
  11. 前記マイクロプロセッサチップは、コンピュータまたは携帯機器のためのデジタル信号処理装置、マイクロコントローラ、または中央演算機を備える、請求項に記載の三次元半導体デバイス。
  12. 前記第1のメモリチップはスタティックランダムアクセスメモリまたはダイナミックランダムアクセスメモリを備える、請求項に記載の三次元半導体デバイス。
  13. 前記第2のメモリチップはフラッシュメモリを備える、請求項に記載の三次元半導体デバイス。
JP2021549842A 2019-04-15 2019-04-15 複数の機能性チップを伴う三次元nandメモリデバイスの集積 Active JP7331119B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/CN2019/082607 WO2020210928A1 (en) 2019-04-15 2019-04-15 Integration of three-dimensional nand memory devices with multiple functional chips

Publications (2)

Publication Number Publication Date
JP2022521618A JP2022521618A (ja) 2022-04-11
JP7331119B2 true JP7331119B2 (ja) 2023-08-22

Family

ID=67725906

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2021549842A Active JP7331119B2 (ja) 2019-04-15 2019-04-15 複数の機能性チップを伴う三次元nandメモリデバイスの集積
JP2021545761A Active JP7209857B2 (ja) 2019-04-15 2019-11-05 スタックされた3次元異種メモリデバイス、および、それを形成するための方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2021545761A Active JP7209857B2 (ja) 2019-04-15 2019-11-05 スタックされた3次元異種メモリデバイス、および、それを形成するための方法

Country Status (7)

Country Link
US (2) US11031377B2 (ja)
EP (4) EP3891784A4 (ja)
JP (2) JP7331119B2 (ja)
KR (4) KR102601225B1 (ja)
CN (2) CN110192269A (ja)
TW (4) TWI743507B (ja)
WO (3) WO2020210928A1 (ja)

Families Citing this family (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11605630B2 (en) * 2009-10-12 2023-03-14 Monolithic 3D Inc. 3D integrated circuit device and structure with hybrid bonding
US11411036B2 (en) * 2017-04-04 2022-08-09 Sony Semiconductor Solutions Corporation Solid-state imaging device and electronic apparatus
US11211328B2 (en) * 2017-10-16 2021-12-28 SK Hynix Inc. Semiconductor memory device of three-dimensional structure
US10903216B2 (en) * 2018-09-07 2021-01-26 Samsung Electronics Co., Ltd. Semiconductor memory device and method of fabricating the same
JP2020145231A (ja) * 2019-03-04 2020-09-10 キオクシア株式会社 半導体装置およびその製造方法
CN110192269A (zh) * 2019-04-15 2019-08-30 长江存储科技有限责任公司 三维nand存储器件与多个功能芯片的集成
JP7197719B2 (ja) * 2019-04-15 2022-12-27 長江存儲科技有限責任公司 半導体デバイス及び方法
CN111033728A (zh) 2019-04-15 2020-04-17 长江存储科技有限责任公司 具有可编程逻辑器件和动态随机存取存储器的键合半导体器件及其形成方法
WO2020211272A1 (en) * 2019-04-15 2020-10-22 Yangtze Memory Technologies Co., Ltd. Unified semiconductor devices having processor and heterogeneous memories and methods for forming the same
JP7487213B2 (ja) 2019-04-15 2024-05-20 長江存儲科技有限責任公司 プロセッサおよびダイナミック・ランダムアクセス・メモリを有する接合半導体デバイスおよびそれを形成する方法
CN110731012B (zh) 2019-04-15 2021-01-29 长江存储科技有限责任公司 具有处理器和异构存储器的一体化半导体器件及其形成方法
CN111727503B (zh) 2019-04-15 2021-04-16 长江存储科技有限责任公司 具有可编程逻辑器件和异构存储器的统一半导体器件及其形成方法
WO2020220280A1 (en) 2019-04-30 2020-11-05 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory device with embedded dynamic random-access memory
CN110720143B (zh) 2019-04-30 2021-01-29 长江存储科技有限责任公司 具有处理器和nand闪存的键合半导体器件及其形成方法
CN110870062A (zh) 2019-04-30 2020-03-06 长江存储科技有限责任公司 具有可编程逻辑器件和nand闪存的键合半导体器件及其形成方法
CN110720145B (zh) * 2019-04-30 2021-06-22 长江存储科技有限责任公司 具有三维相变存储器的三维存储设备
EP3915147A4 (en) * 2019-06-27 2022-11-23 Yangtze Memory Technologies Co., Ltd. NOVEL 3D NAND MEMORY DEVICE AND METHOD OF MAKING THERE
CN112670289B (zh) 2019-08-23 2023-12-08 长江存储科技有限责任公司 竖直存储器件
JP2021044399A (ja) * 2019-09-11 2021-03-18 キオクシア株式会社 半導体装置およびその製造方法
CN110770901A (zh) 2019-09-11 2020-02-07 长江存储科技有限责任公司 具有处理器和静态随机存取存储器的键合半导体器件及其形成方法
US11195818B2 (en) 2019-09-12 2021-12-07 Taiwan Semiconductor Manufacturing Company, Ltd. Backside contact for thermal displacement in a multi-wafer stacked integrated circuit
JP2021044477A (ja) * 2019-09-13 2021-03-18 キオクシア株式会社 半導体記憶装置
US11557655B2 (en) * 2019-10-11 2023-01-17 Tokyo Electron Limited Device and method of forming with three-dimensional memory and three-dimensional logic
JP7378503B2 (ja) 2019-10-12 2023-11-13 長江存儲科技有限責任公司 ダイ同士の接合のための方法および構造
CN112635476B (zh) * 2019-10-12 2023-08-08 长江存储科技有限责任公司 具有氢阻挡层的三维存储设备及其制造方法
CN110854125A (zh) * 2019-10-28 2020-02-28 中国科学院上海微***与信息技术研究所 一种双衬底三维异质集成芯片及其制备方法
CN110854116A (zh) * 2019-10-28 2020-02-28 中国科学院上海微***与信息技术研究所 一种三维异质集成芯片及其制备方法
CN110945650A (zh) * 2019-11-05 2020-03-31 长江存储科技有限责任公司 具有通过键合而形成的毗连通孔结构的半导体设备和用于形成其的方法
CN110783311B (zh) * 2019-11-11 2021-04-27 合肥恒烁半导体有限公司 一种闪存电路及其制备方法
KR20210061166A (ko) * 2019-11-19 2021-05-27 에스케이하이닉스 주식회사 반도체 메모리 장치
KR20210088810A (ko) * 2020-01-06 2021-07-15 에스케이하이닉스 주식회사 3차원 반도체 메모리 장치
US12021028B2 (en) * 2020-01-20 2024-06-25 Monolithic 3D Inc. 3D semiconductor devices and structures with electronic circuit units
US11488939B2 (en) * 2020-01-20 2022-11-01 Monolithic 3D Inc. 3D semiconductor devices and structures with at least one vertical bus
US11270988B2 (en) * 2020-01-20 2022-03-08 Monolithic 3D Inc. 3D semiconductor device(s) and structure(s) with electronic control units
WO2021158994A1 (en) * 2020-02-07 2021-08-12 Sunrise Memory Corporation Quasi-volatile system-level memory
US11527545B2 (en) 2020-02-12 2022-12-13 Tokyo Electron Limited Architecture design and process for 3D logic and 3D memory
US11282828B2 (en) 2020-02-20 2022-03-22 Tokyo Electron Limited High density architecture design for 3D logic and 3D memory circuits
WO2021163944A1 (en) * 2020-02-20 2021-08-26 Yangtze Memory Technologies Co., Ltd. Dram memory device with xtacking architecture
JP7487320B2 (ja) * 2020-04-14 2024-05-20 長江存儲科技有限責任公司 バックサイド相互接続構造を備える3次元メモリデバイス
KR20210134141A (ko) * 2020-04-29 2021-11-09 삼성전자주식회사 반도체 장치
WO2021237488A1 (en) 2020-05-27 2021-12-02 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices
CN114743985A (zh) 2020-05-27 2022-07-12 长江存储科技有限责任公司 三维存储器件
WO2021237489A1 (en) 2020-05-27 2021-12-02 Yangtze Memory Technologies Co., Ltd. Methods for forming three-dimensional memory devices
CN113410243B (zh) 2020-05-27 2023-04-25 长江存储科技有限责任公司 用于形成三维存储器件的方法
CN111758161B (zh) 2020-05-29 2021-08-17 长江存储科技有限责任公司 垂直存储器件
US11289455B2 (en) * 2020-06-11 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Backside contact to improve thermal dissipation away from semiconductor devices
US11550158B2 (en) * 2020-06-24 2023-01-10 Meta Platforms Technologies, Llc Artificial reality system having system-on-a-chip (SoC) integrated circuit components including stacked SRAM
US11444069B2 (en) * 2020-06-29 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. 3D semiconductor package including memory array
CN113704137A (zh) * 2020-07-30 2021-11-26 西安紫光国芯半导体有限公司 存内计算模块和方法、存内计算网络及构建方法
JP2022035158A (ja) * 2020-08-20 2022-03-04 キオクシア株式会社 半導体記憶装置
CN117936507A (zh) * 2020-09-02 2024-04-26 长江存储科技有限责任公司 用于Xtacking架构的焊盘引出结构
JP2022045192A (ja) * 2020-09-08 2022-03-18 キオクシア株式会社 半導体装置およびその製造方法
CN112164674A (zh) * 2020-09-24 2021-01-01 芯盟科技有限公司 堆叠式高带宽存储器
CN112449695A (zh) * 2020-10-12 2021-03-05 长江先进存储产业创新中心有限责任公司 采用向3d交叉点芯片键合asic或fpga芯片的多重集成方案
KR20220060612A (ko) * 2020-11-04 2022-05-12 삼성전자주식회사 반도체 장치 및 이를 포함하는 데이터 저장 시스템
CN112928136B (zh) * 2021-01-29 2023-07-04 长江先进存储产业创新中心有限责任公司 中央处理器及其制造方法
CN112768411B (zh) * 2021-02-02 2023-04-18 长江存储科技有限责任公司 一种存储器及其制造方法
US20220271033A1 (en) * 2021-02-19 2022-08-25 Daniel Chanemougame Inverted top-tier fet for multi-tier gate-on-gate 3-dimension integration (3di)
CN113097383B (zh) * 2021-03-09 2023-07-18 长江先进存储产业创新中心有限责任公司 中央处理器及其制造方法
CN113053900B (zh) * 2021-03-22 2023-01-20 长鑫存储技术有限公司 半导体结构及其制造方法
CN116322056A (zh) * 2021-04-30 2023-06-23 长江存储科技有限责任公司 三维存储器及制造三维存储器的方法
CN115623878A (zh) * 2021-05-12 2023-01-17 长江存储科技有限责任公司 具有三维晶体管的存储器***电路及其形成方法
US11776925B2 (en) 2021-06-30 2023-10-03 Micron Technology, Inc. Methods of forming microelectronic devices, and related microelectronic devices and electronic systems
WO2023272584A1 (en) * 2021-06-30 2023-01-05 Yangtze Memory Technologies Co., Ltd. Peripheral circuit having recess gate transistors and method for forming the same
CN115836387A (zh) 2021-06-30 2023-03-21 长江存储科技有限责任公司 三维存储器装置及其形成方法
US11842990B2 (en) 2021-06-30 2023-12-12 Micron Technology, Inc. Microelectronic devices and electronic systems
WO2023272556A1 (en) 2021-06-30 2023-01-05 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and methods for forming the same
US11930634B2 (en) 2021-06-30 2024-03-12 Micron Technology, Inc. Methods of forming microelectronic devices
US11810838B2 (en) 2021-06-30 2023-11-07 Micron Technology, Inc. Microelectronic devices, and related electronic systems and methods of forming microelectronic devices
CN113678203A (zh) * 2021-06-30 2021-11-19 长江存储科技有限责任公司 相变存储器装置、***及其操作方法
EP4200908A4 (en) * 2021-06-30 2024-01-31 Yangtze Memory Technologies Co., Ltd. THREE-DIMENSIONAL MEMORY DEVICES AND THEIR FORMATION METHODS
US11996377B2 (en) 2021-06-30 2024-05-28 Micron Technology, Inc. Microelectronic devices and electronic systems
CN115867970A (zh) * 2021-06-30 2023-03-28 长江存储科技有限责任公司 三维存储器装置及其形成方法
US11785764B2 (en) 2021-06-30 2023-10-10 Micron Technology, Inc. Methods of forming microelectronic devices
CN115803882A (zh) 2021-06-30 2023-03-14 长江存储科技有限责任公司 三维存储器装置及其形成方法
WO2023272578A1 (en) * 2021-06-30 2023-01-05 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and methods for forming the same
WO2023272592A1 (en) 2021-06-30 2023-01-05 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and methods for forming the same
KR102483906B1 (ko) * 2021-07-14 2022-12-30 서울시립대학교 산학협력단 Nand 플래시 메모리와 sram이 융합된 nas 메모리 셀 및 이를 이용한 nas 메모리 어레이
US20230022167A1 (en) * 2021-07-22 2023-01-26 Intel Corporation Integrated circuit assemblies with stacked compute logic and memory dies
US20230026052A1 (en) * 2021-07-22 2023-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition bonding layer for joining two semiconductor devices
US20230062750A1 (en) * 2021-08-26 2023-03-02 Taiwan Semiconductor Manufacturing Company Limited Memory chiplet having multiple arrays of memory devices and methods of forming the same
WO2023028829A1 (en) 2021-08-31 2023-03-09 Yangtze Memory Technologies Co., Ltd. Memory devices having vertical transistors and methods for forming the same
CN116097915A (zh) * 2021-08-31 2023-05-09 长江存储科技有限责任公司 具有垂直晶体管的存储器器件及其形成方法
US11751383B2 (en) 2021-08-31 2023-09-05 Micron Technology, Inc. Methods of forming microelectronic devices, and related microelectronic devices and electronic systems
CN113626374A (zh) * 2021-09-02 2021-11-09 西安紫光国芯半导体有限公司 一种堆叠芯片
CN113505091B (zh) * 2021-09-10 2021-12-14 西安紫光国芯半导体有限公司 一种基于sedram的堆叠式器件以及堆叠式***
CN116391452A (zh) * 2021-10-31 2023-07-04 长江存储科技有限责任公司 具有垂直晶体管和堆叠存储单元的存储器器件及其形成方法
TWI817693B (zh) * 2022-03-02 2023-10-01 南亞科技股份有限公司 半導體記憶體的製備方法
EP4270478A4 (en) * 2022-03-15 2023-11-22 Changxin Memory Technologies, Inc. MEMORY AND METHOD FOR PRODUCING A MEMORY
TWI825783B (zh) * 2022-03-29 2023-12-11 南亞科技股份有限公司 具有連接到記憶體元件之二極體的半導體元件的製備方法
US11950409B2 (en) 2022-03-29 2024-04-02 Nanya Technology Corporation Semiconductor device having diode connectedto memory device and circuit including the same
WO2023241433A1 (en) * 2022-06-17 2023-12-21 Yangtze Memory Technologies Co., Ltd. Memory devices and methods for forming the same
WO2023246209A1 (en) * 2022-06-22 2023-12-28 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and fabricating methods thereof
WO2023246210A1 (en) * 2022-06-22 2023-12-28 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and fabricating methods thereof
WO2024130656A1 (en) * 2022-12-22 2024-06-27 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and fabricating methods thereof

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100264551A1 (en) 2009-04-20 2010-10-21 International Business Machines Corporation Three dimensional integrated circuit integration using dielectric bonding first and through via formation last
JP2016062901A (ja) 2014-09-12 2016-04-25 株式会社東芝 半導体記憶装置及びその製造方法
JP2018152419A (ja) 2017-03-10 2018-09-27 東芝メモリ株式会社 半導体記憶装置
CN109075170A (zh) 2018-06-29 2018-12-21 长江存储科技有限责任公司 具有使用内插器的堆叠器件芯片的三维存储器件
US20180374864A1 (en) 2014-09-12 2018-12-27 Toshiba Memory Corporation Semiconductor memory device
CN109155301A (zh) 2018-08-13 2019-01-04 长江存储科技有限责任公司 具有帽盖层的键合触点及其形成方法
JP2019057532A (ja) 2017-09-19 2019-04-11 東芝メモリ株式会社 半導体メモリ
JP2020102613A (ja) 2018-12-21 2020-07-02 三星電子株式会社Samsung Electronics Co.,Ltd. 半導体装置

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2605968B2 (ja) * 1993-04-06 1997-04-30 日本電気株式会社 半導体集積回路およびその形成方法
JPH1070243A (ja) * 1996-05-30 1998-03-10 Toshiba Corp 半導体集積回路装置およびその検査方法およびその検査装置
US7800199B2 (en) * 2003-06-24 2010-09-21 Oh Choonsik Semiconductor circuit
US5915167A (en) 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US6551857B2 (en) * 1997-04-04 2003-04-22 Elm Technology Corporation Three dimensional structure integrated circuits
JP3871853B2 (ja) 2000-05-26 2007-01-24 株式会社ルネサステクノロジ 半導体装置及びその動作方法
US7402897B2 (en) * 2002-08-08 2008-07-22 Elm Technology Corporation Vertical system integration
US20100190334A1 (en) * 2003-06-24 2010-07-29 Sang-Yun Lee Three-dimensional semiconductor structure and method of manufacturing the same
JP4345705B2 (ja) 2005-04-19 2009-10-14 エルピーダメモリ株式会社 メモリモジュール
SG134187A1 (en) * 2006-01-13 2007-08-29 Tezzaron Semiconductor S Pte L Stacked wafer for 3d integration
KR100762354B1 (ko) * 2006-09-11 2007-10-12 주식회사 네패스 플립칩 반도체 패키지 및 그 제조방법
US8032711B2 (en) * 2006-12-22 2011-10-04 Intel Corporation Prefetching from dynamic random access memory to a static random access memory
TWI787503B (zh) * 2010-02-16 2022-12-21 凡 歐貝克 製造3d半導體晶圓的方法
US11121021B2 (en) * 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
KR20120079397A (ko) * 2011-01-04 2012-07-12 삼성전자주식회사 적층형 반도체 장치 및 이의 제조 방법
TWI787452B (zh) * 2011-01-26 2022-12-21 日商半導體能源研究所股份有限公司 半導體裝置及其製造方法
US9432298B1 (en) * 2011-12-09 2016-08-30 P4tents1, LLC System, method, and computer program product for improving memory systems
US20190109049A1 (en) * 2011-06-28 2019-04-11 Monolithic 3D Inc. 3d semiconductor device and system
JP6122290B2 (ja) 2011-12-22 2017-04-26 三星電子株式会社Samsung Electronics Co.,Ltd. 再配線層を有する半導体パッケージ
US9419146B2 (en) * 2012-01-26 2016-08-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US9029863B2 (en) * 2012-04-20 2015-05-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US8563403B1 (en) * 2012-06-27 2013-10-22 International Business Machines Corporation Three dimensional integrated circuit integration using alignment via/dielectric bonding first and through via formation last
US9000599B2 (en) * 2013-05-13 2015-04-07 Intel Corporation Multichip integration with through silicon via (TSV) die embedded in package
KR102174336B1 (ko) * 2014-07-08 2020-11-04 삼성전자주식회사 반도체 패키지 및 그 제조 방법
US9601471B2 (en) 2015-04-23 2017-03-21 Apple Inc. Three layer stack structure
TWI692859B (zh) * 2015-05-15 2020-05-01 日商新力股份有限公司 固體攝像裝置及其製造方法、以及電子機器
US9698790B2 (en) 2015-06-26 2017-07-04 Advanced Micro Devices, Inc. Computer architecture using rapidly reconfigurable circuits and high-bandwidth memory interfaces
CN105789139B (zh) * 2016-03-31 2018-08-28 上海新储集成电路有限公司 一种神经网络芯片的制备方法
US10672743B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D Compute circuit with high density z-axis interconnects
KR20180083975A (ko) * 2017-01-13 2018-07-24 삼성전자주식회사 트레이닝 동작을 수행하는 메모리 시스템
US11380688B2 (en) * 2017-01-27 2022-07-05 Semiconductor Energy Laboratory Co., Ltd. Capacitor, semiconductor device, and manufacturing method of semiconductor device
US10121743B2 (en) * 2017-03-29 2018-11-06 Qualcomm Incorporated Power distribution networks for a three-dimensional (3D) integrated circuit (IC) (3DIC)
KR102366798B1 (ko) * 2017-06-13 2022-02-25 삼성전자주식회사 반도체 소자
US10453829B2 (en) * 2017-06-16 2019-10-22 Intel Corporation Method and apparatus for reducing capacitance of input/output pins of memory device
US10157653B1 (en) * 2017-06-19 2018-12-18 Sandisk Technologies Llc Vertical selector for three-dimensional memory with planar memory cells
KR20240014625A (ko) * 2017-08-04 2024-02-01 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 그 제작 방법
US10957679B2 (en) * 2017-08-08 2021-03-23 iCometrue Company Ltd. Logic drive based on standardized commodity programmable logic semiconductor IC chips
US10163864B1 (en) 2017-08-16 2018-12-25 Globalfoundries Inc. Vertically stacked wafers and methods of forming same
WO2019037403A1 (en) 2017-08-21 2019-02-28 Yangtze Memory Technologies Co., Ltd. THREE-DIMENSIONAL STABLE MEMORY DEVICES AND METHODS OF FORMING THE SAME
US10290571B2 (en) * 2017-09-18 2019-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with si-substrate-free interposer and method forming same
EP3698402A1 (en) * 2017-10-20 2020-08-26 XCelsis Corporation 3d compute circuit with high density z-axis interconnects
US10312201B1 (en) * 2017-11-30 2019-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Seal ring for hybrid-bond
CN107887395B (zh) 2017-11-30 2018-12-14 长江存储科技有限责任公司 Nand存储器及其制备方法
CN108063097A (zh) * 2017-12-19 2018-05-22 武汉新芯集成电路制造有限公司 一种三层芯片集成方法
CN108288609B (zh) * 2018-01-30 2020-07-14 德淮半导体有限公司 晶片堆叠结构及其制造方法以及图像感测装置
CN109564923B (zh) * 2018-06-28 2020-04-28 长江存储科技有限责任公司 具有屏蔽层的三维存储器器件以及用于制造其的方法
WO2020014976A1 (en) * 2018-07-20 2020-01-23 Yangtze Memory Technologies Co., Ltd. Methods for forming three-dimensional memory devices
CN109417075B (zh) * 2018-09-20 2020-06-26 长江存储科技有限责任公司 多堆叠层三维存储器件
CN109524412A (zh) * 2018-11-14 2019-03-26 长江存储科技有限责任公司 三维存储器及其制造方法
CN110192269A (zh) * 2019-04-15 2019-08-30 长江存储科技有限责任公司 三维nand存储器件与多个功能芯片的集成
CN110291631A (zh) * 2019-05-17 2019-09-27 长江存储科技有限责任公司 具有静态随机存取存储器的三维存储器件

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100264551A1 (en) 2009-04-20 2010-10-21 International Business Machines Corporation Three dimensional integrated circuit integration using dielectric bonding first and through via formation last
JP2016062901A (ja) 2014-09-12 2016-04-25 株式会社東芝 半導体記憶装置及びその製造方法
US20180374864A1 (en) 2014-09-12 2018-12-27 Toshiba Memory Corporation Semiconductor memory device
JP2018152419A (ja) 2017-03-10 2018-09-27 東芝メモリ株式会社 半導体記憶装置
JP2019057532A (ja) 2017-09-19 2019-04-11 東芝メモリ株式会社 半導体メモリ
CN109075170A (zh) 2018-06-29 2018-12-21 长江存储科技有限责任公司 具有使用内插器的堆叠器件芯片的三维存储器件
CN109155301A (zh) 2018-08-13 2019-01-04 长江存储科技有限责任公司 具有帽盖层的键合触点及其形成方法
JP2020102613A (ja) 2018-12-21 2020-07-02 三星電子株式会社Samsung Electronics Co.,Ltd. 半導体装置

Also Published As

Publication number Publication date
CN114725085A (zh) 2022-07-08
KR20210114011A (ko) 2021-09-17
EP3891785A1 (en) 2021-10-13
US11923339B2 (en) 2024-03-05
EP3891786A1 (en) 2021-10-13
WO2020211271A1 (en) 2020-10-22
US20200328186A1 (en) 2020-10-15
TWI808281B (zh) 2023-07-11
JP7209857B2 (ja) 2023-01-20
TW202040800A (zh) 2020-11-01
KR102601225B1 (ko) 2023-11-10
TWI743507B (zh) 2021-10-21
TW202115861A (zh) 2021-04-16
EP3891797A4 (en) 2022-10-12
TW202111930A (zh) 2021-03-16
WO2020210928A1 (en) 2020-10-22
KR20210122285A (ko) 2021-10-08
CN110192269A (zh) 2019-08-30
US11031377B2 (en) 2021-06-08
KR102587642B1 (ko) 2023-10-10
KR20210111277A (ko) 2021-09-10
US20210265319A1 (en) 2021-08-26
EP3891797B1 (en) 2024-04-10
EP3891785A4 (en) 2022-11-02
JP2022519851A (ja) 2022-03-25
TW202119601A (zh) 2021-05-16
WO2020211332A1 (en) 2020-10-22
KR20210110855A (ko) 2021-09-09
TWI735997B (zh) 2021-08-11
EP3891797A1 (en) 2021-10-13
EP3891786A4 (en) 2022-10-19
EP3891784A1 (en) 2021-10-13
EP3891784A4 (en) 2022-08-17
JP2022521618A (ja) 2022-04-11

Similar Documents

Publication Publication Date Title
JP7331119B2 (ja) 複数の機能性チップを伴う三次元nandメモリデバイスの集積
JP7242908B2 (ja) バックサイドアイソレーション構造体を備えた3次元メモリデバイス
US11437464B2 (en) Structure and method for forming capacitors for a three-dimensional NAND
US11538780B2 (en) Structure and method for isolation of bit-line drivers for a three-dimensional NAND
US11056454B2 (en) Stacked three-dimensional heterogeneous memory devices and methods for forming the same
US11031282B2 (en) Three-dimensional memory devices with deep isolation structures
US11264455B2 (en) Backside deep isolation structures for semiconductor device arrays
US11450637B2 (en) Methods for bonding semiconductor structures and semiconductor devices thereof

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210825

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210825

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220808

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230220

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230509

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230710

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230809

R150 Certificate of patent or registration of utility model

Ref document number: 7331119

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150