JP6744984B2 - 波面の可変コレクタ - Google Patents

波面の可変コレクタ Download PDF

Info

Publication number
JP6744984B2
JP6744984B2 JP2019507852A JP2019507852A JP6744984B2 JP 6744984 B2 JP6744984 B2 JP 6744984B2 JP 2019507852 A JP2019507852 A JP 2019507852A JP 2019507852 A JP2019507852 A JP 2019507852A JP 6744984 B2 JP6744984 B2 JP 6744984B2
Authority
JP
Japan
Prior art keywords
optical element
radiation
optical
substrate
zernike
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019507852A
Other languages
English (en)
Other versions
JP2019526826A (ja
Inventor
スミルノフ、スタニスラフ
ウィット、ヨハネス、マテウス、マリー デ
ウィット、ヨハネス、マテウス、マリー デ
タッカー、トゥーニス、ヴィレム
コーレン、アルマン、ユージーン、アルベルト
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Holding NV
ASML Netherlands BV
Original Assignee
ASML Holding NV
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Holding NV, ASML Netherlands BV filed Critical ASML Holding NV
Publication of JP2019526826A publication Critical patent/JP2019526826A/ja
Application granted granted Critical
Publication of JP6744984B2 publication Critical patent/JP6744984B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/0075Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00 with means for altering, e.g. increasing, the depth of field or depth of focus
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9515Objects of complex shape, e.g. examined with use of a surface follower device
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B21/00Microscopes
    • G02B21/06Means for illuminating specimens
    • G02B21/08Condensers
    • G02B21/10Condensers affording dark-field illumination
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70591Testing optical components
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Optical Elements Other Than Lenses (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

[関連出願へのクロスリファレンス]
本出願は、2016年8月11日に出願された米国仮特許出願第62/373,734号の利益を主張し、その全体が参照により本書に援用される。
[技術分野]
本明細書は、例えばリソグラフィ技術によるデバイス製造に利用可能な検査装置に関する。
リソグラフィ装置は、所望のパターンを基板の目標部分に与える機械である。リソグラフィ装置は、例えば集積回路(IC)の製造に用いることができる。その場合、マスクまたはレチクルとも称されるパターニングデバイスがICの個々の層に対応する回路パターンを生成するために使用されうる。このパターンは、基板(例えばシリコンウエハ)上の(例えばダイの一部、一つのダイ又はいくつかのダイを備える)目標部分に転写できる。パターンの転写は、基板上に設けられる放射感受性材料(レジスト)の層への結像を典型的に介する。一般に、単一の基板は、連続的にパターン化される隣接する目標部分のネットワークを含むであろう。
リソグラフィ装置は、例えば、集積回路(IC)および他のデバイスの製造に使用することができる。そのような場合、パターニングデバイス(例えば、マスク)は、デバイスの個々の層に対応するパターン(「設計レイアウト」)を含むかまたは提供することができ、このパターンは、パターニングデバイス上のパターンを通してターゲット部分を照射するなどの方法によって、放射感応性材料(「レジスト」)の層でコーティングされた基板(例えば、シリコンウェハ)上のターゲット部分(例えば1つ以上のダイを含む)に転写することができる。一般に、単一の基板は、一度に1つのターゲット部分で、リソグラフィ装置によってパターンが連続的に転写される複数の隣接するターゲット部分を含む。あるタイプのリソグラフィ装置では、パターンは一度に1つの目標部分に転写される。そのような装置は一般にウェハステッパと呼ばれる。ステップ・アンド・スキャン装置と一般に呼ばれる別の装置では、基板を基準方向に対して平行または反平行に同期移動させながら、投影ビームが所与の基準方向(「走査」方向)にパターニングデバイス上を走査する。パターニングデバイス上のパターンの異なる部分は、徐々に1つのターゲット部分に転写される。一般に、リソグラフィ装置は拡大率M(一般に<1)を有するので、基板が移動される速度Fはビームがパターニングデバイスを走査する速度のM倍になる。
パターンをパターニングデバイスから基板に転写する前に、基板は、プライミング、レジストコーティング、およびソフトベークなどの様々な手順を経てもよい。露光後、基板は、露光後ベーク(PEB)、現像、ハードベーク、および転写パターンの測定/検査などの他の手順にかけることができる。この一連の手順は、例えばICなどのデバイスの個々の層を作るための基礎として使用される。次いで、基板は、エッチング、イオン注入(ドーピング)、メタライゼーション、酸化、化学機械研磨など、すべてデバイスの個々の層を仕上げることを目的とした様々なプロセスを経てもよい。デバイスにいくつかの層が必要とされる場合には、各層について全手順またはその変形が繰り返される。最終的に、基板上の各ターゲット部分にデバイスが存在することになる。その後、これらのデバイスは、ダイシングまたはソーイングのような技術によって互いに分離され、それによって個々のデバイスはキャリアに取り付けられたり、ピンに接続されたりすることができる。
上述のように、リソグラフィはICおよび他のデバイスの製造における中心的なステップであり、基板上に形成されたパターンはマイクロプロセッサ、メモリチップなどのデバイスの機能要素を規定する。同様のリソグラフィ技術は、フラットパネルディスプレイ、微小電気機械システム(MEMS)および他のデバイスの形成にも使用される。
リソグラフィ工程(つまり、リソグラフィ露光を含むデバイスまたは他の構造の現像工程であり、レジストの現像やエッチングといった一以上の関連する処理ステップを典型的に含みうる)において、生成した構造の測定を例えば工程制御や検証に役立てることがしばしば求められる。このような測定を実行するための様々なツールが知られており、これには、限界寸法(CD)の測定にしばしば用いられる走査型電子顕微鏡や、基板の二つの層のアライメント精度であるオーバレイの測定に特化したツールが含まれる。
以下は、本技術のいくつかの態様の限定的なリストである。これらおよび他の態様は、以下の開示に記載されている。
いくつかの態様は、基板上にパターニングされた構造を測定するように構成された光学計測ツールを含む光学検査装置を提供する。光学計測ツールは、電磁(EM)放射経路に沿ってEM放射のビームを向けるように構成されたEM放射源と、EM放射経路の一部に配置され、EM放射のビームの波面の形状を調整するように構成された適応光学システムとを備える。適応光学システムは、非球面の第1光学素子と、非球面の第1光学素子に隣接する非球面の第2光学素子と、EM放射経路の一部のビーム軸と異なる方向に第1光学素子と第2光学素子との間で相対運動を生じさせるように構成されたアクチュエータと、を備える。
本発明の実施形態の特徴および/または利点は、本発明の様々な実施形態の構造および動作とともに、添付の図面を参照しながら本書に詳述される。本発明は、本書に記載される特定の実施形態に限定されないことに留意される。このような実施形態は、例示を目的としてのみ本書に示される。追加の実施形態は、当業者であれば、本書に含まれる教示に基づいて明らかとなるであろう。
実施形態は、以下の添付図面を参照しながら、例示を目的としてのみ説明されるであろう。
いくつかの実施形態に係るリソグラフィ装置を示す概略図である。
いくつかの実施形態に係るリソグラフィセルまたはクラスタを示す概略図である。
ある照明モードを提供する第1ペアの照明アパーチャを用いたいくつかの実施形態に係るターゲットの測定に用いられる暗視野測定装置を示す概略図である。
所定方向の照明に対するターゲットの回折スペクトルを示す概略図である。
回折に基づくオーバレイ測定用の測定装置を用いて別の照明モードを提供する第2ペアの照明アパーチャを示す概略図である。
回折に基づくオーバレイ測定用の測定装置を用いてさらに別の照明モードを提供する第1ペアおよび第2ペアのアパーチャを組み合わせた第3ペアの照明アパーチャを示す概略図である。
基板上の多重周期構造(例えば多重グレーティング)ターゲットの形状および測定スポットの外郭を示す概略図である。
図3の装置で得られる図4Aのターゲットの画像を示す概略図である。
検査装置において使用することができる共焦点焦点センサシステムの一実施形態を示す概略図である。
図5Aのセンサシステムから発生させることができる焦点誤差信号を示すグラフである。
上記のシステムを通過する電磁放射の様々な収差を調整するように構成された適応光学システムの部分横断立面図である。
図6のシステムの第1の方向における動きを表した部分横断立面図である。
図6のシステムの第2の方向における動きを表した部分横断立面図である。
図6のシステムのいくつかの実施形態における光学素子の表面の三次元ワイヤフレームプロットの斜視図である。
図9の表面のグレースケールのトポグラフィーマップである。
図6のシステム内の光学素子のうちの1つの移動と、図6のシステムのいくつかの実施形態を通過する電磁放射に与えられる傾斜(Z3ゼルニケ係数)および焦点(Z4ゼルニケ係数)の変化との間の相関関係を示すグラフである。
他の収差を調整しながら傾斜の変化を軽減するように構成された適応光学システムの部分横断立面図である。
2つの光学素子間に1自由度を有して2つの光学素子がビーム軸に対して直角に並進運動する適応光学システムの部分横断立面図である。
図13のシステム内の光学素子の両方の移動と、図13のシステムのいくつかの実施形態を通過する電磁放射に与えられる傾斜(Z3ゼルニケ係数)および焦点(Z4ゼルニケ係数)の変化との間の相関関係を示すグラフである。
2つの曲面を有する1つの光学素子が2つの光学素子間のビーム軸に対して直角に並進運動する適応光学システムの部分横断立面図である。
図6のシステムのいくつかの実施形態における光学素子の表面の三次元ワイヤフレームプロットの斜視図である。
図16の表面のグレースケールのトポグラフィーマップである。
上記のいくつかの実施形態の動作中に実行されるプロセスである。
本発明は様々な修正形態および代替形態を受け入れることができるが、それらの特定の実施形態は、例として図面に示されており、本明細書で詳細に説明される。図面は縮尺通りではない場合がある。しかし、図面およびそれに対する詳細な説明は、開示された特定の形態に本発明を限定することを意図していないが、反対に、その意図は添付され後に補正される特許請求の範囲によって定義される本発明の精神および範囲内にあるすべての修正、均等物、および代替を網羅することを理解されたい。
本明細書に記載の問題を軽減するために、本発明者らは解決策を発明するとともに、場合によっては同様に重要なことに、光学計測およびリソグラフィパターニングの分野において他者によって見落とされる(または未だ予測されない)問題を認識しなければならなかった。実際、発明者らは、発明者の期待通りに業界の傾向が続く場合には、初期のもので将来明らかになるであろう問題を認識することの困難さを強調したいと思う。さらに、複数の問題に対処しているので、いくつかの実施形態は問題特有であり、すべての実施形態が本明細書に記載の従来のシステムに関するすべての問題に対処し、または本明細書に記載のすべての利益を提供するわけではない。そうは言っても、これらの問題のさまざまな順列を解決する改良が以下に説明される。
多くの場合、光学システムは、電磁放射(例えば、光)の様々な収差(例えば、焦点および以下に記載される他のもの)を適合させる。多くの場合、そのような収差は、測定される基板の寸法の変動またはプロセス用機器内のドリフトに適応するように修正される。しかしながら、後述するように、これらの調整は比較的時間がかかり、機器のスループットおよびプロセス特性化に使用される測定のサンプルサイズを減少させる可能性がある。
EM放射のビームを適合させる際の遅延の1つの原因は、レンズおよびミラーのような光学素子が動くのにかかる時間である。多くの場合、調整は、1つの光学素子をビーム軸に沿って別のものに近づけるかまたは別のものからさらに遠くに移動させることによって行われる。これらの動きは、比較的正確なリニアアクチュエータが横切るのに時間がかかることがある比較的長い移動経路を含むことが多い。これは、測定と他の光学プロセスとの間に著しい遅れを強いる可能性がある。
以下に記載されるいくつかの実施形態は、多くの従来のシステムよりも光学素子の移動に対してより光学的に応答する適応光学システムを用いてこれらの遅延を軽減する。いくつかの実施形態では、適応光学システムは、(上述の構成要素の代わりにまたはそれに加えて)ビーム軸にほぼ直交する方向に互いに対して移動する一対の光学素子を含む。後述するいくつかの実施形態では、光学素子は、直線運動を光学素子を通過するビームの様々な光学収差の変化に変換するように協働する相補的非球面曲面(complimentary aspheric curved surface)を含む。伝統的なシステムとは対照的に、多くの光学収差における所与の変化に対する移動量は比較的小さく、これは光学システムに対する比較的速い調整をもたらすと予想される。いくつかの実施形態では、10マイクロ秒未満、多くの場合4マイクロ秒もの速さのように、100マイクロ秒未満で調整を達成することができる。そうは言っても、いくつかの独立して有用な発明が説明されているように、すべての実施形態がこの利益を提供するわけではなく、これらの発明はエンジニアリングおよびコストのトレードオフを条件として、様々な他の目的を追求して展開され得る。
適応光学システムの例は、図6〜図17を参照してより詳細に説明される。しかし、そのような実施形態を詳細に記述する前に、実施形態が実装されうる環境の例を示すことが有益である。
図1は、リソグラフィ装置LAを概略的に示す。この装置は、放射ビームB(例えばUV放射またはDUV放射)を調整するよう構成される照明システム(イルミネータ)ILと、パターニングデバイス(例えばマスク)MAを支持するよう構築され、特定のパラメータにしたがってパターニングデバイスを正確に位置決めするよう構成される第1位置決め装置PMに接続されるパターニングデバイスサポートまたはサポート構造(例えばマスクテーブル)MTと;基板(例えばレジストコートされたウェハ)Wを保持するよう構築され、特定のパラメータにしたがって基板を正確に位置決めするよう構成される第2位置決め装置PWに接続される基板テーブル(例えばウェハテーブル)WTと;パターニングデバイスMAにより放射ビームBに付与されたパターンを基板Wの(例えば一以上のダイを含む)目標部分Cに投影するよう構成される投影システム(例えば屈折型投影レンズシステム)PSと、を含む。
照明システムは、放射を方向付け、放射を成形し、または放射を制御するための屈折型、反射型、磁気型、電磁気型、静電型あるいは他の形式の光学素子といった各種光学素子またはこれらの任意の組み合わせを含んでもよい。
パターニングデバイスサポートは、パターニングデバイスの向き、リソグラフィ装置のデザイン、および、例えばパターニングデバイスが真空環境で保持されるか否かといった他の条件に応じた方法でパターニングデバイスを保持する。パターニングデバイスサポートは、機械式、真空式、静電式または他の固定技術を用いてパターニングデバイスを保持できる。パターニングデバイスサポートは、フレームまたはテーブルであってよく、例えば必要に応じて固定式または可動式であってよい。パターニングデバイスサポートは、例えば投影システムに対して、パターニングデバイスが所望の位置にあることを確実にしてよい。本書での「レチクル」または「マスク」の用語の使用は、より一般的な用語である「パターニングデバイス」と同義であるとみなされてよい。
本書での「パターニングデバイス」の用語は、放射ビームの断面にパターンを付して例えば基板の目標部分にパターンを生成するために使用可能な任意のデバイスを参照するものとして広く解釈されるべきである。放射ビームに付されるパターンは、例えばパターン位相シフトフィーチャまたはいわゆるアシストフィーチャを含む場合、基板の目標部分における所望のパターンに完全に対応しなくてもよいことに留意されるべきである。たいていの場合、放射ビームに付されるパターンは、目標部分に生成される集積回路などのデバイスの特定の機能層に対応するであろう。
パターニングデバイスは、透過型であっても反射型であってもよい。パターニングデバイスの例には、マスク、プログラマブルミラーアレイ、およびプログラマブルLCDパネルが含まれる。マスクはリソグラフィの分野では周知であり、バイナリマスクやレベンソン型位相シフトマスク、ハーフトーン型位相シフトマスク、さらに各種のハイブリッド型マスクが含まれる。プログラマブルミラーアレイの一例は、マトリックス状に配列される小型のミラーを採用し、各ミラーは入射する放射ビームを異なる方向に反射するように個別に傾斜できる。傾斜されるミラーは、ミラーマトリックスにより反射される放射ビームにパターンを付与する。
図示されるように、装置は透過型である(例えば透過型マスクを用いる)。代わりに、装置が反射型であってもよい(例えば上述のような形式のプログラマブルミラーアレイを用いるか、反射型マスクを用いる)。
リソグラフィ装置は、投影システムと基板の間の隙間を埋めるように、基板の少なくとも一部が比較的高屈折率を有する液体(例えば水)により覆われる形式の装置であってもよい。液浸液は、リソグラフィ装置の他の隙間、例えばパターニングデバイスと投影システムの間に適用されてもよい。液浸技術は、投影システムの開口数を増やすための技術として周知である。本書で用いられる「液浸」の用語は、基板などの構造が流体中に水没しなければならないこと意味するのではなく、むしろ露光中に投影システムPSと基板Wの間に流体が配置されることを意味するのみである。
図1を参照すると、イルミネータILは、放射源SOからの放射ビームを受ける。ソースおよびリソグラフィ装置は、ソースがエキシマレーザの場合、別体であってもよい。この場合、ソースがリソグラフィ装置の一部を形成するとみなされず、放射ビームがソースSOからイルミネータILに向けて、例えば適切な方向付けミラーおよび/またはビームエキスパンダを含むビームデリバリシステムBDの助けを借りて通過する。別の場合、例えばソースが水銀ランプの場合、ソースがリソグラフィ装置の一体的部分であってもよい。ソースSOおよびイルミネータILは、必要に応じてビームデリバリシステムBDとともに、放射システムと称されてもよい。
イルミネータILは、放射ビームの角度および/または空間強度分布を調整するためのアジャスタADを含んでもよい。一般に、イルミネータの瞳面における強度分布の少なくとも外側半径範囲および/または内側半径範囲(通常それぞれσアウタ、σインナと呼ばれる)を調整できる。また、イルミネータILは、インテグレータINやコンデンサCOなどの様々な他の要素を含んでもよい。イルミネータは、ビーム断面における所望の均一性及び強度分布を有するように放射ビームを調整するために用いられてもよい。
放射ビームBは、パターニングデバイスサポート(例えばマスクテーブルMT)に保持されるパターニングデバイス(例えばマスク)MAに入射し、パターニングデバイスによりパターン化される。パターニングデバイス(例えばマスク)MAの通過後、放射ビームBはビームを基板Wの目標部分Cに合焦させる投影システムPSを通過する。第2位置決め装置PWおよび位置センサIF(例えば干渉計デバイス、リニアエンコーダ、2Dエンコーダまたは静電容量センサ)の助けを借りて、放射ビームBの経路上に異なる目標部分Cが位置するように基板テーブルWTが正確に移動されることができる。同様に、第1位置決め装置PMおよび別の位置センサ(図1には明示されていない)は、例えば、マスクライブラリからの機械検索後またはスキャン中に、放射ビームBの経路に対してパターニングデバイス(例えばマスク)MAを正確に位置決めするために用いることができる。
パターニングデバイス(例えばマスク)MAおよび基板Wは、マスクアライメントマークM,Mおよび基板アライメントマークP,Pを用いて位置決めされうる。基板アライメントマークは図示されるように専用の目標部分を占めているが、目標部分の間のスペースに位置してもよい(これらはスクライブラインアライメントマークとして知られる)。同様に、パターニングデバイス(例えばマスク)MA上に二以上のダイが設けられる場合には、マスクアライメントマークがダイの間に位置してもよい。小さなアライメントマークがダイの内側のデバイスフィーチャ内に含まれていてもよく、この場合には、マーカが可能な限り小さく、かつ、隣接するフィーチャとは異なる結像または処理条件を必要としないことが望ましい。アライメントマーカを検出できるある実施形態のアライメントシステムは、別途後述する。
図示される装置は以下のモードのうち少なくとも一つで使用することができる。
1.ステップモードでは、パターニングデバイスサポート(例えばマスクテーブル)MTおよび基板テーブルWTaが実質的に静止状態とされる間、放射ビームに付与されたパターンの全体が目標部分Cに一度で投影される(つまり、単一静的露光)。その後、基板テーブルWTaがX方向および/またはY方向にシフトされ、その結果、異なる目標部分Cを露光できる。ステップモードにおいて、露光フィールドの最大サイズは、単一静的露光にて結像される目標部分Cのサイズを制限する。
2.スキャンモードでは、パターニングデバイスサポート(例えばマスクテーブル)MTおよび基板テーブルWTaが同期してスキャンされる間、放射ビームに付与されるパターンが目標部分Cに投影される(つまり、単一動的露光)。パターニングデバイスサポート(例えばマスクテーブル)MTに対する基板テーブルWTaの速度および方向は、投影システムPSの拡大(縮小)特性および像反転特性により決定されうる。スキャンモードにおいて、露光フィールドの最大サイズは、単一動的露光における目標部分の(非スキャン方向の)幅を制限する。一方で、スキャン動作の長さは、目標部分の(スキャン方向の)高さを決定する。
3.別のモードでは、パターニングデバイスサポート(例えばマスクテーブル)MTがプログラマブルパターニングデバイスを保持して実質的に静止状態を維持し、基板テーブルWTaが移動またはスキャンされる間、放射ビームに付与されるパターンが目標部分Cに投影される。このモードにおいて、一般にパルス放射源が用いられ、基板テーブルWTaの移動後またはスキャン中の一連の放射パルスの間に必要に応じてプログラマブルパターニングデバイスが更新される。この動作モードは、上述のタイプのプログラマブルミラーアレイなどのプログラマブルパターニングデバイスを使用するマスクレスリソグラフィに容易に適用可能である。
上記の使用モードを組み合わせて動作させてもよいし、使用モードに変更を加えて動作させてもよく、さらに全く別の使用モードを用いてもよい。
リソグラフィ装置LAは、いわゆるデュアルステージ式であり、二つのテーブルWTa,WTb(例えば二つの基板テーブル)および二つのステーション(露光ステーションおよび測定ステーション)を有し、ステーション間でテーブルを交換できる。例えば、一方のテーブル上の基板が露光ステーションで露光されている間、別の基板が他の基板テーブル上に測定ステーションにて搭載され、様々な準備ステップを実行できる。この準備ステップは、レベルセンサLSを用いて基板の表面制御をマッピングすること、アライメントセンサASを用いて基板上のアライメントマーカの位置を測定することを含んでもよく、双方のセンサは基準フレームRFにより支持される。仮に位置センサIFが測定ステーションまたは露光ステーションにあるテーブルの位置を測定できない場合、双方のステーションにてテーブルの位置が追跡可能となるよう第2位置センサが設けられてもよい。別の例として、一方のテーブル上の基板が露光ステーションにて露光されている間、基板のない別のテーブルが測定ステーションにて待機する(選択的に測定ステーションにて測定動作が実行されてもよい)。この他のテーブルは、一以上の測定デバイスを有し、選択的に他のツール(例えばクリーニング装置)を有してもよい。基板の露光が完了すると、基板のないテーブルが露光ステーションに移動して測定等を実行し、基板のあるテーブルが基板の取出および別の基板の搭載が可能な場所(例えば測定ステーション)に移動する。このマルチテーブル構成は、装置のスループットの実質的な増大を可能にする。
図2に示されるように、リソグラフィ装置LAは、リソグラフィセルLC(たまにリソセルまたはリソクラスタとも称され、基板上での一以上の露光前および露光後プロセスを実行するための装置も含む)の一部を形成する。従来、これらは、レジスト層を堆積させる一以上のスピンコート装置SC、露光されたレジストを現像する一以上の現像装置DE、一以上の冷却プレートCH、および、一以上のベークプレートBKを含む。基板ハンドラまたはロボットROは、基板を入力/出力ポートI/O1,I/O2から取り出し、異なるプロセス装置間で基板を移動させ、リソグラフィ装置のローディングベイLBに基板を運ぶ。これら装置(しばしば集合的にトラックと称される)は、トラック制御ユニットTCUの制御下にあり、TCU自体は監視制御システムSCSにより制御され、SCSはリソグラフィ制御ユニットLACUを介してリソグラフィ装置も制御する。したがって、異なる装置がスループットおよびプロセス効率を最大化させるように動作しうる。
リソグラフィ装置により露光される基板を正確かつ一貫して露光するため、露光された基板を検査して、後続層との間のオーバレイ誤差、ライン幅、限界寸法(CD)などの一以上の特性を測定することが望ましい。もしエラーが検出されれば、特に同一バッチの別基板がまだ露光されている程度に迅速かつ高速に検査が実行できれば、一以上の後続基板の露光に対して調整がなされてもよい。また、すでに露光された基板も(歩留まり向上のために)剥離および再加工されてよいし、または、廃棄されてもよく、これにより不良であることが分かっている基板上で露光が実行されるのを回避できる。基板上のいくつかの目標部分のみが不良である場合には、良好である目標部分のみにさらなる露光が実行されてもよい。別の可能性は、エラーを補償するために後続の処理ステップの設定を変化させることであり、例えば、リソグラフィ処理ステップから生じる基板対基板のCD変動を補償するためにトリムエッチステップの時間を調整できる。
検査装置は、基板の一以上の特性を決定するため、具体的には、異なる基板または同じ基板の異なる層の一以上の特性が層ごとおよび/または基板にわたってどのように異なるのかを決定するために用いられる。検査装置は、リソグラフィ装置LAまたはリソセルLC内に一体化されてもよいし、または、独立式の装置であってもよい。最速の測定を可能にするため、検査装置は、露光されたレジスト層における一以上の特性を露光直後に測定することが望ましい。しかしながら、レジスト内の潜像は非常に低いコントラストを有し(放射で露光されたレジスト部分とそうでないレジスト部分の間には非常に小さい屈折率差しかない)、全ての検査装置が潜像の有効な測定の実行に十分な感度を有しているわけではない。したがって、測定は露光後のベークステップ(PEB)の後に実行されうる。PEBは通常、露光された基板上で実行される第1ステップであり、レジストの露光された部分と露光されていない部分の間のコントラストを増大させる。この段階において、レジスト内の像は、半潜像(semi-latent)と称されうる。現像されたレジスト像の測定を実行することも可能であり(この時点でレジストの露光された部分または露光されていない部分のいずれかが除去されている)、または、エッチングなどのパターン転写ステップの後に実行することも可能である。後者の可能性は、基板の不良を再加工する可能性を制限するが、例えばプロセス制御を目的として有益な情報をさらに提供するかもしれない。
従来の散乱計に用いられるターゲットは、相対的に大きい周期構造レイアウトを備え(例えば一以上のグレーティングを備える)、例えば40μm×40μmである。この場合、測定ビームは、たいてい周期構造レイアウトより小さいスポットサイズを有する(つまり、一以上の周期構造がスポットに完全に覆われないようにレイアウトが不足する)。これは、ターゲットを無限とみなすことができるために、ターゲットの数学的再構成を単純化する。しかしながら、例えば、ターゲットがスクライブライン内ではなく製品フィーチャの間に位置することができれば、ターゲットのサイズが減少し、例えば20μm×20μm以下または10μm×10μm以下になる。この場合、周期構造レイアウトが測定スポットより小さく作成されるかもしれない(つまり、周期構造レイアウトがはみ出る)。典型的にこのようなターゲットは暗視野散乱計を用いて測定され、ゼロ次の回折(鏡面反射に相当)が遮られ、高次のみが処理される。暗視野計測の例は、PCT特許出願公開番号WO2009/078708およびWO2009/106279に見出すことができ、これらは参照によりその全体が本書に援用される。本技術のさらなる発展は、米国特許出願公開US2011−0027704,US2011−0043791およびUS2012−0242970に記載されており、これらは参照によりその全体が本書に援用される。回折次数の暗視野検出を用いた回折に基づくオーバレイは、より小さなターゲット上でのオーバレイ測定を可能にする。これらのターゲットは、照明スポットより小さくすることができ、基板上の製品構造により囲まれていてもよい。ある実施形態において、複数のターゲットを一つの画像内で測定できる。
ある実施形態において、基板上のターゲットは、一以上の1Dの周期的グレーティングを備えてもよく、現像後に固いレジストラインで棒状体(バー)が形成されるように印刷される。ある実施形態において、ターゲットが2Dの周期的グレーティングを備えてもよく、固いレジスト柱状体(ピラー)またはレジスト内のビアから一以上のグレーティングが現像後に形成されるよう印刷される。バー、ピラーまたはビアは、代替的に基板内に刻まれ(エッチングされ)てもよい。グレーティングパターンは、リソグラフィ投影装置(具体的には投影システムPL)内の色収差に対する感度を有してもよく、照明の対称性およびこのような収差の存在は、印刷されたグレーティングの変化に現れるであろう。したがって、印刷されたグレーティングの測定データは、グレーティングの再構成に用いることができる。ライン幅や形状といった1Dグレーティングのパラメータまたはピラーやビアの幅や長さもしくは形状といった2Dグレーティングのパラメータは、印刷工程および/または他の測定プロセスの知見から、処理ユニットPUにより実行される再構成プロセスに入力されてもよい。
実施形態への使用に適した暗視野計測装置は、図3Aに示される。(グレーティングなどの周期構造を備える)ターゲットTおよび回折された光線は、図3Bにより詳細に示される。暗視野計測装置は、独立式の装置であってもよいし、リソグラフィ装置LA(例えば、測定ステーションにて)またはリソグラフィセルLCのいずれかに組み込まれてもよい。装置を通じて複数の分岐を有する光軸は、破線Oで示される。この装置において、出力11(例えば、レーザまたはキセノンランプなどのソースまたはソースに接続された開口)により出力される放射は、レンズ12,14および対物レンズ16を備える光学システムにより、プリズム15を介して基板W上に向けられる。これらレンズは、4F配置の二重シーケンスで構成される。検出器上に基板の像を与えるのであれば、異なるレンズ配置を用いることもできる。
ある実施形態において、このレンズ配置は、空間周波数フィルタリング用の中間瞳面の利用を可能にする。したがって、放射が基板に入射する位置での角度範囲は、基板面での空間スペクトルを示し、本書で(共役)瞳面と称される面内の空間強度分布を定義することにより選択できる。具体的には、例えば、レンズ12と14の間であって対物レンズ瞳面の逆投影像である面内に適切な形状のアパーチャデバイス13を挿入することによりこれを実現できる。図示される例では、符号13N,13Sのアパーチャデバイス13が異なる形状を有し、異なる照明モードの選択を可能にする。第1照明モードにおいて、アパーチャデバイス13Nは、説明のみを目的として「北」と指定された方向からの軸外照明を提供する。第2照明モードにおいて、アパーチャデバイス13Sは、同様であるが「南」と名付けられた反対方向からの照明を提供するために用いられる。所望の照明モード外のいずれの不要な放射も所望の測定信号に干渉しうることから、瞳面の残りは暗闇であることが望ましい。
図3Bに示されるように、ターゲットTは、対物レンズ16の光軸Oに実質的に直交するよう基板Wに配置される。軸Oからずれた角度からターゲットTに入射する照明Iの光線は、ゼロ次の光線(実線0)および二つの1次光線(一点破線+1および二点破線−1)を生じさせる。はみ出る小さなターゲットTの場合、これらの光線は、計測ターゲットTおよび他のフィーチャを含む基板の領域をカバーする多数の平行光線の一つにすぎない。デバイス13のアパーチャは(有効な放射量を認めるのに必要な)有限の幅を有するため、実際には入射光線Iがある角度範囲を占め、回折光線0および+1/−1は多少拡がるであろう。小さいターゲットの点像分布関数によれば、+1および−1の各次数は、ある角度範囲にわたってさらに拡がり、図示されるような単一の理想的な光線とならないであろう。なお、周期構造のピッチおよび照明角度は、1次光線が中心光軸の近くにアライメントされて対物レンズに入射するように設計または調整されることができる。図3Aおよび3Bに示される光線は、図面において純粋にこれらが容易に識別可能となるように、多少軸外しとなるよう示されている。
基板W上のターゲットにより回折される少なくとも0および+1の次数は、対物レンズ16により収集され、プリズム15を通って戻るように方向付けられる。図3Aに戻ると、北(N)および南(S)の符号が付された径方向に反対のアパーチャを指定することにより、第1および第2照明モードの双方が示される。入射光線Iが光軸の北側からである場合、つまり、アパーチャデバイスNを用いて第1照明モードが適用される場合、+1(N)の符号が付された+1の回折光線が対物レンズ16に入射する。反対に、アパーチャデバイスSを用いて第2照明モードが適用される場合、(−1(S)の符号が付された)−1の回折光線が対物レンズ16に入射するものとなる。したがって、ある実施形態において、測定結果は、例えば、ターゲットの回転後、照明モードの変更後、または、−1次および+1次の回折次数強度を個別に得るための結像モードの変更後において、特定条件下でターゲットを二回測定することにより得られる。特定のターゲットに対するこれら強度を比較することによりターゲット内の非対称性の測定が与えられ、リソグラフィ工程のパラメータの指標(例えばオーバレイ誤差)としてターゲット内の非対称性を用いることができる。上述の状況では、照明モードが変更される。
ビームスプリッタ17は、回折ビームを二つの測定路に分割する。第1測定路において、光学システム18は、ゼロ次および1次の回折ビームを用いて第1センサ19(例えばCCDまたはCMOSセンサ)上でターゲットの回折スペクトル(瞳面像)を形成する。各回折次数がセンサ上の異なる点でぶつかるため、画像処理は、次数を比較および対比できる。センサ19に撮像される瞳面像は、計測装置のピント調整および/または1次回折ビームの強度測定の規格化に用いることができる。瞳面像は、本書に詳述されない再構成などの多くの測定の目的のために用いることもできる。
第2測定路において、光学システム20,22は、センサ23(例えばCCDまたはCMOSセンサ)上に基板上のターゲットの像を形成する。第2測定路において、瞳面に共役となる面内に開口絞り21が設けられる。開口絞り21は、ゼロ次の回折ビームを遮るように機能し、センサ23上に形成されるターゲットの画像DFが−1または+1次のビームから形成されるようにする。センサ19および23の撮像画像は、処理制御部PUに出力される。PUの機能は、実行すべき測定の具体的な形式に依存するであろう。なお、本書に用いられる「画像」の用語は広義である。仮に−1次および+1次の一方しか存在しなければ、周期構造のフィーチャ(例えばグレーティング線)の画像自体は形成されないであろう。
図3に示されるアパーチャデバイス13および絞り21の具体的形状は、純粋に例にすぎない。別の実施形態において、ターゲットの軸上照明が用いられ、実質的に一方の1次回折放射のみをセンサに向けて通過させるために軸外アパーチャを持つ開口絞りが用いられる。さらに別の実施形態において、1次ビームの代わりに又は1次ビームに加えて、2次、3次、さらに高次のビーム(図3に不図示)を測定に用いることができる。
これら異なる形式の測定に適用可能な照明を作るため、アパーチャデバイス13は、所望のパターンを所定の位置にもたらすように回転するディスクの周りに形成される多数のアパーチャパターンを備えてもよい。なお、アパーチャデバイス13Nまたは13Sは、一方向(設定に応じてXまたはY)に方向付けられた周期構造の測定に用いられる。直交する周期構造の測定のため、90°または270°のターゲットの回転が実行されてもよい。異なるアパーチャデバイスが図3CおよびDに示される。図3Cは、二つの別の形式の軸外照明モードを示す。図3Cの第1照明モードにおいて、アパーチャデバイス13Eは、説明のみを目的として、既述の「北」に対して「東」と指定された方向からの軸外照明を提供する。図3Cの第2照明モードにおいて、アパーチャデバイス13Wは、同様であるが「西」と名付けられた反対方向からの照明を提供するために用いられる。図3Dは、二つの別の形式の軸外照明モードを示す。図3Dの第1照明モードにおいて、アパーチャデバイス13NWは、既述の「北」および「西」と指定された方向からの軸外照明を提供する。第2照明モードにおいて、アパーチャデバイス13SEは、同様であるが既述の「南」および「東」と名付けられた反対方向からの照明を提供するために用いられる。これら装置の使用、および、装置の多くの他の変形および応用は、例えば、上記の従前に発行された特許出願公開に記載されている。
図4Aは、基板上に形成される複合計測ターゲットの例を示す。複合ターゲットは、互いに近くに位置する四つの周期構造(この場合、グレーティング)32,33,34,35を備える。ある実施形態において、周期構造の全てが計測装置の照明ビームにより形成される測定スポット31の内側となる程度に十分に互いに近接して配置される。その場合、四つの周期構造の全てが結果として同時に照明され、センサ19および23上に同時に結像される。オーバレイ測定に特化した例において、周期構造32,33,34,35はそれ自体が上位層(overlying)の周期構造により形成される複合周期構造(例えば複合グレーティング)であり、つまり、一の層内の少なくとも一つの周期構造が他の層内の少なくとも一つの周期構造の上に覆うように、基板W上に形成されるデバイスの異なる層内に周期構造がパターン化される。このようなターゲットは、20μm×20μmの範囲内または16μm×16μmの範囲内の外形寸法を有しうる。さらに、全ての周期構造が特定の層ペアの間のオーバレイの測定に用いられる。ターゲットが単一ペアを超える層を測定できるようにするために、周期構造32,33,34,35は、複合周期構造の異なる部分が形成される異なる層間のオーバレイの測定を容易にするようにバイアスの異なるオーバレイオフセットを有してもよい。したがって、基板上のターゲット用の周期構造の全ては、ある層ペアの測定に用いられるであろうし、基板上の別の同じターゲット用の周期構造の全ては、別の層ペアの測定に用いられるであろう。ここで、異なるバイアスは、層ペアの間の区別を助けるであろう。
図4Aに戻ると、図示されるように、周期構造32,33,34、35は、入射する放射をXおよびY方向に回折させるようにそれらの向きが異なりうる。一例において、周期構造32および34は、それぞれ+dおよび−dのバイアスを持つX方向の周期構造である。周期構造33および35は、それぞれ+dおよび−dのオフセットを持つY方向の周期構造であってよい。4個の周期構造が図示されているが、別の実施形態は、所望の精度を得るためにより大きなマトリックスを含んでもよい。例えば、3×3のアレイの9個の複合周期構造が−4d,−3d,−2d,−d,0,+d,+2d,+3d,+4dのバイアスを有してもよい。これら周期構造の個別の画像は、センサ23の撮像画像にて識別可能である。
図4Bは、図3の装置内の図4Aのターゲットを使用し、図3Dのアパーチャデバイス13NWまたは13SEを用いるときに、センサ23上に形成され、センサ23により検出されうる画像例を示す。センサ19は異なる個別の周期構造32−35を分解できないが、センサ23であればできる。黒い四角は、センサ上の画像の視野を示し、この範囲内の円形領域41に対応する箇所に基板上の照明されたスポット31が結像する。この範囲内の矩形領域42−45が周期構造32−35の像を表す。仮に周期構造が製品領域に位置していれば、この画像の視野の周辺に製品フィーチャも視認しうる。処理制御部PUは、周期構造32−35の個別画像42−45を識別するためのパターン認識を用いてこれらの画像を処理する。このようにして、センサフレーム内の特定の場所に極めて正確に画像がアライメントされる必要がなくなり、測定装置全体としてのスループットが大きく改善される。
いったん周期構造の個別画像が識別されると、例えば、識別された領域内で選択されたピクセルの強度値を平均化または合計することにより、それら個別画像の強度を測定できる。画像の強度および/または他の特性は互いに比較できる。これらの結果は、リソグラフィ工程の異なるパラメータ測定のために組み合わせることができる。オーバレイ性能はこのようなパラメータの一例である。
ターゲットの測定精度および/または感度は、ターゲット上に提供される放射ビームの1つまたは複数の特性、例えば放射ビームの波長、放射ビームの偏光、および/または放射ビームの強度分布(すなわち、角度または空間強度分布)、に関して変化する。一実施形態では、放射ビームの波長範囲は、ある範囲(例えば、約400nm〜900nmの範囲から選択される)から選択される1つ以上の波長に限定される。さらに、放射ビームの異なる偏光を選択できるようにしてもよく、例えば複数の異なるアパーチャを使用して様々な照明形状を提供することができる。
さらに、正確な測定値(例えば、CD、オーバーレイなどの測定値)を得るためには、少なくとも基板上のターゲット構造は、検査装置(例えば計測装置)の対物レンズの焦点面またはその近くに位置していなければならない。上述したように、これは、光学システムの焦点を変えることによって、および/または基板と焦点との間で相対移動を提供することによって(例えば、基板、光学システムの少なくとも一部、または両方を移動させることによって)、ターゲット構造に焦点を合わせることによって行うことができる。
一実施形態では、焦点制御を提供するために、共焦点光学系を有する焦点センサシステムを検査装置(例えば、オーバーレイおよび/またはCD測定装置)および/またはリソグラフィ装置で使用することができる。焦点センサシステムは、基板に焦点が合っていることを保証するために制御ループの一部として使用することができる焦点誤差信号を生成することができる。共焦点光学系を有する焦点センサシステムの例示的なレイアウトが図5Aに示されている。このシステムでは、放射は、入力500(例えば放射源)によって照明視野絞り505に供給される。絞り505から、放射は、コンデンサレンズ510を介して光学素子(例えばビームスプリッタ)515に通過する。光学素子515はビームを対物レンズ520に向ける。放射は、対物レンズ520から基板525に出力される。基板525によって向け直された放射線は、対物レンズ520および任意選択で光学素子515を介して検出分岐内のビームスプリッタ530に通過する。ビームの一部はアパーチャ535に提供され、別の部分はアパーチャ540に提供される。一実施形態では、アパーチャ535、540は、例えばそれぞれのプレートに設けられたピンホールアパーチャである。一実施形態では、アパーチャ535、540のうちの一方は、ビームスプリッタ530のビーム分割面から、他方のアパーチャ535、540とは異なる距離である。アパーチャ535、540のそれぞれに関連してそれぞれ検出器545、550があり、それぞれのアパーチャ535、540からそれぞれ放射部分を受け取る。一実施形態では、検出器は光検出器である。
一実施形態では、図5Aのシステムは、例えばアパーチャ535と検出器545の組み合わせからの信号560と、例えばアパーチャ540と検出器550の組み合わせからの信号570とを使用して基板の焦点誤差信号を生成する。一実施形態では、図5Bに示すように、信号560から信号570が減算されて、基板に対する焦点誤差信号580が生成される。
検査装置におけるこの配置に関する問題は、(検査装置の焦点に基板を保持するための)焦点スポットが、検査装置の検査分岐によって提供される測定スポット(このスポットは図5に示されていない)と重なり合う可能性があり、それは基板の検査または測定に使用されることである。この重なりは、焦点調節および検査の作業/分岐の同時作業を妨げる可能性がある。一実施形態では、スペクトル分離および干渉フィルタを使用することによって同時使用を得ることができるが、これは、検査に使用することができる波長範囲など、1つまたは複数の追加の制限をもたらす可能性がある。
したがって、一実施形態では、例えば、測定における改善された精度および/または感度を可能にし、および/または、検査装置(例えば、オーバーレイおよび/またはCD測定装置)のための改善されたスペクトル動作範囲を可能にする、改善された焦点調節装置および/または検査装置のための方法が提供される。
図6は、パターニングプロセスを特徴付けるためのスキャトロメトリツールおよび暗視野のような上述の光学計測ツールを含む、本明細書に記載の様々な光学機器での使用に適した適応光学システム600の一例の部分横断立面図である。
いくつかの実施形態では、適応光学システム600は、上述の光学機器の照明経路を通過する電磁放射などの電磁(EM)放射の様々な収差を制御し、そうでなければ修正するように構成され得る。いくつかの実施形態では、EM放射は、光放射であり、例えば675〜786nmのスペクトル帯域内(またはより広い、または異なる範囲)のコリメート光放射(例えば、この帯域内で80%超、この範囲内で99%超など)である。
後述する様々な構成要素の構成に応じて、様々な異なる収差が適応光学システム600を用いて修正されてもよい。場合によっては、適応光学システム600は、そのような収差を修正して、光学機器または光学機器で照明されている基板のいずれかにおける変動に対応することができる。そのような変動の例には、ステージング(staging)機器のドリフト、基板の平面性の変動、熱変動による光学素子の変形などが含まれる。いくつかの実施形態では、適応光学システム600は、構成に応じて、色収差、単色収差、または両方を調整可能に修正することができる。
いくつかの実施形態において、適応光学システム600は、いくつかの従来の技術と比較して比較的迅速に様々な収差を修正するように構成されてもよい。いくつかの実施形態では、適応光学システムの構成要素は、様々な構成要素の移動(例えば位置または向きの変化)に対する1つまたは複数の光学収差における変化の比較的高次の導関数(derivative)を有することができる。その結果、比較的小さく速い動きは、比較的迅速に所望の修正を様々な収差に導入することができる。その結果、場合によっては、プロセス変動に対するリアルタイムの調整を行うことができ、比較的高いスループットおよび比較的正確な基板の処理がもたらされる。しかしながら、本明細書に記載された様々な特徴が他の目的に展開されてもよいので、これらの利益のための全ての実施形態があるわけではなく、様々な独立して有用な発明が説明され、それらの発明のそれぞれは異なる利点を提供し得ること、例えば、より速い応答によって得られる時間は、従来のシステムと同じ時間でより正確な測定値を得るためにさらなる調整の改良に費やすことができることを理解すべきである。
いくつかの実施形態では、適応光学システム600は、光学アセンブリ602(ビーム軸610と移動軸612の両方に垂直な中心点を通る断面で示される)、アクチュエータ605、センサ607、およびコントローラ608を含む。いくつかの実施形態では、これらの構成要素は、光学アセンブリ602を通過する電磁放射のビーム内の様々な光学収差のフィードバック制御またはフィードフォワード制御を実行するように動作し得る。
いくつかの実施形態において、光学アセンブリ602は、2つの光学素子604および606を含み得る。いくつかの実施形態において、これらの光学素子604および606は、図9から12を参照して以下に記載されるような形状を有してよい。いくつかの実施形態では、光学素子604および606のそれぞれは、光学グレードガラスなどの透明材料のモノリシック体であってよい。いくつかの実施形態では、光学素子604および606のそれぞれは、光学アセンブリ602を通過するEM放射波の位相面の形状を調整するように構成された位相板であってよい。いくつかの実施形態では、光学素子604および606のそれぞれは、互いに対して同じ形状を有するレンズであってもよい。
光学素子604および606は、異なる向きで光学アセンブリ602内に配置されてもよい。例えば、光学素子606は、光学素子604に隣接して配置されてよく、光学アセンブリ602を通過し、光学アセンブリ602によって修正された様々な収差を有するEM放射のビームのビーム軸610の周りに180°(例えば、この量の±10パーセント以内)回転されてよい。ビームは、照明経路の一部であってもよく、
例えば、基板と経路内の他のアップビーム構成要素との間を含む、図1〜図5を参照して上述した照明経路内の構造の様々なペアの間のセグメントであってよい。
図示の構成では、光学素子604および606は互いに位置合わせされているが、動作中、光学素子604または606は軸612に沿って移動する一方、他の光学素子は静止したままである(それによって光学素子間の相対移動を導入する)。位置合わせされた位置(例えば、それらの移動範囲の10%以内に位置合わせされた、または正確に位置合わせされた)において、光学素子606および604は、ビーム軸610の方向に距離614だけ互いにオフセットされてもよい。いくつかの実施形態では、例えば、光学素子の並進運動を可能にしながら、ギャップを比較的小さく保つために、距離614は5〜100ミクロンのオーダであってよい。
特に明記しない限り、幾何学的記述子(空間属性の数学的記述子を含む)は、実質的に対応する構造(例えば、「平行」は「実質的に平行」を包含する)を含み、そのようなバリエーションも言及されていない場合には、修飾子「正確」が使用される(例えば、「完全に平行」)と理解されるべきである。実質的な対応の範囲は、使用事例(ユースケース)を考えれば当業者には自明であろうが、そのような範囲が自明ではない場合には、10%の変動範囲が想定されるべきである。さらに、X、Y、およびZ座標の特定の割り当てのように、1つの基準系における様々な構造への言及は、他の同等の基準系への変換を含むと理解されるべきである(例えば、移動方向などの他の属性を一致させるとともにX座標とY座標を切り替える、または直交座標と極座標を切り替える)。
いくつかの実施形態では、光学素子604および606のそれぞれは、平面616(例えば、使用事例の光学公差と一致するほぼ平面)および曲面618を含むことができる。いくつかの実施形態では、曲面618は、部分的に非平面であってもよい(例えば、曲面618の半分以上にわたって、場合によっては完全にまたは実質的に曲面618全体にわたって、それは場合によっては位相修正面または収差修正面とも呼ばれる)。曲面618は、ビーム軸610に沿って平面618から光学素子604および606の反対側にあってもよい。
図示の向きでは、光学素子606の曲面618は、平面616が外側を向くように、光学素子604の曲面618に面して配置されているが、他の実施形態では、この向きを逆にしてもよい。例えば、平面616が互いに面するように、または1つの平面616が曲面618のうちの1つに面するようにする。
いくつかの実施形態では、光学素子606および604の図示の位置は中立位置と呼ぶことができ、そこではビーム軸610上のEM放射のビームの収差は一般にまたは完全に光学アセンブリ602によって修正されない、あるいはいくつかの実施形態はまた、位置合わせされた位置における収差を修正してもよい。以下に記載される様々な対称性、および上記に記載される光学素子604および606の相対的な向きにより、光学素子606上の曲面618の凹部は、光学素子604および606が曲面618にわたって距離614を維持するように、光学素子604の曲面618の延長部分に隣接して配置されてよい(逆の場合も同じ)。注意すべきは、中立位置におけるこの一定の間隔は、必ずしもすべての実施形態に存在するわけではなく(例えば、図16および図17を参照して説明したように)、これは、本明細書に記載された他のいかなる特徴も場合によっては省略されないことを示唆するものではない。
いくつかの実施形態では、光学素子604および606は、空気などの光学アセンブリ602の周囲環境とは異なる屈折率を有する光学グレードのガラスから作製することができる。例としてはNBK7_SCHOTTガラスがある。
いくつかの実施形態では、光学素子604および606の一方または両方は、1つまたは複数のアクチュエータ605によって移動させることができる。アクチュエータ605は、(たとえば、相対自由度0のリンクによって)光学素子604に機械的に結合することができる。ただし、他にも様々な構成が考えられ、そのいくつかを以下に説明する。いくつかの実施形態において、アクチュエータ605は、圧電リニアアクチュエータであってよい。場合によっては、アクチュエータ605は、方向612、例えば軸612に沿った2つの方向のいずれかに光学素子604の線形並進運動を引き起こすように構成されてもよい。したがって、いくつかの実施形態では、アクチュエータ605は、以下に説明するように、ビーム軸610に垂直な軸612に沿って光学素子604を押したり引いたりし、光学素子606に対して移動させることができる。圧電リニアアクチュエータは、考えられる多くの使用例で使用される比較的狭い範囲の動きに対して比較的速く、応答性があり、正確であると期待される。しかし、実施形態は、スクイグルモータ、コームドライブ、スクリュードライブなどのような他のリニアアクチュエータのような、様々な異なる他のタイプのアクチュエータと調和することに留意されたい。
いくつかの実施形態では、センサ607は、EM放射ビームの様々な属性、例えば測定される基板の表面の一部分に対する焦点、を感知するように動作する上述のセンサのうちの1つであってよい。いくつかの実施形態では、センサ607は、基板表面に対する焦点を感知し、焦点が合っていない量(または調整を必要とする他の収差)、場合によっては焦点が合っていない方向を示す信号、または他の様々な収差の大きさおよび方向を示す他の信号を発するように動作する。いくつかの実施形態では、適応光学システム600は、基板に衝突する、またはそのように向けられたEM放射における異なる収差を感知するように構成された複数のセンサを含んでもよい。
いくつかの実施形態では、センサ607は、センサ607からの測定値に応答してアクチュエータ605を駆動するように動作するコントローラ608に通信可能に結合されてもよい。コントローラ608は、それによって目標収差からのデルタがアクチュエータ605に対する動作信号に変換されるモデルを含んでもよい。例えば、いくつかの実施形態は、EM放射が焦点から外れている量を示す信号を受信するように構成されてよく、コントローラ608は、焦点の欠如を減らすか排除するために、アクチュエータ605に光学素子604の位置を調整するように信号を送ってよい。いくつかの実施形態では、センサ607は、目標条件が満たされているかどうかを示す2値信号である信号を出力することができ、コントローラ608は、ブール信号の変化が生じるまで、ある範囲の運動によってアクチュエータ605を方向付け、測定を実行するための適切な条件を示してもよい。いくつかの実施形態では、コントローラ608は、マイクロコントローラであり、またはいくつかの実施形態では、コントローラ608は上記の様々な他の制御システムと統合することができる。
オランダのフェルドホーフェンのASMLホールディングN.V.から入手可能なYieldStar計測ツールのような光学機器は、現在説明されている適応光学システムを含むことができる。動作の一例では、ツールは新しい基板を取り込むか、または以前にロードされて位置合わせされた基板上の新しい測定位置にナビゲートすることができる。移動前に、図6の図示の構成では、素子606および素子604に対する表面618の影響が互いに相殺することがあるので、EM放射の波面は、光学アセンブリ602によって比較的影響されず、たとえば90%を超えて影響されない。
しかしながら、センサ607は、目標条件が満たされていないこと、例えば基板の表面に当たるEM放射が焦点から外れていることをコントローラ608に示すことができ、コントローラ608は、アクチュエータ605を介して光学素子604の様々な動きを指示することができる。これは、一例として図7に示されている。この例では、アクチュエータ605は、ある範囲の運動620を通して軸612に沿って光学素子604を引っ張っている。いくつかの実施形態では、光学素子606は、例えば概して変更できない量で固定されることによって、光学機器の他の部分に対して静止して保持されてもよい。その結果、光学素子604および606の曲面618はもはや整列されず、もはや互いに相殺し合うことはない。光軸610に沿って通過する光、または他のEM放射は、例えば図示の並進において光学アセンブリ602からさらに焦点を押すことによって、光学アセンブリ602によって修正されてもよい。いくつかの実施形態では、曲面618の形状に応じて、この並進運動の結果として、以下でさらに詳細に説明するように他の様々な収差を修正することができる。
注目すべきことに、運動620は、光学素子がビーム軸610に沿って並進する多くの従来の技術と比較して、比較的短い距離にわたるものであり、アクチュエータ605を用いて比較的迅速に達成することができる。例えば、例えば、いくつかの従来のシステムは、いくつかの実施形態が2mm未満の並進で達成する修正をビームに与えるために、ビーム軸に沿って20mmを超えて光学素子を並進させることができる。いくつかの実施形態では、曲面618の曲率の振幅は、そのような動きに対する光感度を調整するために増減することができる。
場合によっては、この伝統的な手法を現在説明している実施形態と組み合わせることができる。例えば、ビーム軸610に直交する図示の光学素子の並進を用いて粗調整を行うことができ、ビーム軸610に沿って(従来のシステムにおけるよりも短い距離にわたって)他の光学素子を並進させることによってより高い解像度調整を行うことができる。
図8は、移動範囲622を通る、図6の位置に対する軸612に沿った他の方向への移動を示す。いくつかの場合において、組み合わされた移動範囲622および620は、光学素子606に対する光学素子604の移動の全範囲を構成し得る。いくつかの実施形態では、移動の全範囲は、10mm未満かつ0.4mm超であってよい。図6の構成から図7の構成への移動によって引き起こされるいくつかの光学収差の変化は、図6の構成から図8の構成への移動において逆転し得る。場合によっては、これらの変化は、光学素子604および606の形状およびどのように移動が達成されるか(例えば、両方の光学素子が動くのか1つだけ動くのか)に応じて、比例または非線形であってよく、両方の例が後述される。さらに、アクチュエータ605は、光学素子604を図7と図8に示す位置の間の任意の中間位置に移動するように構成されてもよいことに留意されたい。
図9および図10は、上記の曲面618の一実施形態の面626を示す。図9は、表面の3次元透視ワイヤプロットであり、z軸に沿った表面626のずれは、図6から図8の光軸610に沿った表面618のずれに対応し、y軸は、図6から図8の光軸612に対応する。図6から図8では、光学素子604または606は軸612に沿って移動する。図9および図10のx軸は、図6〜図8の断面(および軸610および612)に対して垂直であってよい。
図9および図10に示すように、いくつかの実施形態では、光学素子は表面626を有するほぼ円形の形状を有することができる。例えば、いくつかの実施形態では、光学素子604および606は、平面ベースと、図9および10に示される表面に対応する反対面626とを有する直円柱であってよい。
図9に示されるように、表面626のこの実施形態は、例えば、表面の20%未満がz軸に対して垂直であるような複雑な曲面を有することができる。この例では、表面626は、3つの極大値628、630、および632を含み得る。そして、3つの極小値634、636、および638を含み得る。表面626は、x方向およびy方向の両方で変化する複合曲線を有し得る。
本明細書に記載の適応光学システムは、光学計測ツールまたは他の光学システム、例えばリソグラフィパターニングデバイスのEM放射経路内の様々な位置に配置することができる。いくつかの実施形態では、適応光学システムは、そのようなシステムを通過する放射の焦点感知分岐内に配置されてもよい。例えば、適応光学システムは、フォーカスビームがコリメートされる領域に配置されてもよい。代替的に又は追加的に、いくつかの実施形態は、適応光学システムが配置されているより大きな光学系の照明分岐内に補償光学系を含むことができる。
図10は、軸610に沿った光学素子604および606の平面616に平行な平面からの表面626のずれ量をトポグラフィヒートマップ形式で示す。場合によっては、これは、sag量またはガラスの直円柱の先端部の片側から削り取られた材料の量として特徴付けることができる。この図では、グレースケールが明るければ明るいほど、表面は軸610に沿って(またはz方向に)光学素子606または604の平面616から遠くなる。
図10に示されるように、表面626は様々な対称性を示す。表面626は、軸612に関して概して反射対称(reflectively symmetric)であってよい。軸612に沿って、光学素子604または606は移動する(またはy軸)。さらに、表面626は、軸640に関して回転対称であり、x軸に平行に延び、表面626によって形成される円の中心で軸612と交差してもよい。この回転対称の結果として、軸640に沿って表面626を切断し、軸640を中心にして表面626の半分を180°回転させると、2つの表面が向かい合い、表面626の全体にわたって接触するはずである。その結果、2つの光学素子604および606が互いに向き合うように配向され、図6〜図8の軸610を中心にして互いに180°回転したとき、この実施形態では、表面は、表面626全体にわたってそれら自身の間に一定の距離614を有するはずである。
いくつかの実施形態において、表面626は、表面を定義するためのそれぞれの多項式の寄与に対応するそれぞれのゼルニケ係数をそれぞれ有する一連のゼルニケ多項式の線形結合(例えば、和)によって数学的に特徴付けることができる。ゼルニケ多項式は、単位円上でさまざまな曲面を記述するために組み合わせることができる、それぞれの係数を持つ37個の多項式である。いくつかの実施形態では、図9および図10の表面は、第9および第10のゼルニケ係数が互いに等しく、他の35個のゼルニケ係数がゼロに等しいゼルニケ多項式の線形結合に対応してよい。
面626(および以下に記載する他の面)の形状は、37個のゼルニケ多項式が結合される次式によって記述される(場合によっては、いくつかのゼルニケ係数がゼロの値を持ち、それぞれのゼルニケ多項式が脱落することがある):
ここで、
zは、z軸に平行な面のサグ(sag) であり、
c=1/Rは、頂点の曲率であり、
kは、円錐定数であり、
x、yは、表面座標であり、
Rnは、正規化半径であり、
Pjは、j番目のフリンジ・ゼルニケ多項式であり、
Zjは、j番目のゼルニケ係数である。
係数の値は、対応する表面およびその表面によって与えられる光学特性を定義する。さらに、各ゼルニケ多項式は特定のタイプの収差に対応することができ、例えば、(Z3係数を有する)第3のゼルニケ多項式は傾斜に対応し、(Z4係数を有する)第4のゼルニケ多項式は焦点に対応し、係数は存在する収差の量を示す。いくつかの実施形態では、表面626は、コマおよびトレフォイル(trefoil)のゼルニケ係数が等しい大きさ(例えば、Z7=Z9!=0;またはZ8=−Z10!=0)を有し、他のゼルニケ係数がゼロ(例えば実質的にゼロに等しいか、他のゼルニケ係数の最大絶対値に対してゼロの10%以内)である。場合によっては、表面はアルバレツレンズの一つであってもよい。
図9および図10のこの表面626の1つの利点は、他の収差を過度に修正することなく、多くの光学機器に関連する特定の収差を制御できることである。光学素子の形状に応じて、特定の収差を修正することができ、一方で他の収差に対する変化は比較的小さいかまたは回避することができる。図9および図10の例では、軸612に沿った相対移動はEM放射の焦点(すなわちビームのZ4ゼルニケ係数)を調整しながら、ビームの傾斜に比較的小さな変化(すなわちビームのZ3ゼルニケ係数)を生じさせ、他の収差を比較的または完全に修正せずに残す(すなわち、ビームのZ1〜Z2およびZ5〜Z37ゼルニケ係数)ことができる。
ビーム収差の変化と光学素子の移動との間のこの関係は、図11のグラフに示されている。ビームのZ4ゼルニケ係数(すなわち、焦点)は、Z4として表され、Z3ゼルニケ係数(傾斜)は、Z3として表される。図11は、光学アセンブリ602を通過するEM放射のZ3およびZ4係数に対する、光学素子606に対するY方向の軸612に沿った光学素子604の並進運動の効果を示す。図示されるように、光学素子のうちの1つの線形並進運動は、ビームの焦点を調整すると同時に、ビームの傾きに比較的小さな変化を与える。この例では、焦点の変化は並進の変化に比例し(非線形関係に対して比較的正確に制御する、実施形態も非線形制御と一致するが)、傾斜の変化は一般に放物線形状に従う。いくつかの実施形態では、傾斜の変化量は光学機器の許容範囲内であり、ビームに対するさらなる修正は不要である。
他の実施形態では、追加の構成要素がZ3係数を制御して、上記の装置によるZ4調整の影響を相殺することができる。例えば、図12は、傾斜アジャスタ652と共に、図6から図11を参照して説明した構成要素を有する適応光学システム650を示している。この例では、傾斜アジャスタ652は、ミラー654、ミラーアクチュエータ656、およびピボット658を含む。いくつかの実施形態では、アクチュエータ656は、ミラー654に機械的に結合し、ピボット658を中心としてミラー654を回転駆動し、焦点調節によって生じる傾斜を打ち消すことができる。いくつかの実施形態では、コントローラ608は、アクチュエータ605とアクチュエータ656の両方を駆動してよい。いくつかの実施形態では、コントローラ608は、アクチュエータ656にミラー654の傾きを調整させて、図11に示すZ3係数の放物線状変化の打ち消すルーチンを実行してよい。いくつかの実施形態では、ミラー654の角度は、図11に示すZ3の放物線状変化に従って、アクチュエータ605による所定量のずれに対して異なる量だけ中立位置からずれているとき、同じ方向に変更されてよい。いくつかの実施形態では、コントローラ608は、結果として生じるEM放射のビームに導入された傾斜収差を打ち消すように構成された、アクチュエータ605による線形偏心運動をアクチュエータ656による対応する並進運動にマッピングするルックアップテーブルを維持してもよい。ミラーを傾けるために様々な機構を使用することができる。いくつかの場合において、ミラーに接続するピンは、それがスライドするスロット内に存在してもよく、または他の様々なドライブが使用されてもよい。
図13は、第2のアクチュエータ662と共に、図6から図11を参照して上述したシステムの構成要素を有する別の適応光学システム660を示す。いくつかの実施形態では、コントローラ608は、アクチュエータ605および662の両方を等量だけ駆動するように構成され得る。これにより、両方の光学素子606および604を軸612に沿って互いに対して直線的に並進運動させる。いくつかの実施形態では、両方の光学素子606および604の移動は、光学素子604と606の間の点を中心に旋回するように構成された、光学素子604および606の間に延在するロッカーバーによってなど、両方の光学素子を結合する機械的リンク機構を有する単一のアクチュエータによって達成されてよい。いくつかの実施形態では、この構成において、光学素子604および606は、コントローラ608によって制御されるように、互いに対して1つだけの1自由度を有してよい。あるいは、いくつかの実施形態では、アクチュエータ605および662は、それ以外は同じ動きで、オフセット位置で動作されてよい。オフセット位置は、傾斜をキャリブレーションし、その他には傾斜を制御するために、キャリブレーションルーチンに従って設定される。
いくつかの実施形態において、図13の構成は、傾斜のような特定の非標的の光学収差の変動を低減することが期待され、ある場合には図12を参照して説明したような追加の制御装置の必要性を不要にする(他の特徴が全ての実施形態において必要であることを意味するのではない)。あるいは、いくつかの実施形態において、様々な光学収差間の関係は、比例関係として単純化されてもよく、その結果、それらの他の適応構成要素のための制御アルゴリズムは単純化されてもよい。この現象の一例を図14に示す。図14は、光学素子606および604の等しい反対方向の動きに対して適応光学システム660を通過する際のEM放射の波面に対する変化のZ3およびZ4ゼルニケ係数、それぞれ傾斜および焦点に対応する、の変化を示す。図示されるように、これらの光学素子604および606の両方を動かすとき、傾斜すなわち係数Z3は、光学素子604および606のそれぞれの互いに対する並進運動の量に比例し得る。これにより、ダウンビーム傾斜角可変ミラーの制御ルーチンに対する調整が簡単になる。さらに、変化した傾斜の総量は図11に示されたものよりも少なく、それによって、追加の調整を不要にする可能性があり、これは、他の特徴もいくつかの実施形態では省略できないことを意味するのではない。
図15は、他の光学収差の変化を軽減しながら、1つまたは複数の光学収差を制御するように構成された適応光学システム664の別の例を示す。この例では、適応光学システム664は、光学アセンブリ666がこの実施形態では異なることを除いて、図6から図11を参照して上述した構成要素を含む。この例は、3つの光学素子668、670、および672を含む。光学素子668および672は、図6から図11を参照して上述したものとほぼ同一であってよいが、この例では、光学素子668および672は互いに向かい合っており、軸610の周りに同じ回転配向(rotational orientation)を有する。この実施形態は、(両側において)光学素子668および672と相補的な表面を有する光学素子670をさらに含んでよい。図示の各曲面は、図9および図10を参照して上述したプロファイルを有してよい。いくつかの実施形態では、光学素子670が焦点を調整するためにアクチュエータ605によって直線的に平行移動される間、光学素子668および672は、概ね静止したままであってよい(または逆も同様である、または図13に示すように両方が移動してもよい、光学素子668および672が縦に並んで移動する)。この例では、光学素子670および672の相互作用によって導入された傾斜の変化は、光学素子668および670の相互作用によって元に戻すことができ、一方、3つの光学素子668、670および672すべてが追加的な変化を通して焦点を調整し、それによって、他の収差の変化を導入することなく、1つの収差の変化を分離する。
いくつかの実施形態では、複数の収差を同時に変化させることが望ましい。例えば、いくつかの実施形態は、EM放射の波面のZ4およびZ9の両方のゼルニケ成分を修正してよい。これらの実施形態では、上述の光学素子は、図9および図10の様式のグラフィック表現である図16および図17に示す表面を含んでよい。これらの例では、光学素子のうちの一方の直線的な並進運動は、上述の光学アセンブリを通過する電磁放射の波面に対する調整を記述するゼルニケ多項式級数のZ4項とZ9項の両方を変更することができる。いくつかの実施形態では、他のゼルニケ多項式係数に比較的少ない変化、または比較的小さな変化が導入されてもよい。いくつかの実施形態では、波面のZ3、Z4、およびZ9係数のみが、図16および図17に示す表面を有する光学素子の線形並進運動によって変化し、それは上述の曲面618の別の実施形態である。
いくつかの実施形態では、表面は、下記の係数を用いて、上記のゼルニケ級数によって記述することができる。場合によっては、ゼルニケ係数は、3次よりも大きい多項式次数、例えば4次、5次、およびより高次の多項式を有するゼルニケ多項式についてのゼロ以外の係数を含んでよい。いくつかの実施形態において、これらのゼルニケ多項式係数は、例示された表面を特徴付けるために最大の多項式係数に対して正規化されてよい。
いくつかの実施形態では、上記に示されたゼルニケ係数は、倍率によって調整され得る。いくつかの実施形態では、倍率は、光学素子の線形並進運動の変化に対する1つまたは複数の収差の変化の導関数(derivative)を比例的に修正することができる。したがって、システムは、ゼルニケ係数を比例して増加させることによってより敏感にされ得る。
いくつかの実施形態では、Z8およびZ11のゼルニケ係数は等しくてもよく、図16および図17の表面を記述する一連の中の最大のゼルニケ係数でもよい。いくつかの実施形態では、Z4およびZ5係数は次に大きく、例えば、Z8の3%から15%の間であってよい。Z9、Z12、およびZ17係数は次に大きく、Z8の0.1%から3%の間であってよい。
いくつかの実施形態では、他の収差、例えば、個々の収差または複数の収差を調整するために、他の様々な表面を実施することができる。いくつかの実施形態では、ゼルニケ係数の選択は、互いに対する光学素子の並進方向に依存し得る。例えば、いくつかの実施形態では、ゼロでないゼルニケ係数のそれぞれは、単位円の中心を通る移動方向に対応する軸に関して反射対称であるゼルニケ面を表すことができる。例えば、動きがY方向に示される上記の慣例を使用すると、対応する実施形態は、以下のゼルニケ係数インデックスの中から選択されたノンゼロのゼルニケ係数を有する表面を含んでよい:1、3、4、5、8、9、11、12 、15、16、17、20、21、24、25、27、28、31、32、35、36および37。他の実施形態では、上記のアレンジメントに対応するX方向の移動は、以下のゼルニケ係数インデックスの中から選択されたノンゼロのゼルニケ係数を有してよい:1、2、4、5、7、9、10、12 、14、16、17、19、21、23、25、26、28、30、32、34、36および37。これらの両方の場合において、他のゼルニケ係数は、関連する対称性を維持または近似するためにゼロ(例えば、実質的にゼロ)であってよい。
本書では、例えば回折次数に由来する強度から重なり合う周囲構造の相対位置を測定する、回折に基づく計測に関連して実施形態が記載された。しかしながら、本書の実施形態は、必要に応じた適切な変更とともに、例えばターゲットの高品質画像を用いて層1のターゲット1から層2のターゲット2までの相対位置を測定する、画像に基づく計測に適用されてもよい。通常、これらターゲットは、周期構造または「ボックス」(ボックス・イン・ボックス(BiB))である。
図18は、適応光学システムを有する計測ツールの上述の実施形態のうちのいくつかの動作中に実行されるプロセス680のフローチャートである。いくつかの実施形態では、プロセス680は、ブロック682によって示されるように、パターン付き基板が測定される電磁石(EM)放射のビームの収差に対する調整を決定することを含む。プロセス680は、ブロック684によって示されるように、非球面の第2光学素子に対して非球面の第1光学素子をビームに垂直な方向に移動することを含んでよい。このプロセスはさらに、ブロック686によって示されるように、移動前と移動後とで異なるようにビームに収差を生じさせること(aberrating)を含むことができる。このプロセスはまた、ブロック688によって示されるように、パターン付き基板を測定することも含むことができる。場合によっては、このプロセス680は、基板上の複数の測定位置および複数の基板に対して繰り返されてもよい。いくつかの実施形態では、そのような測定の結果は、電気的、光学的、または機械的デバイスがパターニングされるプロセスのフィードバック制御を実行するためにプロセスパラメータを調整することができる上述のリソグラフィ機器に伝達されてよい。
上記では、メトロロジおよび光学リソグラフィとの関連で実施形態の使用に特に言及しているが、本実施形態は、インプリントリソグラフィなどの他の用途においても使用可能であり、文脈上許されれば、光学リソグラフィに限定されないことが理解されよう。インプリントリソグラフィでは、パターニングデバイスの微細構成によって、基板W上に生成されるパターンが画定される。パターニングデバイスの微細構成を基板Wに設けられたレジストの層に押しつけ、その後、電磁放射、熱、圧力またはその組合せにより、レジストを硬化する。レジストを硬化した後、パターニングデバイスMAがレジストから除去され、パターンが残される。
本書で用いられる「放射」および「ビーム」の用語は、いかなる種類の電磁的な放射を包含し、紫外(UV)放射(例えば、365nm、248nm、193nm、157nmもしくは126nm、または、その近傍の波長を有する)および極端紫外(EUV)放射(例えば、5−20nmの範囲の波長を有する)を含むとともに、イオンビームや電子ビームといった粒子ビームをも含む。
「レンズ」の用語は、文脈が許される場合において、屈折型、反射型、磁気型、電磁気型および静電型の光学素子を含む任意の種類の光学素子の任意の一つまたは組み合わせと称されてもよい。
特定の実施形態の前述の説明は、当業者の範囲内の知識を適用することによって、他の人が、過度の実験をすることなく、本発明の一般的な考え方から逸脱することなく、そのような特定の実施形態を様々な用途に容易に変更および/または適合させることができる本発明の一般的性質を十分に明らかにするであろう。したがって、そのような適合および変更は、本書に提示された教示および示唆に基づいて、開示された実施形態の意義および均等物の範囲内にあることが意図される。本明細書の表現または用語は、本明細書の用語または表現が教示および指針に照らして当業者によって解釈されるように、限定ではなく例示による説明のためのものであることが理解されよう。
本発明の広さおよび範囲は、上記の例示的な実施形態のいずれによっても限定されるべきではなく、添付の特許請求の範囲およびそれらの均等物に従ってのみ定義されるべきである。
本出願全体を通して、「することができる(may)」という語が用いられる場合、強制的な意味(すなわち「〜しなければならない」を意味する)のではなく、許可の意味で用いられる(すなわち「〜する可能性を有する」を意味する)。「含む(include)」、「含んでいる(including)」、及び「含む(includes)」等の語は、限定ではないが、含んでいる(including)を意味する。本出願全体を通して、単数形「a(1つの)」、「an(1つの)」、「the(その)」が用いられる場合、文脈上明らかに他の意味が示される場合を除いて、複数形を含む。従って、1つ以上の要素について「1つ以上」のような他の用語及び句が使用されるにもかかわらず、例えば「1つの(an)」要素又は「1つの(a)」要素という場合、2つ以上の要素の組み合わせを含む。「又は(or)」という用語は、他の指示がない限り、非排他的である、すなわち「及び(and)」と「又は(or)」の双方を包含する。条件関係を記述する用語、例えば「X、Yに応じて」、「X、Yの時」、「X、Yであるならば」、「X、Yである場合」等は、前件(antecedent)が後件(consequent)の必要因果条件であるか、前件が充分因果条件であるか、又は前件が寄与因果条件である因果条件を包含する。例えば、「状態Xは条件Yが確立した時に発生する」は、「XはYの時にのみ発生する」及び「XはY及びZの時に発生する」に対して包括的である(generic)。そのような条件関係は、前件が確立した直後に続いて起こる結果に限定されない。いくつかの結果は遅れることがある。条件文において、前件はそれらの後件に接続されている。例えば、前件は後件が発生する可能性に関連している。複数の属性又は関数が複数のオブジェクトにマッピングされている言明(statement)(例えば、1つ以上のプロセッサがステップA、B、C、及びDを実行する)は、他の指示がない限り、全てのそのようなオブジェクトにマッピングされた全てのそのような属性又は関数と、属性又は関数のサブセットにマッピングされた属性又は関数のサブセットとの双方を包含する(例えば、全てのプロセッサの各々がステップAからDを実行する場合と、プロセッサ1がステップAを実行し、プロセッサ2がステップB及びステップCの一部を実行し、プロセッサ3がステップ3の一部及びステップDを実行する場合の双方)。更に、他の指示がない限り、1つの値又はアクションが別の条件又は値「に基づいている」言明は、その条件又は値が唯一の要因である例と、その条件又は値が複数の要因のうちの1つの要因である例の双方を包含する。他の指示がない限り、ある集合の「各(each)」インスタンスがある特性を有するという言明は、より大きい集合のいくつかの他の点で同一の又は同様のメンバがその特性を持たない事例を除外すると解釈するべきではない。すなわち、各(each)は必ずしも、あらゆるもの(each and every)を意味するわけではない。列挙されたステップの順序に関する限定は、順序を明確にするのではなく特許請求の範囲を読みやすくするために使用される「アイテムに対してXを実行し、Xされたアイテムに対してYを実行する」といった順序の限定を暗示すると不適切に主張される可能性のある記述とは対照的に、例えば「Xを実行後、Yを実行」のような明示的な言葉で明示的に指定されない限り、特許請求の範囲に読み込まれるべきではない。別途特記されない限り、議論から明らかであろうように、この明細書の議論で一貫する「処理する」「演算する」「計算する」「決定する」などの用語の利用は、特殊用途のコンピュータまたは同様の特殊用途の電子処理/計算装置といった特定の装置の動作またはプロセスを指すことが理解されよう。
この特許には、特定の米国特許、米国特許出願、または他の資料(例えば、論文)が参照により組み込まれている。しかしながら、そのような米国特許、米国特許出願、および他の資料の文章は、そのような資料と本明細書に記載されている記述および図面との間にコンフリクトが存在しない限りにおいて、参照によって組み込まれるだけである。そのようなコンフリクトがある場合には、本明細書の文章が適用される。
本技術は、以下の列挙された実施形態を参照してよりよく理解されよう。
1.基板上にパターニングされた構造を測定するように構成された光学計測ツールであって、電磁(EM)放射経路に沿ってEM放射のビームを向けるように構成されたEM放射源と、EM放射経路の一部に配置され、EM放射のビームの波面の形状を調整するように構成された適応光学システムであって、非球面の第1光学素子と、非球面の第1光学素子に隣接する非球面の第2光学素子と、EM放射経路の一部のビーム軸と異なる方向に第1光学素子と第2光学素子との間で相対運動を生じさせるように構成されたアクチュエータと、を備える適応光学システムと、を備える光学計測ツールを備える装置。
2.光学計測ツールは、スキャトロメトリ計測ツールを備え、相対運動は、ビーム軸に垂直な方向における光学素子のうちの1つの線形並進運動であり、線形並進運動は、EM放射の焦点およびEM放射の傾斜を調整する、節1に記載の装置。
3.第1光学素子および第2光学素子は、相補的曲面に対応するゼルニケ級数におけるゼロ以外のZ7またはZ8ゼルニケ多項式係数を含む相補的曲面を有する、節1または2に記載の装置。
4.第1光学素子は、ビーム軸に垂直な平面を含む、節3記載の装置。
5.Z7またはZ8のゼルニケ多項式係数は、相補的曲面に対応するゼルニケ級数における他のゼルニケ多項式係数以上である、節3に記載の装置。
6.第1光学素子の曲面は、相対運動の方向に関して反射対称であり、相対運動の方向とビーム軸の両方に対して直交する軸に関して回転対称である、節1から5のいずれかに記載の装置。
7.適応光学システムは、第1光学素子と第2光学素子との間で相対運動を生じさせるための手段を備える、節1から6のいずれかに記載の装置。
8.第1光学素子および第2光学素子は、1つだけの1自由度の互いに対する相対運動を有する、節1から7のいずれかに記載の装置。
9.1自由度は、ビーム軸に垂直な線形並進運動である、節8に記載の装置。
10.第1光学素子と第2光学素子との間の相対運動によって引き生じるビームの傾斜を適応的に減少させる手段を備える、節1から9のいずれかに記載の装置。
11.適応光学システムは、相対運動に応答してEM放射の波面に対応するゼルニケ級数のZ4ゼルニケ多項式係数を調整するように構成される、節1から10のいずれかに記載の装置。
12.Z4ゼルニケ多項式係数への調整は、相対運動に比例する、節11に記載の装置。
13.適応光学システムは、相対運動も波面のZ3ゼルニケ多項式係数の調整をもたらすように構成され、Z3ゼルニケ多項式係数の調整量は、アクチュエータが駆動するように構成される相対運動の範囲にわたってZ4ゼルニケ多項式係数の調整の50%未満である、節11に記載の装置。
14.適応光学システムは、第1光学素子と第2光学素子との間の相対運動に応答して、Z4ゼルニケ多項式係数よりも高次の波面のゼルニケ多項式係数に影響を及ぼすように構成される、節1から13のいずれかに記載の装置。
15.適応光学システムは、第1光学素子と第2光学素子との間の相対運動に応答して、ビームのトレフォイル収差およびビームの焦点を調整するように構成される、節1から14のいずれかに記載の装置。
16.第1光学素子の曲面は、ゼロ以外のゼルニケ係数を有する3次より高次のゼルニケ多項式を有するゼルニケ級数に対応する、節1から15のいずれかに記載の装置。
17.相対運動は、第1の方向への第1光学素子の運動と、第1の方向と反対の第2の方向への第2光学素子の運動とを含む、節1から16のいずれかに記載の装置。
18.第1光学素子と第2光学素子との相対運動によって生じる傾斜の変化を低減する方向にビームの傾斜を調整するように構成された傾斜角可変ミラーを備える、節1から17のいずれかに記載の装置。
19.計測ツールに通信可能に接続されたリソグラフィ装置を備え、計測ツールは、リソグラフィ装置によってパターニングされた基板上の構造を測定するよう構成されたスキャトロメトリ計測ツールを備え、構造は、該構造を測定するために使用されるEM放射の波長よりも小さい、節1から18のいずれかに記載の装置。
20.パターン付き基板が測定される電磁(EM)放射のビームの収差に対する調整を決定することと、非球面の第1光学素子を非球面の第2光学素子に対してビームに垂直な方向に移動させることと、移動前と移動後とで異なるようにビームを収差させることと、パターン付き基板を測定することと、を備える方法。

Claims (18)

  1. 基板上にパターニングされた構造を測定するように構成された光学計測ツールであって、
    電磁(EM)放射経路に沿ってEM放射のビームを向けるように構成されたEM放射源と、
    前記EM放射経路の一部に配置され、前記EM放射のビームの波面の形状を調整するように構成された適応光学システムであって、
    非球面の第1光学素子と、
    非球面の前記第1光学素子に隣接する非球面の第2光学素子と、
    前記EM放射経路の一部のビーム軸と異なる方向に前記第1光学素子と前記第2光学素子との間で相対運動を生じさせるように構成されたアクチュエータと、を備える適応光学システムと、
    を備える光学計測ツールを備え
    前記第1光学素子と前記第2光学素子との相対運動によって生じる傾斜の変化を低減する方向に前記ビームの傾斜を調整するように構成された傾斜角可変ミラーをさらに備える、装置。
  2. 前記光学計測ツールは、スキャトロメトリ計測ツールを備え、
    前記相対運動は、前記ビーム軸に垂直な方向における前記光学素子のうちの1つの線形並進運動であり、
    前記線形並進運動は、EM放射の焦点およびEM放射の傾斜を調整する、
    請求項1に記載の装置。
  3. 前記第1光学素子および前記第2光学素子は、相補的曲面に対応するゼルニケ級数におけるゼロ以外のZ7またはZ8ゼルニケ多項式係数を含む相補的曲面を有する、請求項1に記載の装置。
  4. 前記第1光学素子は、前記ビーム軸に垂直な平面を含む、請求項3記載の装置。
  5. Z7またはZ8のゼルニケ多項式係数は、前記相補的曲面に対応するゼルニケ級数における他のゼルニケ多項式係数以上である、請求項3に記載の装置。
  6. 前記第1光学素子の曲面は、前記相対運動の方向に関して反射対称であり、前記相対運動の方向とビーム軸の両方に対して直交する軸に関して回転対称である、請求項1に記載の装置。
  7. 前記適応光学システムは、前記第1光学素子と前記第2光学素子との間で相対運動を生じさせるための手段を備える、請求項1記載の装置。
  8. 前記第1光学素子および前記第2光学素子は、1つだけの1自由度の互いに対する相対運動を有する、請求項1に記載の装置。
  9. 前記1自由度は、前記ビーム軸に垂直な線形並進運動である、請求項8記載の装置。
  10. 前記適応光学システムは、前記相対運動に応答して前記EM放射の波面に対応するゼルニケ級数のZ4ゼルニケ多項式係数を調整するように構成される、請求項1に記載の装置。
  11. Z4ゼルニケ多項式係数への調整は、前記相対運動に比例する、請求項10に記載の装置。
  12. 前記適応光学システムは、前記相対運動も前記波面のZ3ゼルニケ多項式係数の調整をもたらすように構成され、Z3ゼルニケ多項式係数の調整量は、前記アクチュエータが駆動するように構成される相対運動の範囲にわたってZ4ゼルニケ多項式係数の調整の50%未満である、請求項10に記載の装置。
  13. 前記適応光学システムは、前記第1光学素子と前記第2光学素子との間の相対運動に応答して、Z4ゼルニケ多項式係数よりも高次の前記波面のゼルニケ多項式係数に影響を及ぼすように構成される、請求項1に記載の装置。
  14. 前記適応光学システムは、前記第1光学素子と前記第2光学素子との間の相対運動に応答して、前記ビームのトレフォイル収差および前記ビームの焦点を調整するように構成される、請求項1に記載の装置。
  15. 前記第1光学素子の曲面は、ゼロ以外のゼルニケ係数を有する3次より高次のゼルニケ多項式を有するゼルニケ級数に対応する、請求項1に記載の装置。
  16. 前記相対運動は、第1の方向への前記第1光学素子の運動と、前記第1の方向と反対の第2の方向への前記第2光学素子の運動とを含む、請求項1に記載の装置。
  17. 求項1から16のいずれかに記載の装置を備えるリソグラフィ装置
  18. パターン付き基板が測定される電磁(EM)放射のビームの収差に対する調整を決定することと、
    非球面の第1光学素子を非球面の第2光学素子に対して前記ビームに垂直な方向に移動させることと、
    移動前と移動後とで異なるように前記ビームに収差を生じさせることと、
    傾斜角可変ミラーを用いて、前記第1光学素子と前記第2光学素子との相対運動によって生じる傾斜の変化を低減する方向に前記ビームの傾斜を調整することと、
    前記パターン付き基板を測定することと、
    を備える方法。
JP2019507852A 2016-08-11 2017-07-21 波面の可変コレクタ Active JP6744984B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662373734P 2016-08-11 2016-08-11
US62/373,734 2016-08-11
PCT/EP2017/068435 WO2018028971A1 (en) 2016-08-11 2017-07-21 Variable corrector of a wave front

Publications (2)

Publication Number Publication Date
JP2019526826A JP2019526826A (ja) 2019-09-19
JP6744984B2 true JP6744984B2 (ja) 2020-08-19

Family

ID=59384173

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019507852A Active JP6744984B2 (ja) 2016-08-11 2017-07-21 波面の可変コレクタ

Country Status (5)

Country Link
US (1) US10852247B2 (ja)
JP (1) JP6744984B2 (ja)
KR (1) KR102199133B1 (ja)
TW (1) TWI672494B (ja)
WO (1) WO2018028971A1 (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6812536B2 (ja) * 2016-09-06 2021-01-13 エーエスエムエル ホールディング エヌ.ブイ. 検査システムにおける合焦のための方法及びデバイス
CA3099013A1 (en) * 2018-05-04 2019-11-07 Asml Netherlands B.V. Pellicle for euv lithography
WO2021058313A1 (en) * 2019-09-27 2021-04-01 Asml Holding N.V. Lithographic apparatus, metrology system, and illumination systems with structured illumination
US11340531B2 (en) * 2020-07-10 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Target control in extreme ultraviolet lithography systems using aberration of reflection image
WO2024041827A1 (en) * 2022-08-22 2024-02-29 Asml Netherlands B.V. Metrology system and method

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3305294A (en) 1964-12-03 1967-02-21 Optical Res & Dev Corp Two-element variable-power spherical lens
US4457592A (en) 1981-12-03 1984-07-03 Polaroid Corporation Optical system utilizing a transversely movable plate for focusing
US5506725A (en) * 1994-12-28 1996-04-09 Koike Seiki Co., Ltd. Transmission type confocal laser microscope
JP3303758B2 (ja) * 1996-12-28 2002-07-22 キヤノン株式会社 投影露光装置及びデバイスの製造方法
EP0851304B1 (en) 1996-12-28 2004-03-17 Canon Kabushiki Kaisha Projection exposure apparatus and device manufacturing method
TW424283B (en) 1999-01-13 2001-03-01 Taiwan Semiconductor Mfg Method of forming device with dual gate oxide
JP2002175964A (ja) 2000-12-06 2002-06-21 Nikon Corp 観察装置およびその製造方法、露光装置、並びにマイクロデバイスの製造方法
US7106455B2 (en) 2001-03-06 2006-09-12 Canon Kabushiki Kaisha Interferometer and interferance measurement method
JP4590124B2 (ja) * 2001-04-09 2010-12-01 キヤノン株式会社 測定装置
JP2005513757A (ja) * 2001-06-26 2005-05-12 ケーエルエー−テンカー・コーポレーション リソグラフィのフォーカスおよび露光を決定する方法
US7609388B2 (en) * 2002-01-24 2009-10-27 Icos Vision Systems Nv Spatial wavefront analysis and 3D measurement
JP2003257812A (ja) * 2002-02-27 2003-09-12 Nikon Corp 結像光学系の評価方法、結像光学系の調整方法、露光装置および露光方法
JP2007220695A (ja) * 2006-02-14 2007-08-30 Nikon Corp 投影露光装置および方法、並びにデバイス製造方法
JP2007316340A (ja) * 2006-05-25 2007-12-06 Tochigi Nikon Corp マスク、収差計測方法、調整方法及び露光方法
US7372633B2 (en) * 2006-07-18 2008-05-13 Asml Netherlands B.V. Lithographic apparatus, aberration correction device and device manufacturing method
WO2008064859A2 (en) * 2006-12-01 2008-06-05 Carl Zeiss Smt Ag Optical system with an exchangeable, manipulable correction arrangement for reducing image aberrations
US7557934B2 (en) 2006-12-07 2009-07-07 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
JP2008186912A (ja) * 2007-01-29 2008-08-14 Nikon Corp 収差評価方法、調整方法、露光装置、露光方法、およびデバイス製造方法
DE102008000790A1 (de) * 2007-03-20 2008-09-25 Carl Zeiss Smt Ag Verfahren zum Verbessern von Abbildungseigenschaften eines optischen Systems sowie derartiges optisches System
JP5462791B2 (ja) * 2007-08-03 2014-04-02 カール・ツァイス・エスエムティー・ゲーエムベーハー マイクロリソグラフィのための投影対物系、投影露光装置、及び投影露光方法
CN100470377C (zh) * 2007-08-22 2009-03-18 中国科学院上海光学精密机械研究所 光刻机投影物镜彗差原位检测***及检测方法
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
US8228601B2 (en) * 2008-05-13 2012-07-24 Applied Materials Israel, Ltd. Scanning microscopy using inhomogeneous polarization
DE102008001892A1 (de) 2008-05-21 2009-11-26 Carl Zeiss Smt Ag Optisches System für die Mikrolithographie
EP2219077A1 (en) * 2009-02-12 2010-08-18 Carl Zeiss SMT AG Projection exposure method, projection exposure system and projection objective
NL2005162A (en) 2009-07-31 2011-02-02 Asml Netherlands Bv Methods and scatterometers, lithographic systems, and lithographic processing cells.
JP2013502592A (ja) 2009-08-24 2013-01-24 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法および装置、リソグラフィ装置、リソグラフィプロセシングセル、およびメトロロジターゲットを備える基板
NL2006057A (en) * 2010-02-24 2011-08-25 Asml Netherlands Bv Lithographic apparatus and method for correcting a position of an stage of a lithographic apparatus.
JP2012018100A (ja) * 2010-07-08 2012-01-26 Canon Inc 計測装置及び計測方法
WO2012062501A1 (en) 2010-11-12 2012-05-18 Asml Netherlands B.V. Metrology method and apparatus, and device manufacturing method
US20130044200A1 (en) * 2011-08-17 2013-02-21 Datacolor, Inc. System and apparatus for the calibration and management of color in microscope slides
US8913236B2 (en) * 2011-08-30 2014-12-16 Corning Incorporated Method and device for measuring freeform surfaces
EP2579100A3 (en) 2011-10-03 2017-12-06 ASML Holding N.V. Inspection apparatus, lithographic apparatus, and device manufacturing method
NL2009844A (en) * 2011-12-22 2013-06-26 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
JP2013197123A (ja) * 2012-03-15 2013-09-30 Nikon Corp 光学系、面位置検出装置、及び露光装置
JP2014048423A (ja) * 2012-08-30 2014-03-17 Canon Inc 撮像光学系、撮像装置および撮像システム
DE102013204391B3 (de) * 2013-03-13 2014-05-28 Carl Zeiss Smt Gmbh Projektionsobjektiv mit Wellenfrontmanipulator
WO2016050453A1 (en) * 2014-10-03 2016-04-07 Asml Netherlands B.V. Focus monitoring arrangement and inspection apparatus including such an arragnement

Also Published As

Publication number Publication date
KR20190034634A (ko) 2019-04-02
TW201809632A (zh) 2018-03-16
JP2019526826A (ja) 2019-09-19
US10852247B2 (en) 2020-12-01
KR102199133B1 (ko) 2021-01-07
US20180045657A1 (en) 2018-02-15
WO2018028971A1 (en) 2018-02-15
TWI672494B (zh) 2019-09-21

Similar Documents

Publication Publication Date Title
US9563131B2 (en) Lithographic apparatus, substrate and device manufacturing method
JP6618551B2 (ja) 検査装置、検査方法、リソグラフィ装置、パターニングデバイス及び製造方法
JP6744984B2 (ja) 波面の可変コレクタ
JP6132499B2 (ja) 検査装置、リソグラフィ装置、およびデバイス製造方法
KR102030100B1 (ko) 검사와 계측을 위한 방법 및 장치
JP6773894B2 (ja) 補正を導き出すための方法及び装置、構造の特性を決定するための方法及び装置、デバイス製造方法
TWI683998B (zh) 用於調整度量衡設備及量測一目標之方法
TW201337476A (zh) 角度分辨散射計及檢查方法
TWI753256B (zh) 量測裝置及量測一目標之方法
TWI764314B (zh) 組態一度量衡標記之方法、用於判定一疊對量測之方法及相關之基板及電腦程式產品
WO2020126266A1 (en) Method of measuring a parameter of a patterning process, metrology apparatus, target
KR102395062B1 (ko) 공정 계측 방법
WO2021224009A1 (en) A substrate comprising a target arrangement, and associated at least one patterning device, lithographic method and metrology method
KR20190142376A (ko) 계측 방법 및 장치 및 연관된 컴퓨터 프로그램
TWI691802B (zh) 測量目標的方法、度量衡裝置、微影單元及目標
TWI779286B (zh) 度量衡工具、消球差單態透鏡及其設計方法
NL2020323A (en) Inspection apparatus, inspection method, lithographic apparatus, patterning device and manufacturing method
WO2023138892A1 (en) Method and apparatus for illumination adjustment
WO2023147986A1 (en) Metrology method and associated metrology device
WO2021250034A1 (en) A target for measuring a parameter of a lithographic process
TW202105070A (zh) 感測器裝置及用於微影量測之方法
NL2023157A (en) Metrology tools comprising aplanatic objective singlet

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190412

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200115

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200225

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200525

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200721

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200731

R150 Certificate of patent or registration of utility model

Ref document number: 6744984

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250