JP5809152B2 - 誘電体膜をパッシベーションする方法 - Google Patents

誘電体膜をパッシベーションする方法 Download PDF

Info

Publication number
JP5809152B2
JP5809152B2 JP2012535250A JP2012535250A JP5809152B2 JP 5809152 B2 JP5809152 B2 JP 5809152B2 JP 2012535250 A JP2012535250 A JP 2012535250A JP 2012535250 A JP2012535250 A JP 2012535250A JP 5809152 B2 JP5809152 B2 JP 5809152B2
Authority
JP
Japan
Prior art keywords
precursor
fluoride
deposited
layer
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2012535250A
Other languages
English (en)
Other versions
JP2013508552A5 (ja
JP2013508552A (ja
Inventor
トム イー. ブロムベルク
トム イー. ブロムベルク
エヴァ イー. トワ
エヴァ イー. トワ
ロベルト フッガレ
ロベルト フッガレ
ヤン ウィレム マエス
ヤン ウィレム マエス
ヴラジーミル マッカウトサン
ヴラジーミル マッカウトサン
ディーター ピエルー
ディーター ピエルー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM International NV
Original Assignee
ASM International NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM International NV filed Critical ASM International NV
Publication of JP2013508552A publication Critical patent/JP2013508552A/ja
Publication of JP2013508552A5 publication Critical patent/JP2013508552A5/ja
Application granted granted Critical
Publication of JP5809152B2 publication Critical patent/JP5809152B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/409Oxides of the type ABO3 with A representing alkali, alkaline earth metal or lead and B representing a refractory metal, nickel, scandium or a lanthanide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations

Landscapes

  • Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Formation Of Insulating Films (AREA)

Description

(関連出願の参照)
本願は、35 U.S.C. § 119(e)による2009年10月20日付け米国特許仮出願第61/253,444f号の優先権を主張するものであり、参照により全体が本明細書に援用される。
本願は、超high k膜のパッシベーションに関する。特に、本発明は、超high k膜の上部に電極を堆積する新規な方法(processes)に関する。
原子層堆積(ALD)は、通常、自己限定性プロセスであり、反応物質前駆体の交互のパルスは、基板表面で飽和し、一回のパルスにつき材料の一つ以下の単分子層を残す。堆積条件及び前駆体は、通常、一つのパルスにおいて吸着された層が、同一パルスのガス状反応物質と反応しない表面ターミネーション(surface termination)を残すように、自己飽和反応を提供するように選択される。続いて、異なる反応物質のパルスは、前述のターミネーションと反応し、連続する堆積を可能にする。よって、交互のパルスの各サイクルは、通常、所望の材料のほぼ一つの単分子層以上を残す。ALD型プロセスの原理は、T. Suntola, e.g. in the Handbook of Crystal Growth 3, Thin Films and Epitaxy, Part B: Growth Mechanisms and Dynamics, Chapter 14, Atomic Layer Epitaxy, pp. 601−663, Elsevier Science B.V. 1994に示されており、これは、参照により本明細書に援用される。
一態様によれば、反応チャンバ内の基板にhigh−k層をパッシベーション(不動態化)する方法が提供される。いくつかの実施形態では、前記方法は、前記反応チャンバ内にhigh−k層を有する基板を提供する工程であって、前記high−k層は、塩素、臭素又はヨウ素を含む化合物と反応しやすい材料を含む、工程と、フッ素を含む前記化学物質を前記反応チャンバに気相状態で提供し、フッ素を含む化学物質が前記high−k層と反応して、フッ素及びhigh−k材料からの金属を含むパッシベーション層を形成する工程と、を含む。
他の態様によれば、反応チャンバ内の基板にhigh−k層用のパッシベーション層膜を形成する方法が提供される。いくつかの実施形態では、前記方法は、high−k材料を有する基板を提供する工程であって、high−k層は、Sr又はBaを含む、工程と、前記high−k材料を、フッ素を含む化学物質の気相パルスと接触させる工程と、を含む。
他の態様によれば、複数の窒化チタン堆積サイクルを含み、反応チャンバ内の基板に薄膜を含む窒化チタンを形成する方法が提供される。いくつかの実施形態では、各サイクルは、フッ化チタンのパルスを気相状態で前記反応チャンバに提供して、前記基板に前記フッ化チタンのほぼ一つの単分子層以上を形成する工程と、前記反応チャンバから過剰なフッ化チタンを除去する工程と、窒素を含む気相反応物質のパルスを前記反応チャンバに提供して、窒素を含む前記気相反応物質が前記フッ化チタンと前記基板で反応して、薄膜を含む窒化チタンを形成する工程と、もしあれば、過剰な窒素を含む前記気相反応物質及び反応副生成物を前記反応チャンバから除去する工程と、を含む。
他の態様によれば、反応チャンバ内の基板に薄膜を含む窒化チタンを形成する化学気相成長(CVD)方法が提供される。いくつかの実施形態では、堆積サイクルは、前記反応チャンバ内にhigh−k層を有する基板を提供する工程であって、前記high−k層は、塩素を含む化合物と反応しやすい材料を含む、工程と、気相フッ化チタンを前記反応チャンバに提供する工程と、窒素を含む気相反応物質を前記反応チャンバに提供し、窒素を含む前記気相反応物質が前記フッ化チタンと反応して、窒化チタンを含む薄膜を形成する工程と、を含む。
他の態様によれば、キャパシタ構造が提供される。いくつかの実施形態では、前記キャパシタ構造は、電気伝導下部電極層と、Sr又はBaを含むhigh−k誘電体層と、フッ素を含み、前記high−k誘電体層の直上にあるパッシベーション層と、電気伝導上部電極層と、を含む。
図1a−1cは、異なるTiF+NH化学物質のサイクル回数がTiN/SrTiOインターフェースで用いられ、かつTiCI+NHがバルクTiN電極堆積に用いられた場合におけるTiN/SrTiO/Si MIS構造の写真である。ウェーハの異なる色は、下にあるSrTiO層の異なる厚さ、(b)30nm及び(c)50nmにより生じたものである。 図2は、2パルスプロセスを有するALD TiNの堆積後のSi/ALD−SrTiO/30nm/ALD−TiNウェーハのシート抵抗測定を示す図である。 図3a及び3bは、TiN堆積前にWFで表面をフッ化した後のウェーハの概観を示す。WFパルスでシート抵抗〜350Ω/□(Ω/スクエア)。 図4は、SrTiO上でのTiF+NHからのTiNの500サイクルを堆積した後のウェーハの写真である。平均シート抵抗は、483.00Ω/□である。 図5は、一実施形態に係るキャパシタスタックの堆積のためのプロセスフローである。 図6は、一実施形態に係るhigh−k層と上部電極層との間にフッ化インターフェース層を有するキャパシタスタックの模式図である。 図7は、一実施形態に係る下部電極層とhigh−k層との間にフッ化インターフェース層を有するキャパシタスタックの模式図である。 図8は、一実施形態に係る下部電極層とhigh−k層との間及び上部電極層とhigh−k層との間にフッ化インターフェース層を有するキャパシタスタックの模式図である。 図9は、一実施形態に係るhigh−k層と上部電極層との間にフッ化インターフェース層及び導体窒化パッシベーション層を有するキャパシタスタックの模式図である。 図10は、一実施形態に係るhigh−k層と上部電極層との間にフッ化インターフェース層及び金属酸化層を有するキャパシタスタックの模式図である。 図11は、一実施形態に係るキャパシタスタックの堆積のためのプロセスフローである。
本明細書の記載は、例えば、ハロゲン化物に基づく化学物質から、特に、TiClに基づく化学物質からの電極堆積を可能にするhigh−k膜表面をパッシベーションする方法である。さらなる本明細書の記載は、ソース化学物質としてTiFを用いることによりALD、CVD又はパルスCVDによってTiNを堆積する方法である。
ALD型のプロセスは、いくつかの実施形態で使用される。ALD型のプロセスは、前駆体化学物質の制御された、自己限定性の表面反応に基づく。ガス状反応物質は、通常、反応チャンバへの交互に連続的な前駆体の供給により避けられる。気相反応物質は、例えば、反応パルス間に反応チャンバから、過剰な反応物質及び/又は反応副生成物を除去することにより、反応チャンバ内で互いに分離される。
簡潔には、基板は、反応チャンバ内に搬入され、通常、低い圧力で、適切な堆積温度に加熱される。堆積温度は、反応物質の熱分解温度以下で維持されるが、反応物質の凝結を防ぎ、かつ所望の表面反応のための活性化エネルギーを提供するために十分高いレベルとなる。勿論、任意の所与のALD反応のための適切な温度ウィンドウは、表面ターミネーション及び関連する反応種に依存する。
第1の反応物質は、反応チャンバに気相パルスの形態で導入又はパルスされ、基板の表面と接触する。条件は、好ましくは、第1の反応物質のほぼ一つの単分子層以上が、自己限定型手法で基板表面に吸着されるように選択される。適切なパルス時間は、特定状況に基づき当業者により容易に決定されうる。もしあれば、過剰な第1の反応物質及び反応副生成物は、例えば、不活性ガスをパージすることにより、反応チャンバから除去される。
反応チャンバへのパージは、真空ポンプでチャンバを排気すること及び/又はアルゴン又は窒素のような不活性ガスでリアクタ内をガスで置換することにより、気相前駆体及び/又は気相副生成物が反応チャンバから除去されることを示す。典型的なパージガス時間は、約0.05から20秒であり、より好ましくは約1から10秒であり、さらに好ましくは約1から2秒である。しかし、非常に高いアスペクト比構造又は複雑な表面モフォロジーを有する他の構造への高いコンフォーマルなステップカバレージが必要な場合に、必要であれば、他のパージ時間も用いることができる。
第2のガス状反応物質は、チャンバ内へパルスされ、表面と結合した第1の反応物質と反応する。過剰な第2の反応物質及び表面反応物質のガス状副生成物は、もしあれば、好ましくは不活性ガスを用いてのパージ及び/又は排気により、反応チャンバから除去される。パルス及びパージする工程は、所望の厚さの薄膜が基板に形成されるまで繰り返され、各サイクルは分子単層未満を残す。反応物質の提供及び反応空間へのパージを含むさらなる工程は、三元材料のような、より複雑な材料を形成するために含まれうる。
上述したように、各サイクルの各パルス又はフェーズは、好ましくは自己限定型である。反応物質前駆体の余剰分は、各フェーズにおいて反応しやすい構造表面を飽和するために提供される。表面飽和は、全ての取得可能な反応性サイト(例えば、物理的な大きさ又は“立体障害(steric hindrance)”制限)の反応物占有を確実にし、よって、優れたステップカバレージを確実にする。典型的には、材料の一つの単分子層以上が各サイクルで堆積されるが、いくつかの実施形態では、一分子層よりも多くのものが各サイクルで堆積される。
過剰な反応物質の除去は、反応空間のいくつかの内容物の排気及び/又はヘリウム、窒素又は他の不活性ガスでの反応空間のパージを含みうる。いくつかの実施形態では、パージは、反応ガスのフローをオフにし、反応空間への不活性キャリアガスのフローを継続することを含みうる。
ALD型プロセスで採用される前駆体は、標準条件(室温及び大気圧)下で固体、液体又はガス状であってもよく、前駆体が、反応チャンバへ導入され、かつ基板表面に接触する前に気相状態で提供される。気相化された前駆体を基板へ“パルスする”ことは、前駆体気相がチャンバ内へ制限された期間に導入することを示す。通常は、パルス時間は、約0.05から10秒である。しかし、基板のタイプ及びその表面領域によっては、パルス時間は、10秒よりも長くてもよい。パルス時間は、いくつかのケースでは分単位であってもよい。最適なパルス時間は、特定状況に基づき当業者により決定されうる。
前駆体のマスフローレートもまた、当業者により決定されうる。いくつかの実施形態では、金属前駆体のフローレートは、好ましくは約1から1000sccmであるが、これに限定されず、より好ましくは100から500sccmである。
反応チャンバにおける圧力は、通常、約0.01から約20mbarであり、より好ましくは約1から約10mbarである。しかし、いくつかの場合には、前駆体は、この範囲よりも高い又は低くなり、特定状況が与えられた当業者により決定されうる。
膜の堆積を開始する前に、基板は、通常、適切な成長温度まで加熱される。成長温度は、薄膜が形成される型、前駆体の物理的な特性等によって変化する。成長温度は、薄膜が形成される各型に関して以下により詳細に説明される。成長温度は、アモルファス薄膜が形成されるような堆積材料に対する結晶化温度よりも低くなりうる、又は、成長温度は、結晶薄膜が形成されるような結晶化温度よりも高くなりうる。好ましい堆積温度は、限定されないが、反応物質前駆体、圧力、フローレート、リアクタの配置、堆積される薄膜の結晶化温度、及び堆積される材料の性質を含む基板の組成のような多数の要素に応じて変化してもよい。具体的な成長温度は、当業者により選択されてもよい。
使用されうる好ましいリアクタの例としては、F−120(登録商標) reactor, Pulsar(登録商標) reactor and Advance(登録商標) 400 Series reactor, available from ASM America, Inc of Phoenix, Arizona and ASM Europe B.V., Almere, Netherlandsのような商業的に取得可能なALD装置が挙げられる。ALDリアクタに加えて、適切な装置が備えられていたCVDリアクタを含み、薄膜のALD成長可能な多くの他のリアクタ及び前駆体をパルスする手段は、採用されうる。好ましくは、反応物質は、前駆体のための共有ラインが最小化されるように、反応チャンバに到達するまで別々に維持される。しかし、他の配置も可能であり、例えば、プレ反応チャンバの使用は、2004年8月30日出願の米国特許出願10/929,348号及び2001年4月16日出願の米国特許出願番号09/836,674号で説明され、この開示は、参照により本明細書に援用される。
成長プロセスは、リアクタ内又はクラスタツールに接続される反応空間内で追加的に行われうる。クラスタツールでは、各反応空間は、プロセスの一つの型に専念されるため、各モジュールでの反応空間の温度は、一定に維持され、基板が各処理前のプロセス温度まで加熱されるリアクタと比較して、スループットが向上する。
本明細書に記載されるパッシベーションプロセスは、前及び/又はその後の堆積プロセスと同じリアクタ内で行われうる。いくつかの場合には、パッシベーションプロセスは、同一のクラスタツール内の異なるリアクタ又は異なるクラスタツール内に位置するリアクタで行われうる。
(酸化膜表面のパッシベーション)
いくつかの実施形態では、酸化膜表面は、パッシベーションされる。酸化膜は、従来から既知の任意の方法により形成されうる。いくつかの実施形態では、酸化膜は、CVDにより形成される。いくつかの実施形態では、酸化膜は、ALDにより形成される。いくつかの実施形態では、酸化表面は、酸化により形成される。
薄膜を堆積する典型的なALDプロセス(方法)では、一つの堆積サイクルは、第1の前駆体に基板を露出し、未反応の第1の前駆体及び反応副生成物を反応チャンバから除去し、第2の前駆体に基板を露出し、その後、第2の除去工程を含む。高価でなく、相対的に安定であるため、TiClのようなハロゲン前駆体がALD堆積には使用されるが、それと同時に反応は異なる型の表面群へ向かう。第2の前駆体として、HO 及びNH は、それぞれ酸化及び窒化堆積のために広く用いられる。また、適切なハロゲン系前駆体は、超high k膜(例えば、SrTi,BaTi,SrBa(1−x)TiOz及びSrBiTa)に用いられるSr及びBaのようなハロゲン系前駆体が多くの金属に存在しないため、有機金属(metalorganic、organometallic)前駆体は、通常、超high k膜堆積に用いられる。有機金属の第1の前駆体との混合に用いられる典型的な第2の前駆体は、O、酸素原子、プラズマに基づく酸素、ラジカルに基づく酸素、及び水である。超high k膜のALDの記述は、例えば、米国特許第7,108,747号及び米国特許公開第20060219157号にあり、この両方は参照により本明細書に援用される。
半導体産業において用いられる典型的なキャパシタ構造は、金属−絶縁体−半導体(MIS)及び金属−絶縁体−金属(MIM)である。キャパシタのさらなる型は、金属−絶縁体−金属−絶縁体−金属(MIMIM)及び金属−絶縁体−金属−絶縁体−半導体(MIMIS)を含む。メモリキャパシタ及びデカップリングキャパシタは、半導体産業のキャパシタ用のいくつかの用途にちょうどよい。
窒化チタンは、半導体産業のキャパシタ用の電極材料に広く用いられる。窒化チタンは、ALD、パルスCVD又はCVDのような多くの異なる堆積プロセスにより堆積されうる。TiN堆積のための典型的かつ最も便利な前駆体は、TiClであり、これは、主に相対的に高い蒸気圧を有する液体であるためである。窒化チタン堆積の記述は、例えば、米国特許公開第20060060137号にあり、これは、参照により本明細書に援用される。
しかし、絶縁−金属構造の金属電極プロセス(CVD又はALD)に基づくSrTiO 及び塩化チタンの集積は、金属の基本特性によりチャレンジとなることがわかる。TiCIに基づく化学物質は、塩化物に基づく化学物質と下にあるSrTiOとのシビアな反応のために、絶縁体−金属界面及び構造の破壊をもたらし、上部電極堆積のために好ましくないことがわかっている。
窒化チタン電極は、SrTiOのような超high k膜との結合に巧く用いられているが、これらの場合、窒化チタン上部電極は、物理気相堆積(PVD)により堆積される、又は下部電極のみがALD又はCVDにより堆積される。SrTiO誘電体へのTiN下部電極及びPVD白金上部電極を用いることにより良好な結果を得ることは、例えば、Menou, N., et al, 0.5 nm EOT Low Leakage ALD SrTiO on TiN MIM Capacitors for DRAM Applications IEDM 2008, San Francisco, USAに記載される。しかし、ダメージが少なく、より膜厚及び均一性の制御が正確であり、より良好なステップカバレージを有するために、ALD又はCVD堆積方法は、将来のデバイスの上部電極堆積に好ましい。
本明細書に記載されるいくつかの実施形態では、MIMキャパシタスタックのような超high k膜スタックで電極を堆積するTiClに基づく化学物質のような塩化物に基づく前駆体を使用することを可能にする。
例えば、SrTiO膜の上部に、TiClに基づく化学物質(又は他のCl、I又はBrに基づく化学物質)から窒化チタン膜を堆積する際の問題点は、TiClが誘電体を腐食し、キャパシタの電気特性を損なうことである。TiClからの電極堆積時にSrClの固相が形成されるため、大方腐食が起こる。これは、図1a及び2からわかる。
SrTiOのようなSr及びBaを含む少なくともいくつかの超high−k材料は、塩化物、臭化物又はヨウ化物のアタックに反応しやすい。TiCl化学物質は、例えば、SrTiO誘電体特性のスタックを破壊する。理論を維持することなく、室温でSrOよりも安定である固体SrClの組成によるものと考えられる。異なるハロゲン化学物質は、上部電極堆積に用いられる(Til,TiCl及びTiF)。Til及びTiClの両方は、逆に、誘電体材料の特性に影響を及ぼす。驚くべきことに、TiFは、逆に誘電体材料の特性に影響を及ぼさない。SrIがSrOよりも不安定であることが期待されるために、これは、幾分驚きである(表1参照)。
Figure 0005809152
驚くべきことに、TiFは、SrFがSrOよりも安定である事実にもかかわらず、機能した。理論では、SrFは、全てのハロゲン化Srの中で最も良好に形成される。Cl、Br又はIに基づく化学物質がSrTiOを破壊するため、当業者は、形成のエネルギーに基づいて、Fに基づく化学物質も疑うべきであると考えている。しかし、TiFがhigh−k誘電体層を腐食しないことは驚きである。特定の理論でなければ、TiFが表面をパッシベートするSrFの超薄層を形成すると考えられる。
本明細書に記載される塩化物、臭化物又はヨウ化物に基づく化学物質は、前駆体の分子に塩素、臭素又はヨウ素原子の少なくとも一つを含む前駆体を意味する。いくつかの場合、塩化金属、臭化金属又はヨウ化金属前駆体の場合における配位子全ては、それぞれ、塩素原子、臭素原子又はヨウ素原子である。例えば、ハロゲン化チタンTiXの場合には、Xがハロゲン化、臭化又はヨウ化金属であり、Xの4は、全て塩素、臭素又はヨウ素であり、好ましくは塩素である。本明細書に記載される塩化物、臭化物又はヨウ化物に基づく化学物質は、また、有機配位子を有するが、前駆体の分子に塩素、臭素又はヨウ素原子の少なくとも一つを有する前駆体を用いてもよい。
いくつかの実施形態では、基板表面は、塩化物、臭化物又はヨウ化物アタックに反応しやすい。好ましい表面は、TiClのアタックのような塩化物のアタックに反応しやすい。塩化物、臭化物又はヨウ化物アタックに反応しやすい基板表面は、誘電体材料を含む表面が好ましく、二酸化シリコンよりも誘電定数が高い材料を含むことがより好ましく、SrTiOy,BaTi,SrBa(1−TiyO及びSrBiTaのようなSr又はBa原子を有する材料が最も好ましい。本明細書で使用される塩化物、臭化物又はヨウ化物アタックとは、塩化物、臭化物又はヨウ化物が、所望の特性が所望されない、及びいくつかの場合にはそれらの意図した使用に適切でなくなるように、下にある基板又は膜の所望の特性を変化、分解又は破壊することを意味する。フッ化物は、下にある基板又は膜の所望の特性を分解する代わりに、一方で、フッ化物は、基板表面でも反応し、その後のプロセスにおいてさらなる塩化物、臭化物又はヨウ化物アタックから下にある基板又は膜の所望の特性を保護しうるパッシベーション層を形成する。
SrTi,BaTi,SrBa(1−X)TiyO及びSrBiTaのようなhigh−k膜の表面は、フッ化物を含む化学物質を用いることによりパッシベートされ、かつ保護される。high−k膜の表面は、例えば、上記にリスト化したALDリアクタの一つにおける好ましいリアクタ内でフッ化物を含む前駆体でトリートメントされる。このプロセスは、ガス状(気相)プロセスであることが好ましい。好ましいパッシベーションは、TiF,TaF,NbF,WF,MoF,VFのような一又はそれ以上の遷移金属フッ化物を用いることによりなされる。最も好ましいパッシベーションは、TiFを用いることによりなされる。しかし、NF,NHF,F,CF,SF,フッ素含有化学物質、若しくは上述した化学物質又はフッ化物原子のプラズマ及びラジカルのような他のフッ化物を含む化学物質も用いることができる。他の実施形態では、RuF及びIrFのような揮発性の腐食しない金属フッ化物が用いられてもよい。
いくつかの実施形態では、パッシベーション層は、フッ化物を含む前駆体と基板表面との反応を通じてhigh−k材料から形成される。好ましいパッシベーション層は、high−k膜から形成され、より好ましくは、SrTi,BaTi,SrBa(1−x)Ti又はSrBiTa層から形成される。いくつかの実施形態では、パッシベーション層は、基板材料の上部には形成されないことが好ましいが、むしろフッ化物を含む前駆体及びhigh−k材料自体の交換反応を通じて形成されることが好ましく、これは、超薄フッ化物パッシベーション層を形成する。しかし、他の実施形態では、導電性金属窒化パッシベーション層は、以下に説明するようにフッ素前駆体を用いて堆積されてもよい。パッシベーション層が形成されるSrTi,BaTi,SrBa(1−x)Ti及びSrBiTaのような多くのhigh−k材料は、ペロブスカイト型構造を有し、これは、アモルファス相で相対的に低いk値を有するが、結晶化された場合、高いk値を有する。いくつかの実施形態では、high−k材料は、約50から1000の範囲のk値を有することが好ましく、約60から500がより好ましく、約70から350が最も好ましい。しかし、キャパシタスタックにおけるhigh−k誘電体に対する算出されたk値は、材料自体及びhigh−k材料の厚さによって、少なくなったり、多くなったりしてもよい。
いくつかの実施形態では、パッシベーション層は、1Åから50Åの厚さの間であることが好ましく、20Åの厚さ未満であることがより好ましく、15Åの厚さ未満であることが最も好ましい。
パッシベーショントリートメントは、使用されるフッ素化学物質に応じて約20から約500℃までの基板温度でなされてもよい。反応チャンバ内でのパッシベーショントリートメント時の圧力は、通常、約0.01から約20mbarであり、約1から約10mbarであることが好ましい。しかし、いくつかの場合には、温度及び/又は圧力は、この範囲よりも高く又は低くなり、特定状況考慮して当業者により決定される。約0.05から120秒であることが好ましく、約1から60秒の間であることがより好ましく、約1から30秒の間であることがさらに好ましい、フッ素ベース化学物質の一つのパルスは、通常、表面をパッシベーションするために十分であるが、いくつかの場合、例えば、バッチリアクタ又は非常に高いアスペクト比での高いコンフォーマルステップカバレージ又は複雑な表面モフォロジーを有する他の構造が必要な場合には、必要であれば、他のパルス時間が用いられうる。
いくつかの実施形態では、フッ素化トリートメント又はパッシベーションは、ポスト堆積アニールと同時に又はその直後、すなわち、ポスト堆積アニールが行われたのと同じツールでイン−サイチュで行われうる。
一実施形態では、フッ素化パッシベーション層は、誘電体堆積後のエッチング工程時に形成される。上部電極を堆積する工程の前に、誘電体層は、パターン化され、かつエッチングされてもよく、又はパターン化無しでエッチングされてもよい。エッチング工程においてフッ素を含む化学物質を用いることは、パッシベーション層を形成しうる。
一実施形態では、フッ素化パッシベーション層は、上部電極堆積後のエッチング工程時に形成される。上部電極は、パターン化され、かつエッチングされてもよく、又はパターン化無しでエッチングされてもよい。エッチング工程においてフッ素を含む化学物質を用いることは、high−k層のパッシベーション層を形成する。
一実施形態では、フッ化物表面は、パッシベーショントリートメント後に窒素を含む化学物質でトリートメントされる。好ましくは、窒素を含む化学物質は、NH,N,窒素を含むプラズマ,ラジカル又は原子からなる群から選択される。最も好ましい窒素を含む化学物質は、NHである。窒素を含む化学物質は、また、アミノを含むシラン、アミノを含むシラザン、アミノを含むゲルマン又はアミノを含むボランのような窒素を含む化学物質から選択されてもよい。パッシベーション化学物質としてTiFを用い、かつ窒素を含む化学物質としてNHを用いることにより、導電性TiN層は、high−k誘電体層の上部に形成される。TiFのようなフッ化物前駆体を用いることにより、TiNのような導電性窒化層の堆積は、継続され、続いて、ALD又はCVDの例は、本明細書に記載されたフッ化物前駆体を用いることにより、窒化する。よって、導電性窒化パッシベーション層は、フッ化パッシベーション層の上部に形成されうる。フッ化物に基づく化学物質を用いることにより製造された導電性窒化パッシベーション層の厚さは、100Å未満であることが好ましく、より好ましくは30Å未満であり、最も好ましくは15Å未満である。複数のALDサイクル(例えばTiFパルス/NHパルス)は、所望の厚さの層を堆積するために用いられてもよい。例えば、いくつかの実施形態では、約2から約10ALD堆積サイクルが行われる。当業者は、サイクルの回数が堆積された薄膜の所望の厚さに基づいて選択されうることがわかるであろう。
いくつかの実施形態では、いずれかの型の層は、通常、集積回路がパッシベーションされた表面の上部に堆積されうる製造において用いられる。
いくつかの実施形態では、TiN電極は、TiCl及びNHを用いることによりパッシベーションされた誘電体表面の上部に堆積されてもよく、又はTiCl及びNHを用いることによりTiFに基づく化学物質を用いて製造された導電性TiN層の上部に堆積されてもよい。いくつかの実施形態では、上部電極は、以下に説明するようなフッ素を含む前駆体を用いて、ALD(又はCVD)により堆積されてもよい。
いくつかの実施形態では、high−k誘電体層のような金属酸化層は、パッシベーションされた層の上部に堆積される。この金属酸化層は、例えば、塩化物、臭化物又はヨウ化物に基づく化学物質、好ましくは塩化物に基づく化学物質のようなハロゲン化物前駆体を用いることにより、ALD又はCVD及びこれらのバリエーションのような任意の化学堆積方法を用いることにより、堆積される。例えば、HfOは、前駆体としてHfCl及びHOを用いることにより、パッシベーションされた酸化層の上部にALDにより堆積されうる。同様に、ZrOは、前駆体としてZrCl及びHOを用いることにより、パッシベーションされた酸化層の上部にALDにより堆積されうる。前駆体として塩化金属、臭化金属又はヨウ化金属を用いる金属酸化のための多くのALD及びCVD堆積プロセスが存在し、当業者は、特定の用途及びその需要に応じて、正しい金属酸化プロセス及びパッシベーションされた酸化層の上部に堆積される正しい金属酸化材料を選択できる。
いくつかの実施形態では、半導体層は、塩化物ベース化学物質を用い、ALD又はCVDのような任意の化学堆積方法を用いることにより、パッシベーションされた酸化層の上部に堆積される。
(ALD又はCVDによるパッシベーションされた表面の上部への堆積)
いくつかの実施形態では、一又はそれ以上の膜は、パッシベーションされた誘電体表面の上部又はフッ素を含む化合物を用いて製造された導電性TiN層の上部へ堆積される。
好ましくは、パッシベーションされた表面の上部へ堆積される膜は、塩素、臭素又はヨウ素を含む前駆体を用いて堆積される。図5は、基板への半導体構造を形成する一つの方法を示す模式的なフローチャートである。いくつかの実施形態では、TiN膜は、ALD又はCVDによりTiCl及びNH前駆体を用いて堆積される。
(フッ化物前駆体を用いることによるALD窒化物の堆積)
いくつかの実施形態では、上部電極は、フッ素を含む化合物を用いてhigh−k誘電体に形成される。いくつかの実施形態では、フッ素を含む化合物が上部電極の堆積に用いられうるため、パッシベーション層は、上部電極と別に形成されない。
任意の続く前駆体は、本明細書に記載の各種のALD窒化又は窒化物を含む炭化プロセスにおいて用いられうる。他の前駆体は、当業者にとって明らかであろう。特に、遷移金属フッ化物を含む前駆体が用いられる。
いくつかの実施形態では、基板表面は、塩化物、臭化物又はヨウ化物アタックに反応しやすい。好ましい表面は、TiClアタックのような塩化物アタックに反応しやすい。塩化物、臭化物又はヨウ化物アタックに反応しやすい基板表面は、誘電体材料を含む表面であることが好ましく、二酸化シリコンよりも高い誘電定数を有する材料であることがより好ましく、SrTi,BaTi,SrBa(1−X)Ti及びSrBiTaのようなSr又はBa原子を有する材料であることが最も好ましい。本明細書で用いられる塩化物、臭化物又はヨウ化物アタックとは、塩化物、臭化物又はヨウ化物が、所望の特性が所望されない、及びいくつかの場合にはそれらの意図した使用に適切でなくなるように、下にある基板又は膜の所望の特性を変化、分解又は破壊することを意味する。フッ化物は、下にある基板又は膜の所望の特性を分解する代わりに、一方で、フッ化物は、基板表面でも反応し、その後のプロセスにおいてさらなる塩化物、臭化物又はヨウ化物アタックから下にある基板又は膜の所望の特性を保護しうるパッシベーション層を形成する。
いくつかの実施形態では、金属窒化物は、フッ化物前駆体及び窒素前駆体を用いて堆積されうる。これらの実施形態では、フッ化物を含む前駆体が金属窒化物を堆積するために用いられるため、パッシベーションは、なされなくてもよい。
いくつかの実施形態では、フッ化物前駆体は、TiF,TaF,NbF,WFMoF,VFのような揮発性遷移金属フッ化物を含む。
窒化膜のALD堆積のための第2の前駆体は、例えば、NH,N,窒素を含むプラズマ、ラジカル又は原子、例えば、N/Hプラズマ、ラジカル又は原子の混合物等を含む。好ましくは窒素を含む化学物質は、NHである。窒素を含む化学物質は、また、アミノを含むシラン、アミノを含むシラザン、アミノを含むゲルマン又はアミノを含むボランのような窒素を含む化学物質から選択されてもよい。
フッ化物前駆体を用いることによる窒化物のALDは、使用されるフッ化物化学物質に応じて約20から約700℃の温度でなされうる。好ましい堆積温度は、約250から約500℃である。窒素を含むプラズマ、Nラジカル又はN原子を含まない、第2の前駆体として熱活性化窒素反応物質を用いる場合において、より好ましい堆積温度は、約300から約450℃である。反応チャンバ内での窒化物堆積時の圧力は、通常、約0.01から約20mbarであり、より好ましくは、約1から約10mbarである。しかし、いくつかの場合には、圧力は、この範囲よりも高く又は低くなり、特定状況を考慮して当業者により決定される。約0.05から20秒であることが好ましく、約1から10秒の間であることがより好ましく、約1から2秒の間であることがさらに好ましい、化学物質の一つのパルスは、通常、表面をパッシベーションするために十分であるが、いくつかの場合、例えば、バッチリアクタ又は非常に高いアスペクト比での高いコンフォーマルステップカバレージ又は複雑な表面モフォロジーを有する他の構造が必要な場合には、必要であれば、他のパルス時間が用いられうる。
いくつかの実施形態では、複数のALDサイクルは、所望の厚さで窒化物層を堆積するために用いられる。例えば、いくつかの実施形態では、約2から約1000ALD堆積サイクルが行われる。当業者は、サイクルの回数が堆積された薄膜の所望の厚さに基づいて選択されうることがわかるであろう。
好ましい実施形態では、TiNは、フッ化物前駆体としてTiFを用い、窒素前駆体としてNHを用いて、ALDにより堆積される。この実施形態の一例は、実施例1に記載される。TiFは、室温及び室圧で固体である。TiFのための好ましいソース温度範囲は、約100から約300℃であり、より好ましくは約150から約200℃である。堆積のための好ましい反応温度範囲は、約250から約700℃であり、より好ましくは約300から約450℃である。高い仕事関数が必要とされる場合には、堆積は、約250から約350℃の反応温度範囲で行われうる。
他の好ましい実施形態では、TiNは、フッ化物前駆体としてTiFを用い、窒素前駆体としてN/Hプラズマ、ラジカル又は原子の混合物を用いて、ALDにより堆積される。TiFのための好ましいソース温度範囲は、約100から約300℃であり、より好ましくは約150から約200℃である。より窒素前駆体が反応しやすくなるため、好ましい反応温度範囲は、低くてもよく、よって、好ましい反応温度範囲の下限がやや低くなる。窒素前駆体としてN/Hプラズマ、ラジカル又は原子の混合物を用いる堆積のための好ましい反応温度は、約100℃から約700℃であり、より好ましくは約200℃から約450℃である。
いくつかの実施形態では、TiFを用いて堆積されるTiN膜は、約20nm未満、好ましくは約10nm未満、より好ましくは約5nm未満の厚さを有し、約1000μΩcm未満、好ましくは約750μΩcm未満、より好ましくは約500μΩcm未満、最も好ましくは約400μΩcm未満の抵抗率を有する。10nmを下回る非常に薄い膜での厚さは、膜の抵抗率を増加させうる。
いくつかの実施形態では、フッ素が仕事関数を増加させうるため、TiFを用いて堆積されるTiN膜は、所望の不純物としてのフッ素を有する。TiFを用いて堆積されるTiN膜は、フッ素の0.5at−%超、より好ましくは2at−%超のフッ素含有量を有してもよく、必要であれば5at−%超のフッ素がTiN膜に組み込まれてもよい。
さらに他の実施形態では、TaCN又はNbCNは、フッ化物前駆体としてTaF 又はNbFを用い、窒素前駆体としてヘキサメチルジシラザン(hexamethyldisilazan(HMDS)),テトラメチルジシラザン(tetramethyldisilazane (TMDS)),及びバイスジエチルアミノシラン(bisdiethylaminosilane(BDEAS))を用いて、ALDにより堆積されてもよい。これらのプロセスの詳細は、米国特許公開第20080317955号からわかり、参照により本明細書に援用される。この実施形態のための一例は、図2に記載される。
金属電極堆積工程でのフッ素を含む化学物質を使用することのさらに別の利益は、形成された金属膜にフッ素不純物が残ることである。フッ素は、最も電気陰性の原子として知られ、かつ、例えば、ref. Appl. Phys. Lett. 96, 053506 (2010)に示されるように、形成された金属層の仕事関数を増加させる。金属層の仕事関数は、MIMキャパシタのリーク電流密度を求める最も重要なパラメータの一つであり、したがって、金属層の高い仕事関数は、より所望される。金属ゲートの仕事関数は、また、トランジスタ用途での閾値電圧を主として求め、高い仕事関数材料は、PMOS用に好ましい。PMOS用の適切な仕事関数の範囲は、5.0−5.2evの範囲内である。金属ゲート用途及び仕事関数の調整は、また、米国特許第7045406号及び第6858524号に開示され、この両方は、参照により本明細書に援用される。
(フッ化物前駆体を用いたCVD又はパルスCVDによる窒化物の堆積)
任意の続く前駆体は、本明細書に記載の各種のALD窒化又は炭素を含む窒化プロセスにおいて用いられうる。特に、遷移金属フッ化物を含む前駆体が開示される。窒化チタン堆積のパルスCVDのための堆積は、例えば、米国特許出願第20060060137号から理解され、米国特許出願第20060060137号の金属前駆体を本明細書に記載のフッ化物前駆体により置換することにより、任意の金属窒化物のパルスCVDは、同様の手法でなされうる。
いくつかの実施形態では、基板表面は、塩化物、臭化物又はヨウ化物アタックに反応しやすい。好ましい表面は、TiClアタックのような塩化物アタックに反応しやすい。塩化物、臭化物又はヨウ化物アタックに反応しやすい基板表面は、誘電体材料を含む表面であることが好ましく、二酸化シリコンよりも高い誘電定数を有する材料であることがより好ましく、SrTi,BaTi,SrBa(1−X)Ti及びSrBiTaのようなSr又はBa原子を有する材料であることが最も好ましい。本明細書で用いられる塩化物、臭化物又はヨウ化物アタックとは、塩化物、臭化物又はヨウ化物が、所望の特性が望まれない、及びいくつかの場合にはそれらの意図した使用に適切でなくなるように、下にある基板又は膜の所望の特性を変化、分解又は破壊することを意味する。フッ化物は、下にある基板又は膜の所望の特性を分解する代わりに、一方で、フッ化物は、基板表面でも反応し、その後のプロセスにおいてさらなる塩化物、臭化物又はヨウ化物アタックから下にある基板又は膜の所望の特性を保護しうるパッシベーション層を形成する。
いくつかの実施形態では、金属窒化物は、フッ化物前駆体及び窒素前駆体を用いて堆積されうる。これらの実施形態では、フッ化物を含む前駆体が金属窒化物を堆積するために用いられるため、パッシベーションは、なされなくてもよい。
いくつかの実施形態では、フッ化物前駆体は、TiF,TaF,NbF,WF,MoF,VFのような揮発性遷移金属フッ化物を含む。
窒化膜のCVD堆積のための第2の前駆体は、例えば、NH,N、窒素を含むプラズマ、ラジカル又は原子、例えば、N/Hプラズマ、ラジカル又は原子の混合物等を含む。好ましくは窒素を含む化学物質は、NHである。窒素を含む化学物質は、また、アミノを含むシラン誘導体、アミノを含むシラザン誘導体、アミノを含むゲルマン誘導体又はアミノを含むボラン誘導体のような窒素を含む化学物質から選択されてもよい。
フッ化物前駆体を用いることによる窒化物のCVDは、使用されるフッ化物化学物質に応じて約20から約700℃の温度でなされうる。好ましい堆積温度は、約250から約500℃である。窒素を含むプラズマ、Nラジカル又はN原子を含まない、第2の前駆体として熱活性化窒素反応物質を用いる場合において、より好ましい堆積温度は、約300から約450℃である。窒素を含むプラズマ、Nラジカル又はN原子を用いる実施形態では、より窒素前駆体が反応しやすくなるため、好ましい反応温度範囲は、低くてもよく、よって、好ましい反応温度範囲の下限がやや低くなる。窒素前駆体としてN/Hプラズマ、ラジカル又は原子の混合物を用いる堆積のための好ましい反応温度は、約20℃から約700℃であり、より好ましくは約100℃から約450℃である。
反応チャンバ内での窒化物堆積時の圧力は、通常、約0.01から約20mbarであり、より好ましくは、約1から約10mbarである。しかし、いくつかの場合には、圧力は、この範囲よりも高く又は低くなり、特定環境を考慮して当業者により決定される。パルスCVDの場合には、例えば、フッ化物化学物質がパルスとして反応チャンバへ導入される間に、窒素を含む前駆体のような第2の前駆体は、反応チャンバ内へ連続的な手法で流入されてもよい。パルスCVDのいくつかの場合には、両方の前駆体は、パルスとして反応チャンバに同時に流入されてもよい。パルスは、部分的に又は全体的に互いに重複してもよい。CVDの場合には、両方の前駆体は、連続的な手法として反応チャンバに同時に流入されてもよい。
好ましい実施形態では、TiNは、フッ化物前駆体としてTiFを用い、窒素前駆体としてNHを用いて、CVD又はパルスCVDにより堆積される。この実施形態の一例は、実施例3に記載される。TiFのための好ましいソース温度範囲は、約100から約300℃であり、より好ましくは約150から約200℃である。堆積のための好ましい反応温度範囲は、約250から約700℃であり、より好ましくは約300から約450℃である。
さらに他の実施形態では、TiNは、フッ化物前駆体としてTiFを用い、窒素前駆体としてNHを用いて、パルスCVDにより堆積される。好ましい実施形態では、NHパルスは、TiFパルスよりも長い。他の実施形態では、リアクタへのNHフローは、一定に維持され、TiFパルスは、リアクタへ導入される。他の実施形態では、リアクタへのNHフローは、TiFパルス時に、一定であるが減少し、好ましくは約50%よりも多く減少し、さらに好ましくは約75%よりも多く減少する。
他の好ましい実施形態では、TiNは、フッ化物前駆体としてTiFを用い、窒素前駆体としてN/Hプラズマ、ラジカル又は原子を用いて、CVD又はパルスCVDにより堆積される。TiFのための好ましいソース温度範囲は、約100から約300℃であり、より好ましくは約150から約200℃である。より窒素前駆体が反応しやすくなるため、好ましい反応温度範囲は、低くてもよく、よって、好ましい反応温度範囲の下限がやや低くなる。窒素前駆体としてN/Hプラズマ、ラジカル又は原子の混合物を用いる堆積のための好ましい反応温度は、約100℃から約700℃であり、より好ましくは約200℃から約450℃である。
いくつかの実施形態では、TiFを用いて堆積されるTiN膜は、約20nm未満、好ましくは約10nm未満、より好ましくは約5nm未満の厚さを有し、約1000μΩcm未満、好ましくは約500μΩcm未満、より好ましくは約300μΩcm未満、最も好ましくは約200μΩcm未満抵抗率を有する。10nmを下回る非常に薄い膜での厚さは、膜の抵抗率を増加させうる。
いくつかの実施形態では、フッ素が仕事関数を増加させうるため、TiFを用いて堆積されるTiN膜は、所望の不純物としてのフッ素を有する。TiFを用いて堆積されるTiN膜は、フッ素の0.5at−%超、より好ましくは2at−%超のフッ素含有量を有してもよく、必要であれば5at−%超のフッ素がTiN膜に組み込まれてもよい。
さらに他の実施形態では、TaCN又はNbCNは、フッ化物前駆体としてTaF又はNbFを用い、窒素前駆体としてヘキサメチルジシラザン(hexamethyldisilazan(HMDS)),テトラメチルジシラザン(tetramethyldisilazane(TMDS)),及びバイスジエチルアミノシラン(bisdiethylaminosilane(BDEAS))を用いて、CVD又はパルスCVDにより堆積されてもよく、他の前駆体は米国特許出願2008031795号に記載される。
金属電極堆積工程でのフッ素を含む化学物質を使用することのさらに別の利益は、形成された金属膜にフッ素不純物が残ることである。フッ素は、最も電気陰性の原子として知られ、かつ、例えば、ref. Appl. Phys. Lett. 96, 053506 (2010)に示されるように、形成された金属層の仕事関数を増加させる。金属層の仕事関数は、MIMキャパシタのリーク電流密度を求める最も重要なパラメータの一つであり、したがって、金属層の高い仕事関数は、より所望される。金属ゲートの仕事関数は、また、トランジスタ用途での閾値電圧を主として求め、高い仕事関数材料は、PMOS用に好ましい。PMOS用の適切な仕事関数の範囲は、5.0−5.2eVの範囲内である。
(フッ素を含む化学物質を用いることにより堆積された材料の仕事関数)
好ましくは、フッ素を含む化学物質を用いて堆積された材料の仕事関数は、4.8eVより大きなゲート又はキャパシタスタックの有効仕事関数をもたらし、より好ましくは4.9eVより大きく、最も好ましくは5.0eVより大きく、トランジスタの金属ゲート用途での最適な範囲は約5.0eVから5.2eVである。キャパシタ用途のためには、より大きな仕事関数を有していることが好ましく、この場合、仕事関数は、5.2eVより大きい、又はいくつかの場合には、5.3eVより大きいことが好ましい。
キャリア/電子注入のためのエネルギー障壁又は伝導帯オフセットを増加させるため、キャパシタ用途での電極としての高い仕事関数材料の使用は、リーク電流を低減する。高い仕事関数は、また、キャパシタ用途において、誘電体が、例えば、SrTi,BaTi,BaSrTi,又はSrBiTaのようなBa又はSrを含む誘電体以外のものであることが好ましい。キャパシタ用途における誘電体は、例えば、ZrSiO,HfSiO,ZAZ(ZrO−Al−ZrOスタック),ZrO,HfOのようなZr又はHfに基づく材料でありうる。
例えば金属ゲート用途、すなわち、トランジスタ構造における用途では、構造が金属−絶縁体−半導体(MIS)であり、high−k誘電体が塩化物、臭化物、又はヨウ化物アタックに反応しにくい場合に、high−k層とフッ化物化学物質を用いて堆積されるTiN層と間にフッ化物以外の前駆体を用いて製造される薄いTiN層を有することが好ましい。これは、例えば、TiCl、TiBr、TiI又は有機金属チタン化合物を用いることによりなされうる。トランジスタ用途でのhigh−k誘電体は、例えば、ZrSiO,HfSiO,ZAZ(ZrO−AlO−ZrOスタック),ZrO,HfO、又は任意の誘電体材料のようなZr又はHfに基づく材料でありうる。このように、フッ化物を含む前駆体以外の前駆体を用いて製造される薄いTiN層の厚さを制御することにより、ゲートスタックの特性は、最適値に調整されうる。フッ化物を含む前駆体以外の前駆体(すなわち、TiN層厚さが薄い)を用いて製造される薄いTiN層の厚さの制御は、ゲートスタック全体の仕事関数でのフッ素の効果を制御する。フッ化物を含む前駆体以外の前駆体を用いて製造される薄いTiN層の厚さは、約2から約50Åを有することが好ましく、約5から約30Åを有することがより好ましく、約10から約20Åを有することが最も好ましい。
(high−kキャパシタスタックの堆積のためのプロセスフロー)
任意の続くプロセスフロー又はプロセスフローの一部又はプロセスは、本明細書に前述した各種のALD、CVD窒化又は炭素を含む窒化プロセス及びフッ化トリートメントにおいて用いられうる。
一実施形態では、前述した本明細書に記載のプロセスは、約50mmから675mmの直径を有する、好ましくは約100mmから450mmの直径を有する、最も好ましくは約200mmから450mmを有するシリコンウェーハを用いることにより、キャパシタ構造又はキャパシタ構造の一部を製造するために用いられる。
使用されうるALD、CVD窒化又は炭素を含む窒化プロセス及びフッ化トリートメントのためのプロセス条件及びパラメータは、前述したものと同様であることが好ましい。
いくつかの実施形態では、high−k材料は、塩化物、臭化物又はヨウ化物と反応しやすい。好ましくは、表面は、塩化物アタック、より好ましくはTiClと反応しやすい。塩化物、臭化物又はヨウ化物アタックに反応しやすい基板表面は、誘電体材料を含む表面であることが好ましく、二酸化シリコンよりも高い誘電定数を有する材料であることがより好ましく、SrTi,BaTi,SrBa(1−X)Ti及びSrBiTaのようなSr又はBa原子を有する材料であることが最も好ましい。本明細書で用いられる塩化物、臭化物又はヨウ化物アタックとは、塩化物、臭化物又はヨウ化物が、所望の特性が所望されない、及びいくつかの場合にはそれらの意図した使用に適切でなくなるように、下にある基板又は膜の所望の特性を変化、分解又は破壊することを意味する。フッ化物は、下にある基板又は膜の所望の特性を分解する代わりに、一方で、フッ化物は、基板表面でも反応し、その後のプロセスにおいてさらなる塩化物、臭化物又はヨウ化物アタックから下にある基板又は膜の所望の特性を保護しうるパッシベーション層を形成する。
SrTi,BaTi,SrBa(1−x)Ti及びSrBiTaのような多くのhigh−k材料は、ペロブスカイト型構造を有し、これは、アモルファス相で相対的に低いk値を有するが、結晶化された場合、高いk値を有し、好ましくは約50から1000の範囲、より好ましくは約60から500の範囲、最も好ましくは約70から350の範囲である。しかし、キャパシタスタックにおけるhigh−k誘電体に対する算出されたk値は、材料自体及びhigh−k材料の厚さによって、少なくなったり、多くなったりしてもよい。ポスト堆積アニールは、通常、これらの膜の結晶化のために行われる。しかし、いくつかの場合、high−k膜は、堆積後、ポスト堆積アニール工程前に全体的又は部分的に結晶化されてもよく、この場合、ポスト堆積アニールは、必要が無ければ行われないが、膜のよりよい電気的特性を得るために行われてもよい。
ポスト堆積アニール、例えば、high−k膜層の堆積後のいくつかの工程において行われるアニールは、high−k堆積の次の工程又は上部電極堆積の次の工程であることが好ましく、high−k堆積の後、かつフッ化物パッシベーションの前の工程であることが最も好ましく、約300から約800℃の温度で製造されることが好ましく、約400から約700℃がより好ましく、約500から約650℃が最も好ましい。ポスト堆積アニールのための雰囲気は、例えば、N,N/H(形成ガス),O,Ar,Heを含みうる。いくつかの場合には、フッ化物トリートメントは、ポスト堆積アニールと同時に又はその直後、すなわち、ポスト堆積アニールが行われたのと同じツールでイン−サイチュで行われうる。
一実施形態では、本明細書に記載される構造を製造するためのプロセスフロー又はプロセスフローの一部を以下に示す。
一実施形態では、下部電極材料は、PVD、CVD又はALDのような任意の堆積方法で堆積される。好ましくは、下部電極は、CVD又はALDで堆積される。いくつかの実施形態では、材料は、例えば、TiN,TaCN,NbCN,Pt,SrRuO,RuTiN又はRuでありうる。いくつかの実施形態では、下部電極は、また、本明細書に記載のプロセスを用いることにより堆積されうる。
いくつかの実施形態では、本明細書に記載の任意の方法を用いることにより、high−k誘電体は、その後、堆積される、つまり、塩化物、臭化物又はヨウ化物アタックに反応しやすい、又はSrTi,BaTi,BaSrTi,SrBiTaのようなBa又はSrを含む誘電体のようなパッシベーション層を必要とする。好ましくは、high−k誘電体は、ALD又はCVDを用いて堆積される。high−k誘電体は、例えば、米国特許第710874号及び米国特許公開第20060219157号に記載されるようなBa又はSrのCp化合物を用いて堆積されてもよい。high−k層の堆積のための方法は、また、米国特許第7108747号及び米国特許公開第20060219157号に記載される。いくつかの実施形態では、high−k誘電体は、フッ素を含む化合物を用いて堆積されうる。
いくつかの実施形態では、high−k堆積後に、ポスト堆積アニール(PDA)は、high−kの結晶化がなされうる。high−k堆積直後になされない場合、上部電極堆積後にもなされうる。好ましくは、約300から約800℃でなされ、より好ましくは約400から約700℃でなされ、最も好ましくは約500から約650℃でなされる。ポスト堆積アニールのための雰囲気は、例えば、N,N/H(形成ガス),O,Ar,Heを含みうる。いくつかの場合には、フッ化物トリートメントは、ポスト堆積アニールと同時に又はその直後、すなわち、ポスト堆積アニールが行われたのと同じツールでイン−サイチュで行われうる。
いくつかの実施形態では、high−k層は、フッ化物トリートメントによりパッシベーションされる。好ましくは、パッシベーション層を形成するフッ化物化学物質を用いることによりなされる。好ましくは、TiF,TaF,NbF,WF,MoF,VFのような遷移金属フッ化物を用い、最も好ましくはTiFを用いる。いくつかの実施形態では、NF,NHF,F,CF,SF,フッ素含有化学物質、又は上述した化学物質のプラズマ及びラジカル又はフッ化物原子のような他のフッ化物を含む化学物質が、また、用いられうる。フッ化物前駆体は、また、RuF及びIrFのような揮発性の腐食しない金属フッ化物から選択されてもよい。いくつかの実施形態では、HfF及びZrF4もまた用いられうる。
いくつかの実施形態では、フッ化物化学物質の一つのパルスは、パッシベーション層を形成するために十分である。他の実施形態では、パッシベーション層膜は、例えば、TiFのような対応するフッ化物化学物質及びNHのような対応する窒素化学物質を用いることにより、かつ本明細書で前述したような多くのサイクルで堆積することにより、堆積されうる。他の一実施形態では、パッシベーション層は、フッ素を含む化学物質を用いるプラズマエッチング工程のような、high−k層のためのエッチング工程中に形成されてもよい。パッシベーション層膜の厚さは、約100Å未満が好ましく、約30Å未満がより好ましく、約15Å未満が最も好ましい。
次に、上部電極は、TiCl化学物質を用いることにより堆積されてもよく、又はパッシベーション層が無いhigh−k層をアタックする他の化学物質により堆積されてもよい。好ましくは、上部電極は、CVD又はALDを用い、TiCl化学物質を用いることにより製造される。より好ましくは、このプロセスは、例えば、米国特許出願第2006006013号に記載したようなパルスCVD又はALDである。いくつかの実施形態では、上部電極は、本明細書に記載されるフッ素を含む任意の化合物を用いて堆積されうる。
上部電極が、下にあるhigh−k層を腐食しない方法で堆積された場合、フッ化物パッシベーションは、上部電極堆積工程の後、追加でなされうる。上部電極堆積工程の後、フッ素を含む化学物質を用いたエッチング工程は、MIMスタックをパターンニングするために用いられる。high−k層のフッ化物パッシベーションは、エッチング工程時に形成される。上部電極形成後のパッシベーションは、例えば、high−k材料の側壁との所望しない反応のような、所望しない次のプロセスの材料との反応からhigh−k層を保護しうる。
いくつかのプロセスフローの一部は、実施例4−7に記載される。一実施形態での一般化したプロセスは、図5に記載される。
記載されたプロセスフローは、キャパシタ構造の堆積のために、記載されたように用いられうる、又はその一部として用いられうる。当業者は、対象のキャパシタ構造の特定の型のための正しいプロセスフロー又はプロセスフローの一部を適切に選択できる。例えば、いくつかの場合において、望まれた場合、本明細書に記載のプロセスを用いて、パッシベーション層膜を下部電極と遷移金属フッ化物及び窒素を含む化学物質から、好ましくはTiF 及びNHからのhigh−k材料との間に堆積することが可能である。
さらに、本明細書に記載のパッシベーションプロセスは、また、MIMスタック及び本明細書に記載の他の構造を製造するためだけではなく、他の表面又はプロセスフローのために用いられうる。
(high−kキャパシタスタックの構造)
図6に示すように、本明細書に記載のプロセスは、high−k層30と電極層との間、好ましくはhigh−k層30と上部電極層21との間にフッ素又はフッ化物界面層40を含む層を有するキャパシタスタックを堆積するために用いられうる。いくつかの実施形態では、フッ素を含む層は、また、high−kからの材料又は上にある上部電極からの金属を含みうる。フッ素を含む層40は、また、図7に示すように下部電極20とhigh−k層30との間であってもよく、図8に示すように下部電極21とhigh−k層30との間及び上部電極21とhigh−k層30との間の両方であってもよい。導電性窒化パッシベーション層41は、図9に示すようにフッ素を含む層40の上部であってもよい。好ましくは、導電性窒化パッシベーション層41の厚さは、約100Å未満であり、より好ましくは約30Å未満であり、最も好ましくは約15Å未満である。さらなる金属酸化層31は、図10に示すようにフッ化物パッシベーション層の上部にあってもよい。キャパシタスタックは、シリコンウェーハ10に堆積されることが好ましい。
フッ素を含む層40の厚さは、約1Åから約50Åの間であることが好ましく、約2Åから約20Åの間であることがより好ましく、約3Åから約15Åの間であることが最も好ましい。当業者は、1−3Å厚さの膜のような超薄膜が連続せず、膜として理解されないことを理解するであろう。しかし、ここで、膜という単語は、シンプルに用いられる。
high−k膜49は、SrTi,BaTi,SrBa(1−X)Ti及びSrBiTa又はその混合物を含むことが好ましいがこれに限定されず、ペロブスカイト型の結晶構造を有する。
下部電極層20は、Si,SiGe,TiN,TaCN,NbCN,Pt,SrRuO,RuTiN又はRuを含むことが好ましい。下部電極層厚さは、約1から50nmであることが好ましく、約3から30nmであることがより好ましく、約5から20nmであることが最も好ましい。
上部電極層21は、TiN,TaCN,NbCN,Pt,SrRuO,RuTiN又はRuを含むことが好ましい。上部電極層厚さは、約1から50nmであることが好ましく、約3から30nmであることがより好ましく、約5から20nmであることが最も好ましい。
キャパシタスタックは、トレンチ、ポア及び積層された膜を含む三次元構造のような非平面構造を含んでもよい。
本明細書に記載のスタックの構造は、キャパシタスタックの状況で開示されているが、当業者は、NMOS及びPMOS構造のようなトランジスタ構造のような本明細書に記載された他の構造の実施形態を用いることができる。
(実施例1)
ALD TiN膜は、二酸化シリコン及びSrTiO基板の両方に395℃の反応温度で反応物質としてTiF及びNHを用いることにより、堆積された。TiFのソース温度は、170℃である。TiFのパルス時間は、6秒であり、パージ時間は、5秒であった。NHパルス時間は、2秒であり、パージ時間は、10秒であった。
例えば、SrTiO表面で、反応物質としてTiF及びNHを用いることによりALD TiN膜を堆積した場合、損傷又は腐食は観察されなかった。TiNの堆積は、TiClに基づく化学物質を用いることにより継続されたが、SrTiOの損傷又は腐食は無かった。
(比較例1)
ALD TiN膜は、SrTiO基板に反応物質としてTiF及びNHを用いることにより、堆積された。TiN膜は、また、SrTiO基板に反応物質としてTiF及びNHを用いることにより、堆積された。TiCl及びTiIの両方を用いるALDプロセスは、SrTiO表面に損傷及び腐食をもたらした。図1a及び2を参照。
(実施例2)
TaCNは、400℃でのバッチALDリアクタにおいて、TaF及びテトラメチルジシラザン(TMDS)を用いることにより、200及び300mmシリコンウェーハでのSrTiO膜の上部に堆積され、このプロセスは米国特許出願第20080317955号に記載される。SrTiO膜への損傷は観察されなかった。
類似のNbCNは、TaFの置き換えとしてのNbFを用いることにより堆積され、SrTiO膜への損傷は観察されなかった。
TiNの堆積は、必要に応じて、TiClに基づく化学物質を用いることにより継続されたが、SrTiOの損傷又は腐食は無かった。
(実施例3)
CVD TiN膜は、400−600℃の反応温度で反応物質としてTiF及びNHを用いることにより、堆積された。TiFのソース温度は、約170から約200℃であった。約50sccmから約5000sccmの範囲でのNHの連続的なフローは、反応チャンバへ導入された。TiFは、NHを反応チャンバへ連続的に流しながら、連続的な手法で反応チャンバへ導入される、又は好ましくはパルス状で導入される。
(実施例4)
例えば、SrTiO表面で、反応物質としてTiF及びNHを用いることによりCVD TiN膜を堆積した場合、high−k材料への損傷又は腐食は観察されなかった。TiNの堆積は、TiClに基づく化学物質を用いることにより継続されたが、SrTiOの損傷又は腐食は無かった。
ALD SrTiOは、250℃のASM Pulsar(登録商標)2000で堆積された。(1,2,4−第三級ブチル−Cp)Srは、Sr前駆体として用いられ、170℃の温度で加熱された。Ti(OMe)は、Ti前駆体として用いられ、145℃の温度で加熱された。室温21℃でのHOは、酸化剤として用いられた。ALD堆積は、次の手法でのリアクタへの連続的なパルスにより行われた:x・[(1,2,4−第三級ブチル−Cp)Srパルス、(1,2,4−第三級ブチル−Cp)Srパージ、HOパルス、HOパージ]、y・[Ti(OMe)パルス,Ti(OMe)パージ,HOパルス、HOパージ]。x=2及びy=1が用いられ、わずかにSrリッチが導かれた。パルス及びパージ時間は、以下のようになる:(1,2,4−第三級ブチル−Cp)Srパルスを5秒、(1,2,4−第三級ブチル−Cp)Srパージを10秒、HOパルスを0.5秒、HOパージを5秒、Ti(OMe)パルスを4秒、Ti(OMe)パージを10秒、HOパルスを0.5秒、HOパージを5秒。
パルスシーケンスは、175回繰り返され、約30nmの平均厚さでSrTiO膜が得られた。
その後、前記段落で説明した手法で堆積されたSrTiO膜は、他のASM Pulsar(登録商標)2000リアクタへ移送され、ALD TiN上部電極が395℃で堆積された。上部電極は、次の手法で堆積された:6秒TiF(170℃に維持)パルスがリアクタに導入され、その後、5秒パージ、その後、2秒NHパルス、その後、10秒パージ。この後、ALD TiNは、500回のTiCl+NHサイクルにより395℃で堆積された。TiCl及びNHは、室温の21℃であった。パルス及びパージ時間は、TiClパルス0.1秒、TiClパージ3秒、NHパルス2秒、NHパージ4秒であった。図1(b)は、ウェーハの写真を示し、図2は、TiN上部電極のシート抵抗を示す。
(実施例5)
ALD SrTiOは、ASM Pulsar(登録商標)2000リアクタにおいて250℃で堆積された。(1,2,4−第三級ブチル−Cp)Srは、Sr前駆体として用いられ、170℃の温度で加熱された。Ti(OMe)は、Ti前駆体として用いられ、145℃の温度で加熱された。室温21℃でのHOは、酸化剤として用いられた。ALD堆積は、次の手法でのリアクタへの連続的なパルスにより行われた:x・[(1,2,4−第三級ブチル−Cp)Srパルス、(1,2,4−第三級ブチル−Cp)Srパージ、HOパルス、HOパージ]、y・[Ti(OMe)パルス,Ti(OMe)パージ,HOパルス、HOパージ]。x=2及びy=1が用いられ、わずかにSrリッチ膜が導かれた。パルス及びパージ時間は、以下のようになる:(1,2,4−第三級ブチル−Cp)Srパルスを5秒、(1,2,4−第三級ブチル−Cp)Srパージを10秒、HOパルスを0.5秒、HOパージを5秒、Ti(OMe)パルスを4秒、Ti(OMe)パージを10秒、HOパルスを0.5秒、HOパージを5秒。
パルスシーケンスは、175回繰り返され、約30nmの平均厚さでSrTiO膜が得られた。
その後、前記段落で説明した手法で堆積されたSrTiO膜は、他のASM Pulsar(登録商標)2000リアクタへ移送され、ALD TiN上部電極が395℃で堆積された。上部電極は、次の手法で堆積された:1秒WF(室温21℃に維持)パルスがリアクタに導入され、その後、3秒パージ。この後、ALD TiNは、500回のTiCl+NHサイクルにより395℃で堆積された。TiCl及びNHは、室温の21℃であった。パルス及びパージ時間は、TiClパルス0.1秒、TiClパージ3秒NHパルス2秒、NHパージ4秒であった。図3(a)は、ウェーハの写真を示す。
(実施例6)
ALD SrTiOは、ASM Pulsar(登録商標)2000リアクタにおいて250℃で堆積された。(1,2,4−第三級ブチル−Cp)Srは、Sr前駆体として用いられ、170℃の温度で加熱された。Ti(OMe)は、Ti前駆体として用いられ、145℃の温度で加熱された。室温21℃でのHOは、酸化剤として用いられた。ALD堆積は、次の手法でのリアクタへの連続的なパルスにより行われた:x・[(1,2,4−第三級ブチル−Cp)Srパルス、(1,2,4−第三級ブチル−Cp)Srパージ、HOパルス、HOパージ]、y・[Ti(OMe)パルス,Ti(OMe)パージ,HOパルス、HOパージ]。パルス及びパージ時間は、以下のようになる:(1,2,4−第三級ブチル−Cp)Srパルスを5秒、(1,2,4−第三級ブチル−Cp)Srパージを10秒、HOパルスを0.5秒、HOパージを5秒、Ti(OMe)パルスを4秒、Ti(OMe)パージを10秒、HOパルスを0.5秒、HOパージを5秒。
パルスシーケンスは、175回繰り返され、約30nmの平均厚さでSrTiO膜が得られた。
その後、前記段落で説明した手法で堆積されたSrTiO膜は、他のASM Pulsar(登録商標)2000リアクタへ移送され、ALD TiN上部電極が395℃で堆積された。上部電極は、次の手法で堆積された:1秒WF(室温21℃に維持)パルスがリアクタに導入され、その後、3秒パージ、その後、2秒NHパルス、その後、10秒パージ。この後、ALD TiNは、500回のTiCl+NHサイクルにより395℃で堆積された。TiCl及びNHは、室温の21℃であった。パルス及びパージ時間は、TiClパルス0.1秒、TiClパージ3秒NHパルス2秒、NHパージ4秒であった。図4(b)は、ウェーハの写真を示す。
(実施例7)
ALD SrTiOは、ASM Pulsar(登録商標)2000リアクタにおいて250℃で堆積された。(1,2,4−第三級ブチル−Cp)Srは、Sr前駆体として用いられ、170℃の温度で加熱された。Ti(OMe)は、Ti前駆体として用いられ、145℃の温度で加熱された。室温21℃でのHOは、酸化剤として用いられた。ALD堆積は、次の手法でのリアクタへの連続的なパルスにより行われた:x・[(1,2,4−第三級ブチル−Cp)Srパルス、(1,2,4−第三級ブチル−Cp)Srパージ、HOパルス、HOパージ]、y・[Ti(OMe)パルス,Ti(OMe)パージ,HOパルス、HOパージ]。パルス及びパージ時間は、以下のようになる:(1,2,4−第三級ブチル−Cp)Srパルスを5秒、(1,2,4−第三級ブチル−Cp)Srパージを10秒、HOパルスを0.5秒、HOパージを5秒、Ti(OMe)パルスを4秒、Ti(OMe)パージを10秒、HOパルスを0.5秒、HOパージを5秒。
パルスシーケンスは、175回繰り返され、約30nmの平均厚さでSrTiO膜が得られた。
その後、前記段落で説明した手法で堆積されたSrTiO膜は、他のASM Pulsar(登録商標)2000リアクタへ移送され、ALD TiN上部電極が395℃で堆積された。ALD TiNは、500回のTiF+NHサイクルにより395℃で堆積された。TiClは、170℃で維持され、NHは、室温の21℃であった。パルス及びパージ時間は、TiFパルス6秒、TiFパージ5秒NHパルス2秒、NHパージ10秒であった。図4は、ウェーハの写真を示す。
(実施例8)
SrTiO膜は、任意の方法、好ましくはALD又はCVDにより基板へ堆積された。SrTiOは、アモルファス相又はペロブスカイト相のいずれかとして堆積される、又はポストアニールトリートメント(PDA)により追加で結晶化される。その後、SrTiO膜は、例えば、TiF,TaF,NbF,WF,MoF又はVFのようなフッ化物を含む前駆体に露出される。最も好ましいパッシベーションは、TiFを用いてなされる。しかし、他のフッ化物を含む化学物質は、また、NF,NHF,F,CF,SF,フッ素を含む化学物質、又は上述した化学物質のプラズマ及びラジカル又はフッ化物原子のようなものが用いられうる。他の実施形態では、フッ化物前駆体は、RuFx 及び IrFxのような揮発性の腐食しない金属フッ化物を含む。その後、上部電極は、塩化物、臭化物又はヨウ化物を含む前駆体、好ましくはTiClを用い、ALD技術によりパッシベーションされたSrTiOに堆積された。
(実施例9)
SrTiO膜は、任意の方法、好ましくはALD又はCVDにより基板へ堆積された。SrTiOは、アモルファス相又はペロブスカイト相のいずれかとして堆積される、又はポストアニールトリートメント(PDA)により追加で結晶化される。その後、SrTiO膜は、例えば、TiF,TaF,NbF,WF,MoF又はVFのようなフッ化物を含む前駆体に露出される。最も好ましいパッシベーションは、TiFを用いてなされる。しかし、他のフッ化物を含む化学物質は、また、NF,NHF,F,CF,SF,フッ素を含む化学物質、又は上述した化学物質のプラズマ及びラジカル又はフッ化物原子のようなものが用いられうる。他の実施形態では、フッ化物前駆体は、RuFx及びIrFxのような揮発性の腐食しない金属フッ化物を含む。その後、上部電極は、塩化物、臭化物又はヨウ化物を含む前駆体、好ましくはTiClを用い、CVD又はパルスCVD技術によりパッシベーションされたSrTiOに堆積された。
(実施例10)
SrTiO膜は、任意の方法、好ましくはALD又はCVDにより基板へ堆積された。SrTiOは、アモルファス相又はペロブスカイト相のいずれかとして堆積される、又はポストアニールトリートメント(PDA)により追加で結晶化される。その後、SrTiO膜は、例えば、NF,NHF,F,CF,SF,フッ素を含む化学物質、又は上述した化学物質のプラズマ及びラジカル又はフッ化物原子のようなフッ素を含む前駆体を用いるエッチング工程に対して露出される。その後、上部電極は、塩化物、臭化物又はヨウ化物を含む前駆体、好ましくはTiClを用い、ALD技術によりパッシベーションされたSrTiOに堆積された。
(実施例11)
SrTiO膜は、任意の方法、好ましくはALD又はCVDにより基板へ堆積された。SrTiOは、アモルファス相又はペロブスカイト相のいずれかとして堆積される、又はポストアニールトリートメント(PDA)により追加で結晶化される。その後、上部電極は、例えば、PVD又はALD又は有機金属前駆体を用いるCVDによる下にあるSrTiO層を破壊しない方法によりSrTiOに堆積された。その後、上部電極は、パターン化され、かつSrTiO膜のフッ化物パッシベーション層を形成するフッ素を含む化学物質でエッチングされる。
(実施例12)
TiNは、300°C,350°C及び425°Cの各種温度でSi/HfO基板にTiCl+NH化学物質を用いて堆積される。堆積後、形成されたTiN層の有効仕事関数が測定され、5.25eV(300°C),5.25eV(350°C),4.97eV(425°C)であった。
当業者により、本発明から逸脱されない範囲で種々の変更および変形が当業者によりなされうることがわかる。同様の他の変更および変形は、係属中の特許請求の範囲により規定されるような、本発明の範囲内で逸脱しないことが意図される。

Claims (10)

  1. 反応チャンバ内の基板に窒化チタンを含む薄膜を形成する化学気相成長(CVD)方法であって、
    前記反応チャンバ内にhigh−k層を有する基板を提供する工程と、
    気相フッ化チタンを前記反応チャンバに提供する工程と、
    窒素を含む気相反応物質を前記反応チャンバに提供し、窒素を含む前記気相反応物質が前記フッ化チタンと反応して、窒化チタンを含む薄膜を形成する工程と、
    塩素、臭素又はヨウ素を含むチタン前駆体を用いて、形成された前記窒化チタンを含む薄膜に亘って窒化チタン層をさらに堆積する工程と、を含む方法。
  2. 反応チャンバ内の基板に窒化チタンを含む薄膜を形成する方法であって、
    前記反応チャンバにフッ化チタンを気相状態で提供する工程と、
    窒素を含む気相反応物質を前記反応チャンバに提供して、窒素を含む前記気相反応物質が前記フッ化チタンと反応して、窒化チタンを含む薄膜を形成する工程と、
    塩素、臭素又はヨウ素を含むチタン前駆体を用いて、形成された前記窒化チタンを含む薄膜に亘って窒化チタン層をさらに堆積する工程と、を含み、
    窒素を含む前記気相反応物質は、NH又はN含有プラズマを含み、前記基板は、high−k表面を含み、前記フッ化チタンは、前記high−k表面を含む前記基板に提供される方法。
  3. 前記窒化チタンを含む薄膜を形成する方法は、ALDプロセスである請求項2に記載の方法。
  4. 前記窒化チタンを含む薄膜を形成する方法は、CVD又はパルスCVDプロセスである請求項2に記載の方法。
  5. 前記high−k表面は、ハフニウム又はジルコニウムを含む請求項2に記載の方法。
  6. 前記窒素を含む前記気相反応物質は、NH又はNを含むプラズマを含む請求項1に記載の方法。
  7. high−k表面は、ハフニウム又はジルコニウムを含む請求項1に記載の方法。
  8. 前記窒化チタンの層は、2原子%を超えるフッ素を含む請求項2に記載の方法。
  9. 前記窒化チタンの薄膜は、10nmよりも小さい厚さを有し、500μΩcm未満の抵抗率を有する請求項2に記載の方法。
  10. 形成された前記窒化チタンを含む薄膜は、4.9eVよりも大きい仕事関数を有する請求項2に記載の方法。
JP2012535250A 2009-10-20 2010-10-14 誘電体膜をパッシベーションする方法 Active JP5809152B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US25344409P 2009-10-20 2009-10-20
US61/253,444 2009-10-20
PCT/US2010/052741 WO2011049816A2 (en) 2009-10-20 2010-10-14 Processes for passivating dielectric films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2014203157A Division JP5739574B2 (ja) 2009-10-20 2014-10-01 誘電体膜をパッシベーションする方法

Publications (3)

Publication Number Publication Date
JP2013508552A JP2013508552A (ja) 2013-03-07
JP2013508552A5 JP2013508552A5 (ja) 2013-11-21
JP5809152B2 true JP5809152B2 (ja) 2015-11-10

Family

ID=43900893

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2012535250A Active JP5809152B2 (ja) 2009-10-20 2010-10-14 誘電体膜をパッシベーションする方法
JP2014203157A Active JP5739574B2 (ja) 2009-10-20 2014-10-01 誘電体膜をパッシベーションする方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2014203157A Active JP5739574B2 (ja) 2009-10-20 2014-10-01 誘電体膜をパッシベーションする方法

Country Status (5)

Country Link
US (2) US10513772B2 (ja)
JP (2) JP5809152B2 (ja)
KR (1) KR101712040B1 (ja)
TW (1) TWI518202B (ja)
WO (1) WO2011049816A2 (ja)

Families Citing this family (200)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283443B2 (en) * 2009-11-10 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package having integrated capacitor
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103117297B (zh) * 2011-11-17 2017-12-12 联华电子股份有限公司 半导体结构及其制作工艺
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8853070B2 (en) * 2012-04-13 2014-10-07 Oti Lumionics Inc. Functionalization of a substrate
US9698386B2 (en) * 2012-04-13 2017-07-04 Oti Lumionics Inc. Functionalization of a substrate
US8791003B2 (en) * 2012-06-21 2014-07-29 GlobalFoundries, Inc. Methods for fabricating integrated circuits with fluorine passivation
US9536940B2 (en) 2012-09-19 2017-01-03 Micron Technology, Inc. Interfacial materials for use in semiconductor structures and related methods
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US20150042017A1 (en) * 2013-08-06 2015-02-12 Applied Materials, Inc. Three-dimensional (3d) processing and printing with plasma sources
JP5864503B2 (ja) 2013-09-30 2016-02-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US20150132938A1 (en) * 2013-11-13 2015-05-14 Intermolecular, Inc. Methods and Systems for Forming Reliable Gate Stack on Semiconductors
ES2776161T3 (es) * 2013-12-17 2020-07-29 Univ Oxford Innovation Ltd Dispositivo fotovoltaico que comprende una perovskita de haluro metálico y un agente pasivante
US10643925B2 (en) * 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2017037927A1 (ja) * 2015-09-03 2017-03-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6583014B2 (ja) 2016-01-22 2019-10-02 株式会社デンソー 半導体装置の製造方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10134873B2 (en) 2016-11-18 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device gate structure and method of fabricating thereof
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
EP3619323A4 (en) 2017-05-05 2021-01-27 Quantum-si Incorporated SUBSTRATES WITH MODIFIED SURFACE REACTIVITY AND ANTIFOULING PROPERTIES IN BIOLOGICAL REACTIONS
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR20190065962A (ko) 2017-12-04 2019-06-12 에이에스엠 아이피 홀딩 비.브이. 유전체와 금속 표면 상에 SiOC의 균일한 증착
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) * 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
US11251261B2 (en) * 2019-05-17 2022-02-15 Micron Technology, Inc. Forming a barrier material on an electrode
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
TWI807195B (zh) 2019-06-28 2023-07-01 美商應用材料股份有限公司 用於改良高k可靠度之摻氟氮化物膜
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
AU2020364058A1 (en) 2019-10-11 2022-05-26 Quantum-Si Incorporated Surface modification in the vapor phase
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
CN110854276B (zh) * 2019-12-04 2021-10-12 华东理工大学 一种四氟化钛钝化钙钛矿电池界面的制备方法及应用
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US20210225634A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiCN THIN FILMS
US20210225633A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiOCN THIN FILMS
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111613523A (zh) * 2020-05-20 2020-09-01 上海交通大学 一种提高原子层沉积介质薄膜的介电常数的方法
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
CN113571650B (zh) * 2021-07-07 2023-08-22 常州大学 一种恒定高压退火制备钙钛矿薄膜的装置和方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023249824A1 (en) * 2022-06-22 2023-12-28 Applied Materials, Inc. Atomic layer deposition of high dielectric constant materials

Family Cites Families (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
FI118158B (sv) * 1999-10-15 2007-07-31 Asm Int Förfarande för modifiering av utgångsämneskemikalierna i en ALD-prosess
US3708728A (en) 1971-06-10 1973-01-02 Int Standard Electric Corp Electrolytic capacitor electrode comprising semiconductor core with film-forming metal coating
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
EP0149044B1 (en) 1983-11-11 1987-05-13 Research Development Corporation of Japan Boron nitride containing titanium nitride, method of producing the same and composite ceramics produced therefrom
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
JPH0831454B2 (ja) 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5382333A (en) 1990-07-30 1995-01-17 Mitsubishi Gas Chemical Company, Inc. Process for producing copper clad laminate
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
JPH05335257A (ja) 1992-06-03 1993-12-17 Showa Shell Sekiyu Kk p型シリコンカーバイドの形成方法
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
JPH0637041A (ja) 1992-07-15 1994-02-10 Hitachi Ltd 配線部材の形成方法
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
FR2695944B1 (fr) 1992-09-24 1994-11-18 Onera (Off Nat Aerospatiale) Appareil de dépôt chimique en phase vapeur activé par un plasma micro-ondes.
US5438028A (en) 1993-10-15 1995-08-01 Texaco Inc. Method of enhancing finished catalysts
JP3356531B2 (ja) 1994-02-15 2002-12-16 東京エレクトロン株式会社 ボロン含有ポリシリコン膜の形成方法
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
EP0982772B1 (en) 1994-11-30 2003-06-25 Micron Technology, Inc. A semiconductor non-planar storage capacitor
WO1996018756A1 (en) 1994-12-16 1996-06-20 Nkt Research Center A/S A PA-CVD PROCESS FOR DEPOSITION OF A SOLID METAL-CONTAINING FILM ONTO A SUBSTRATE CONTAINING AT LEAST 50 % of Fe or WC
EP0800592B1 (de) 1994-12-27 1998-08-26 Siemens Aktiengesellschaft Verfahren zum herstellen von mit bor dotiertem, einkristallinem siliciumcarbid
US6006763A (en) 1995-01-11 1999-12-28 Seiko Epson Corporation Surface treatment method
JPH08264530A (ja) 1995-03-20 1996-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置の製造装置
US5744254A (en) 1995-05-24 1998-04-28 Virginia Tech Intellectual Properties, Inc. Composite materials including metallic matrix composite reinforcements
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
KR0155918B1 (ko) 1995-11-03 1998-12-01 김광호 선택적 텅스텐질화박막을 이용한 반도체장치의 캐패시터 형성방법
KR0172857B1 (ko) * 1995-12-04 1999-03-30 문정환 화학기상 증착에 의한 박막형성방법
US5789024A (en) 1996-05-15 1998-08-04 New Jersey Institute Of Technology Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds
US5915004A (en) 1996-07-11 1999-06-22 Microsoft Corporation Moving a messaging system mailbox
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
TW320752B (en) 1996-11-18 1997-11-21 United Microelectronics Corp Metal gate electrode process
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
KR100287174B1 (ko) 1998-03-17 2001-04-16 윤종용 다원자계산화물 및 질화물의박막제조방법
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
ATE408035T1 (de) 1999-02-11 2008-09-15 Hardide Ltd Wolframkarbidbeschichtungen und verfahren zu deren herstellung
AU1088401A (en) 1999-10-15 2001-04-30 Asm Microchemistry Oy Deposition of transition metal carbides
WO2001029893A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
KR100795534B1 (ko) 1999-10-15 2008-01-16 에이에스엠 인터내셔널 엔.브이. 상감법 금속화를 위한 균일한 라이닝층
US6407435B1 (en) 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
WO2001078123A1 (en) 2000-04-11 2001-10-18 Genitech Co., Ltd. Method of forming metal interconnects
TW508658B (en) 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
JP4374156B2 (ja) * 2000-09-01 2009-12-02 日本碍子株式会社 Iii−v族窒化物膜の製造装置及び製造方法
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
KR101013231B1 (ko) * 2001-09-14 2011-02-10 에이에스엠 인터내셔널 엔.브이. 환원펄스를 이용한 원자층증착에 의한 질화금속증착
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
JP2003273350A (ja) * 2002-03-15 2003-09-26 Nec Corp 半導体装置及びその製造方法
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
KR100542247B1 (ko) * 2002-07-19 2006-01-16 주식회사 하이닉스반도체 배치형 챔버를 이용한 티타늄나이트라이드막의원자층증착법 및 그를 이용한 캐패시터의 제조 방법
US6967154B2 (en) 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
JP2004296490A (ja) 2003-03-25 2004-10-21 Tokyo Electron Ltd 処理装置
WO2004112114A1 (ja) * 2003-06-16 2004-12-23 Tokyo Electron Limited 成膜方法、半導体装置の製造方法、半導体装置および成膜装置
US7966969B2 (en) * 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US7709402B2 (en) * 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US8319295B2 (en) * 2007-01-10 2012-11-27 Imec Use of F-based gate etch to passivate the high-k/metal gate stack for deep submicron transistor technologies
US20090108294A1 (en) * 2007-10-30 2009-04-30 International Business Machines Corporation Scalable high-k dielectric gate stack
US8536660B2 (en) * 2008-03-12 2013-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid process for forming metal gates of MOS devices

Also Published As

Publication number Publication date
US11549177B2 (en) 2023-01-10
US20200181769A1 (en) 2020-06-11
KR101712040B1 (ko) 2017-03-03
JP5739574B2 (ja) 2015-06-24
TW201132790A (en) 2011-10-01
US10513772B2 (en) 2019-12-24
JP2013508552A (ja) 2013-03-07
WO2011049816A2 (en) 2011-04-28
WO2011049816A3 (en) 2011-10-13
KR20120104552A (ko) 2012-09-21
JP2015061947A (ja) 2015-04-02
TWI518202B (zh) 2016-01-21
US20120269962A1 (en) 2012-10-25

Similar Documents

Publication Publication Date Title
JP5739574B2 (ja) 誘電体膜をパッシベーションする方法
US9646820B2 (en) Methods for forming conductive titanium oxide thin films
US6806145B2 (en) Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US8592294B2 (en) High temperature atomic layer deposition of dielectric oxides
KR101234970B1 (ko) 니오브 질화물 상에 탄탈 산화물층을 포함하는 구조물 및 장치와, 그 제조 방법
US8025922B2 (en) Enhanced deposition of noble metals
JP4681000B2 (ja) 膜形成のための前駆体およびルテニウム含有膜を形成するための方法
JP2004023043A (ja) 成膜方法、成膜装置、および半導体装置の製造方法
JP2008174842A (ja) 原子層蒸着法を用いた薄膜形成方法
KR20220165801A (ko) 불소-함유 도전성 막들
US9981286B2 (en) Selective formation of metal silicides
TW202115270A (zh) 第六族金屬沈積方法
US8673390B2 (en) Methods of making crystalline tantalum pentoxide
JP2005347447A (ja) 気相成長装置内の処理方法、薄膜の形成方法および半導体装置の製造方法
KR100780605B1 (ko) 탄탈륨지르코늄산화막을 구비한 반도체소자 및 그의 제조방법
CN112041980A (zh) 利用硼成核层的低温钼膜沉积
EP1425785A2 (en) Method of fabricating a gate stack at low temperature

Legal Events

Date Code Title Description
RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20130726

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131003

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20131003

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140228

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140401

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140625

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140702

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140730

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140821

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140901

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140908

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141001

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150421

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150623

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150818

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150910

R150 Certificate of patent or registration of utility model

Ref document number: 5809152

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250