JP4839405B2 - コンベアおよび成膜装置とそのメンテナンス方法 - Google Patents

コンベアおよび成膜装置とそのメンテナンス方法 Download PDF

Info

Publication number
JP4839405B2
JP4839405B2 JP2009510844A JP2009510844A JP4839405B2 JP 4839405 B2 JP4839405 B2 JP 4839405B2 JP 2009510844 A JP2009510844 A JP 2009510844A JP 2009510844 A JP2009510844 A JP 2009510844A JP 4839405 B2 JP4839405 B2 JP 4839405B2
Authority
JP
Japan
Prior art keywords
carrier
frame
film forming
upper frame
support mechanism
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2009510844A
Other languages
English (en)
Other versions
JPWO2008129983A1 (ja
Inventor
耕司 石野
肇 中村
麻也子 松田
孝明 進藤
孝治 小清水
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ulvac Inc
Original Assignee
Ulvac Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ulvac Inc filed Critical Ulvac Inc
Priority to JP2009510844A priority Critical patent/JP4839405B2/ja
Publication of JPWO2008129983A1 publication Critical patent/JPWO2008129983A1/ja
Application granted granted Critical
Publication of JP4839405B2 publication Critical patent/JP4839405B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/061Lifting, gripping, or carrying means, for one or more sheets forming independent means of transport, e.g. suction cups, transport frames
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/062Easels, stands or shelves, e.g. castor-shelves, supporting means on vehicles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/063Transporting devices for sheet glass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/068Stacking or destacking devices; Means for preventing damage to stacked sheets, e.g. spaces
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67236Apparatus for manufacturing or treating in a plurality of work-stations the substrates being processed being not semiconductor wafers, e.g. leadframes or chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67706Mechanical details, e.g. roller, belt
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67712Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrate being handled substantially vertically
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2249/00Aspects relating to conveying systems for the manufacture of fragile sheets
    • B65G2249/02Controlled or contamination-free environments or clean space conditions
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49815Disassembling
    • Y10T29/49817Disassembling with other than ancillary treating or assembling

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Physical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

本発明は、コンベアおよび成膜装置に関するものである。
本願は、2007年4月16日に、日本に出願された特願2007−106877号に基づき優先権を主張し、その内容をここに援用する。
液晶ディスプレイなどの製造工程において、大型ガラス基板に対して加熱処理や成膜処理などの真空処理が行われる。そのため、様々な真空処理装置が開発されている。その中の一つに、液晶ディスプレイのカラーフィルタ側にITO膜(電極膜)を成膜するためにキャリア循環型のインラインスパッタ装置が用いられている(例えば、特許文献1参照)。
また、スパッタ装置には、スパッタ後のガラス基板を真空内で回転或いはトラバースさせて、真空中を戻してくるタイプ(真空リターン型)と、真空から大気側へ搬出した後に回転或いはトラバースさせて戻してくるタイプ(大気リターン型)とがある。さらに、真空中を向かい合わせたキャリアを搬送させて、大気側の回転機構で1枚ずつ振り分けて戻してくるタイプ(両面成膜方式の大気リターン型)もある。ここで、大気リターン型のスパッタ装置では、真空状態を作り出し薄膜をスパッタにてガラス基板上へ成膜する真空装置とともに、成膜前後のガラス基板を搭載したキャリアを真空処理装置外部で搬送させる大気搬送システムを備えている。この大気リターン型のスパッタ装置では、真空処理装置および大気搬送システムに囲まれた領域(中庭)が存在する。ガラス基板は、スパッタ装置内を安定して搬送させるために、キャリアと呼ばれる台車に取り付けられている。
また、大気搬送システムにおいて、キャリアはコンベアにて搬送されている。このコンベアの機構としては、下部支持機構にラック&ピニオンやローラが用いられ、必要に応じて上部支持機構としてマグネットを使用した非接触機構が用いられている。ここで、キャリアに搭載したガラス基板の割れ、キャリアからのパーティクル発生、搬送トラブルを避けるために、特にコンベアの搬送ラインの芯出しは重点的に調整される。また、芯ずれや経時的な搬送ラインのずれなどが生じないよう、そして調整のし易さから下部支持機構と上部支持機構とは同一のフレームに取り付けられ、一旦調整した後は位置がずれないようにフレームを強固に床面に固定している。
特開2002−309372号公報(図4)
ところで、上述の特許文献1の図4に記載のインライン式成膜装置では、スパッタ室のターゲットや真空チャンバへの着膜を防止している防着板などの定期的な交換およびその他機械系の定期点検などが必須である。ここで、図13に従来の成膜装置の全体構成を示す。スパッタ室34のメンテナンス作業は、図13に示すように、スパッタ室34からターゲットを含むカソード部材80を倒した状態で行う。
しかしながら、スパッタ室34から大気搬送システム115に向かってカソード部材80が倒れる構造の成膜装置110では、コンベア40の下部支持機構および上部支持機構は不動であるため、倒れたカソード部材80と大気搬送システム115とが平面視において重ならないように、真空処理装置113と大気搬送システム115との間を広げる必要がある。つまり、図13に示すように中庭117を広くする必要があり、成膜装置110に必要な設置面積が増大してしまうという問題があった。さらに、近年ではガラス基板の大型化が進んでいるため、それに合わせてカソード部材80のメンテナンス機構111も大型化し、したがって、中庭117をより広く確保しなければならないという問題があった。
そこで、本発明は、上述の事情に鑑みてなされたものであり、キャリアを搬送可能なコンベアおよび、前記コンベアを備え、真空処理装置および搬送システムを備えた成膜装置において、その設置面積を狭小化することが可能なコンベアおよび成膜装置を提供するものである。
本発明に係る第一の態様は、フレームと、基板が縦型に載置されたキャリアを支持すると共に前記キャリアを搬送する下部支持機構と、前記キャリアを支持する上部支持機構と、を備えたコンベアにおいて、前記フレームが、下部フレームと上部フレームとで構成され、前記下部支持機構が前記下部フレームに設けられ、前記上部支持機構が前記上部フレームに設けられ、前記上部フレームが前記下部フレームと分離して移動可能に構成されている。
この場合、上部支持機構が設けられた上部フレームと、下部支持機構が設けられた下部フレームとの位置関係をずらすことができる効果がある。
また、下部支持機構は下部フレームに取り付けられており、キャリアの荷重を下部支持機構で支持しながらキャリアを搬送させるため、下部支持機構の搬送ルートが精度良く位置決めされた状態で、キャリアを精度良く搬送することができる。したがって、キャリアに搭載した基板の割れ、キャリアからのパーティクル発生、搬送トラブルを防止することができる効果がある。
前記下部フレームは、床面に支持固定され、前記上部フレームが、前記下部フレームを前記床面に支持する垂直軸のいずれか一つと連接されてなり、前記垂直軸を中心に回動可能に構成されていてもよい。
この場合、下部支持機構は固定された状態で、上部支持機構のみを回動させることができる。したがって、下部支持機構の精度を維持することができる効果がある。また、上部フレームが下部フレームを床面に固定する垂直軸を中心に回動可能に構成されているため、下部フレームの上方に容易に空間を形成することができるとともに、上部フレームを下部フレームの上方まで簡単に復帰させて位置決めすることができる効果がある。
前記上部支持機構は、前記キャリアを非接触で支持してもよい。
この場合、上部支持機構はキャリアと非接触にて搬送可能に構成されるため、上部フレーム(上部支持機構)の位置が若干ずれてもキャリアの搬送には影響を及ぼすことがなく、キャリアを確実に搬送することができる効果がある。
前記上部フレームの回動方向手前側には、前記床面に対して前記上部フレームを支持しつつ前記床面に沿って転動する第一ローラが設けられ、前記上部フレームの回動方向奥側に、前記床面に対して前記上部フレームを支持しつつ前記床面に沿って転動する第二ローラが設けられ、前記第二ローラは、前記上部フレームの回動時に前記下部フレームと干渉しない位置に移動可能に構成されていてもよい。
この場合、上部フレームを回動させる際に、上部フレームの荷重を前記下部フレームに連接している垂直軸、第一ローラおよび第二ローラの3点で床面に支持することができるため、上部フレームが自重で傾いたりすることなく、確実に回動させることができる効果がある。
また、第二ローラは収納可能に構成されているため、上部フレームを回動させる際に、下部フレームと干渉するときのみ第二ローラを干渉しない位置まで移動し、その他のときは床面に対して上部フレームを支持するために使用することができ、効率よく使用することができる効果がある。
前記基板を縦型支持して搬送する前記キャリアと、前記キャリアに搭載された前記基板に成膜処理を行う成膜処理経路と、前記成膜処理経路に並列配置されたキャリア搬送経路と、を備え、前記成膜処理経路の構成部材が、前記キャリア搬送経路に向かって移動可能に構成された成膜装置において、前記キャリア搬送経路には、上記のいずれかのコンベアが設けられ、前記上部フレームが、移動した前記成膜処理経路の前記構成部材との干渉を回避しうる位置まで移動可能に構成されていてもよい。
この場合、上部フレームを移動させて、下部フレームの上方に空間を形成することができると共に、その空間に移動した成膜処理経路の構成部材を配置することができるため、成膜処理経路とキャリア搬送経路との距離を近づけることができる。したがって、成膜装置の設置面積を狭小化することができる効果がある。
前記成膜処理経路の前記構成部材は、スパッタ処理装置のターゲットの支持部材であってもよい。
この場合、スパッタ処理装置のメンテナンスをする際に、上部フレームを回動させることにより、下部フレームの上方に形成された空間にスパッタ処理装置のターゲットの支持部材を配置させることができるため、成膜処理経路とキャリア搬送経路との間隔を狭くすることができる。したがって、成膜処理経路とキャリア搬送経路とを備えた成膜装置の設置面積を狭小化することができる効果がある。
前記成膜装置のメンテナンス方法は、前記上部フレームを前記下部フレームから退避位置まで分離して移動させる工程と、前記成膜処理経路の構成部材を前記下部フレームの上方に倒して、前記成膜処理経路の構成部材をメンテナンスする工程とを具備してもよい。
この場合、前記上部フレームを移動させて、前記下部フレームの上方に空間を形成することができると共に、その空間に移動した前記成膜処理経路の前記構成部材を配置することができるため、前記成膜処理経路と前記キャリア搬送経路との距離を近づけることができる。したがって、成膜装置の設置面積を狭小化することができる効果がある。
本発明によれば、成膜装置のメンテナンスの際に、コンベアの上部フレームを回動して、下部フレームの上方に空間を形成することができると共に、その空間に成膜処理装置の構成部材を配置させることができるため、成膜処理経路とキャリア搬送経路との距離を近づけることができる。したがって、成膜装置の設置面積を狭小化することができる効果がある。
本発明の実施形態におけるスパッタ装置の全体構成を示す概略図である。 本発明の実施形態におけるスパッタ室のメンテナンス機構の動きを示す説明図である。 本発明の実施形態におけるキャリアにガラス基板を載置したときの斜視図である。 本発明の実施形態におけるコンベアの側面図である。 本発明の実施形態におけるコンベアの下部支持機構の構成を示す斜視図である。 本発明の実施形態におけるコンベアの上部支持機構の構成を示す概略図である。 本発明の実施形態におけるコンベアのフレーム構成を示す斜視図である。 本発明の実施形態におけるコンベアのフレーム構成を示す平面図である。 図8のA部拡大側面図である。 本発明の実施形態におけるコンベアの上部フレーム移動時の概略説明図である。 本発明の実施形態におけるスパッタ室の側面が倒れているときの下部フレームとの位置関係を示す概略説明図である。 本発明の実施形態におけるコンベアの上部支持機構の別の構成を示す概略図である。 従来の成膜装置の全体構成を示す概略図である。
符号の説明
10…成膜装置 11…ガラス基板(基板) 13…キャリア 15…キャリア搬送経路 17…成膜処理経路 34…スパッタ室(スパッタ処理装置) 40…コンベア 41…フレーム 43…下部支持機構 45…上部支持機構 47…下部フレーム 49…上部フレーム 55…垂直軸 59…第一ローラ 61…第二ローラ 80…カソード部材(構成部材,ターゲットの支持部材) FL…床面
次に、本発明の実施形態を図1〜図12に基づいて説明する。以下の説明に用いる各図面では、各部材を認識可能な大きさとするため、各部材の縮尺を適宜変更している。
(成膜装置)
図1は、成膜装置の全体構成を示す平面図である。
図1に示すように、成膜装置10は、ガラス基板11を縦型保持するキャリア13が配置され、そのキャリア13を大気圧下で搬送するキャリア搬送経路15と、真空状態下でガラス基板11に成膜をする成膜処理経路17とで構成されている。ここで、成膜装置10は、成膜処理経路17においてキャリア13が2列並行して搬送可能に構成されており、後述するスパッタ室34にて両側から成膜可能に構成された両面成膜方式の成膜装置である。
成膜処理経路17は、第二回転部23に連接され、真空排気装置29を備えたロードロック室31と、ヒータ30を備えた加熱室32と、進行方向に隣接するキャリア13間の距離の調整を行うスパッタ入口室33と、ガラス基板11に成膜を行うスパッタ室34と、進行方向に隣接するキャリア13間の距離の調整を行うスパッタ出口室35と、真空排気装置29を備えたアンロードロック室36とが順番に構成されている。アンロードロック室36は、キャリア搬送経路15の第三回転部24と連接されている。
また、第二回転部23とロードロック室31間にはゲートバルブ38aが設けられ、同様に、ロードロック室31と加熱室32との間にはゲートバルブ38bが、加熱室32とスパッタ入口室33との間にはゲートバルブ38cが、スパッタ出口室35とアンロードロック室36との間にはゲートバルブ38dが、アンロードロック室36と第三回転部24との間にはゲートバルブ38eが、それぞれ設けられている。
また、スパッタ室34のメンテナンス機構71は、スパッタ室34におけるキャリア13が搬送される成膜処理経路17に平行する側面72が倒れるように構成されている。側面72には、ターゲットの支持部材であるカソード部材80や、スパッタ室34内への着膜を防止している防着板などが配置されている。ターゲットの交換などによりカソード部材80をメンテナンスする際には、メンテナンス機構71により側面72を倒した状態にして行うように構成されている。
図2は、メンテナンス機構71の概略の構成を示す説明図である。
図2に示すように、側面72の下部には脚73が延設されており、脚73の下端近傍において回動軸74を介してスパッタ室34の下部構造体75と接続されている。側面72には、複数本の脚76(本実施形態では4本)が一体形成されている。脚76は、パワーシリンダで構成されており、その軸部82は下部構造体75に回動軸84を介して接続されている。そして、軸部82がパワーシリンダにより伸縮可能に構成されている。
図1に戻り、キャリア搬送経路15は、他所から搬送されてきたガラス基板11を成膜装置10へと取り込むための入口部21と、ガラス基板11を回転させて成膜処理経路17へと導く第一回転部22および第二回転部23と、成膜処理経路17内で成膜が完了したガラス基板11を回転させて後述する出口部27へと導く第三回転部24および第四回転部25と、成膜されたガラス基板11が搬送される搬送部26と、成膜されたガラス基板11を成膜装置10から取り出す出口部27とで構成されている。
キャリア搬送経路15は大気圧下の環境に保持されているが、パーティクルを避け、クリーン度を高く維持できるようにHEPAフィルタを用い、ダウンフローの気流を発生させてキャリア搬送経路15内の雰囲気を保つように構成されている。
また、ガラス基板11は、他所から水平状態で搬送され、入口部21にてガラス基板11を略垂直に立ち上げ、その後、キャリア13に搭載するように構成されている。出口部27では、入口部21とは逆の手順でキャリア13からガラス基板11を取り外すように構成されている。
図3は、キャリア13の概略の構成を示す斜視図である。
図3に示すように、キャリア13は、アルミニウムなどからなる枠状のキャリアフレーム65と、キャリアフレーム65の上辺に沿うように設けられたマグネット66と、キャリアフレーム65の下辺に沿うように設けられた丸棒からなるスライダ67と、ガラス基板11の荷重を受け、かつガラス基板11の水平度を保持するための基板受け68と、ガラス基板11をキャリア13に保持させるためのクランプ69と、ガラス基板11の周縁の非成膜領域を覆うためのマスク70とを備えている。
そして、ガラス基板11が搭載されたキャリア13は、キャリア搬送経路15および成膜処理経路17を移動可能に構成されている。
(コンベア)
図4は、コンベア40の断面図である。
図4に示すように、コンベア40は、鋼製部材で組まれたフレーム41と、キャリア13の荷重を支持しながらキャリア13を搬送可能に構成された下部支持機構43と、キャリア13の上部を非接触で支持可能に構成された上部支持機構45とを備えている。
ここで、フレーム41は、下部支持機構43が設けられている下部フレーム47と、上部支持機構45が設けられている上部フレーム49とで構成されている。キャリア13は、フレーム41内を下部支持機構43および上部支持機構45により水平方向で、かつ略垂直に保持した状態で移動可能に構成されている。ガラス基板11の大型化に伴って、フレーム41は、例えば高さ4600mm、幅600mm(フレーム部のみ)程度の大きさで形成されている。
図5は、コンベア40の下部支持機構43の構成を示す斜視図である。
図5に示すように、下部支持機構43は、モータ51とローラ52とを備えている。モータ51が駆動することで、ローラ52が回転し、ローラ52上をキャリア13が水平移動するように構成されている。具体的には、キャリア13の下部に設けられた後述するスライダ67がローラ52外周の溝部に係合し、キャリア13が水平移動可能に構成されている。ローラ52は、キャリア13が水平移動するのに支障がないように、一つの下部フレーム47内に複数設けられている。
図6は、コンベア40の上部支持機構45の構成を示す説明図である。
図6に示すように、上部支持機構45は、上部フレーム49の上部で、かつキャリア13の上辺が通過する領域から側方に間隔をあけるように一対のマグネット53a,53bが設けられている。一対のマグネット53a,53bは、お互いのN極とS極が対向するように取り付けられている。また、一対のマグネット53a,53bは上部フレーム49内に複数設けられている。そして、キャリア13の上辺にも後述するマグネット66が取り付けられており、上部フレーム49の一対のマグネット53a,53bの間をマグネット66が通過するように構成され、マグネット53aのN極とマグネット66のN極が対向し、マグネット53bのS極とマグネット66のS極が対向するように配置される。
このように構成することで、マグネット同士が反発しあい、キャリア13を垂直状態に保持することが可能となる。つまり、ガラス基板11を垂直保持することにより、ガラス基板11の大型化に伴う成膜装置10の設置面積の増大を抑えることができるとともに、大型基板のたわみによる影響を回避することができる。
図4に戻り、下部支持機構43を備えた下部フレーム47は、床面FLに固定されている。つまり、下部支持機構43は成膜装置10の設置段階で、キャリア13が確実に水平移動できるように位置調整され、かつ、隣接するフレーム41の下部支持機構43とも位置調整され、一旦調整すると位置がずれないように構成されている。
下部支持機構43はローラ52によりキャリア13を搬送するが、ローラ52はガラス基板11が搭載されたキャリア13の荷重を受けながら水平方向に搬送するため、搬送ルートに多少のずれが発生すると、キャリア13が搬送時に振動し、それによりパーティクルが発生して、歩留まりが低下するなどの不具合が発生する。したがって、下部支持機構43には位置精度が要求され、一旦位置調整をした後は、その位置で固定されていることが望ましい。
図7は、コンベア40のフレーム構成を示す斜視図である。
図7に示すように、上部フレーム49は、下部フレーム47の1本の垂直軸55に対して回動可能に連接されており、下部フレーム47の直上に配置されている。また、上部フレーム49の回動方向手前側の側面56には、上部フレーム49を回動させる際に使用する取手57が設けられている。さらに、取手57に連接するように床面FLに向かって脚58が延出されており、脚58の先端には床面FLと接するように第一ローラ59が設けられている。
図4に戻り、第一ローラ59が取り付けられている側面(回動方向手前側の面)56と対向する側面60には、第二ローラ61が設けられている。第二ローラ61は、脚63の先端に設けられており、脚63は回動軸62を介して上部フレーム49に接続されている。第二ローラ61は、上部フレーム49を回動させる際に、下部フレーム47と干渉しない位置まで移動できるように構成されている。ここで、第二ローラ61は回動軸62を介して垂直断面で略半円形状を描くように回動して、床面FLに接するように構成されている。第二ローラ61が収納されている状態では、第二ローラ61は図示しないストッパ部材にて上部フレーム49に保持されている。図4では第二ローラ61は回動軸62を中心として回動することにより収納される構成となっているが、第二ローラ61は、上部フレーム49を回動させる際に、下部フレーム47と干渉しない位置まで移動でき、かつ元の位置に精度よく戻すことができればよいので、例えば脚63を鉛直上方に平行移動することで収納できる構成でもよいし、脚63を位置決め用のカップリングなどを用いて着脱可能にしてもよい。また、第二ローラ61は、キャリア13を搬送する際(上部フレーム49が下部フレーム47の直上に配置されている状態)および上部フレーム49を回動する際に、その荷重を受ける役割もするため、垂直軸55から離れた位置に取り付けることが望ましい。
図8はコンベア40のフレーム構成を示す平面図であり、図9は図8のA部詳細側面図である。
図8,図9に示すように、上部フレーム49の側面60側で、下部フレーム47との境界部には荷重受ガイドローラ64が設けられている。荷重受ガイドローラ64は、側面60の両側に設けられ、上部フレーム49が下部フレーム47の上部に位置しているとき(通常状態)に、下部フレーム47から側方へ突出するように一体成形された受部86に接するように構成されている。荷重受ガイドローラ64が、受部86に接することで上部フレーム49の荷重の一部が下部フレーム47側へ伝わり、下部フレーム47に支持されることとなる。このように構成することで、上部フレーム49は通常状態において、その四隅を第一ローラ59、第二ローラ61、垂直軸55および荷重受ガイドローラ64で支持され、安定した状態を保持することができる。
また、上部フレーム49と下部フレーム47との間には、図示しない係止部が設けられている。係止部は、例えばハンドルを備え、ハンドルを回転させる(ひねる)ことで、上部フレーム49または下部フレーム47の一方に設けられたシャフトが他方に形成された孔に挿通され、上部フレーム49と下部フレーム47とを係止して、位置合わせを行えるように構成されている。
さらに、上部フレーム49と下部フレーム47との間には、20mm程度の隙間が形成されており、この隙間には図示しない防塵ゴムが介装されている。防塵ゴムは、例えば断面半円形状のものを用いればよい。このようにすることで、フレームの防塵性能を向上させることができる。
そして、上部フレーム49の側面56には、回動しすぎないように下部フレーム47に当接してそれ以上の回動を阻止するストッパ部材78が設けられている(図4参照)。ストッパ部材78が下部フレーム47に当接した後に、上部フレーム49と下部フレーム47との間を係止部にて係止することで、上部フレーム49と下部フレーム47との位置合わせができるように構成されている。
(基板成膜方法)
次に、ガラス基板11に成膜する際の作用を図1などに基づいて説明する。
ガラス基板11が他所から水平状態で成膜装置10の入口部21の前まで搬送されてくる。その後、ガラス基板11を垂直状態になるように立ち上げ、ガラス基板11を入口部21に載置されているキャリア13に搭載する。このとき、ガラス基板11をキャリア13の基板受け68に当接するようにした後、クランプ69にてガラス基板11をキャリア13に保持する(図3参照)。
ガラス基板11が搭載されたキャリア13は、下部支持機構43のローラ52が回転することで移動を開始し(図5参照)、第一回転部22へと搬送される。第一回転部22では、回転テーブル79にキャリア13が載置された状態で、まず垂直軸を中心に略90度キャリア13を回転した後に、第二回転部23へと搬送する。第二回転部23では、回転テーブル79にてキャリア13をさらに垂直軸を中心に略90度回転させ、成膜処理経路17のロードロック室31へと搬送可能な方向にする。そして、ゲートバルブ38aが開状態になった後、キャリア13をロードロック室31へと搬送する。
キャリア13がロードロック室31へ搬送されると、ゲートバルブ38aを閉状態にし、その後、真空排気装置29にて室内を排気し、ロードロック室31を真空状態にする。
ロードロック室31内が真空状態になった後、ゲートバルブ38bを開状態にして、キャリア13を加熱室32へと搬送する。
キャリア13が加熱室32へ搬送されると、ゲートバルブ38bを閉状態にし、その後、ヒータ30にてガラス基板11を加熱する。ガラス基板11の加熱が完了すると、ゲートバルブ38cを開状態にして、キャリア13をスパッタ入口室33へと搬送する。
キャリア13がスパッタ入口室33へ搬送されると、ゲートバルブ38cを閉状態にする。その後、キャリア13をその前方に位置するキャリアの後端に連接するような位置まで移動させる。キャリア13が前方のキャリアの後端に位置した段階で、前方のキャリアと同一速度になるようにする。このように構成することで、スパッタ室34にてガラス基板11を連続的にスパッタすることができるため、効率よく成膜をすることができる。
この状態で、キャリア13はスパッタ室34へと搬送される。スパッタ室34では、一般的なスパッタ法によりガラス基板11に成膜を施す。ここで、ガラス基板11の周縁にはマスク70が配置されているため(図3参照)、ガラス基板11の必要な領域のみ成膜されるように構成されている。成膜が完了するとキャリア13はスパッタ出口室35へと搬送される。
キャリア13がスパッタ出口室35へと搬送されると、キャリア13の速度を上げ、後方に連接していたキャリアとの間隔をあけるようにする。その後、ゲートバルブ38dを開状態にして、キャリア13をアンロードロック室36へと搬送する。このとき、アンロードロック室36は真空状態に保持されている。
キャリア13がアンロードロック室36へと搬送されると、ゲートバルブ38dを閉状態にする。その後、キャリア13はアンロードロック室36内を搬送され、ゲートバルブ38eを開状態にし、キャリア13を大気圧下の第三回転部24へと搬送する。
そして、第三回転部24へ搬送されたキャリア13を、回転テーブル79にて垂直軸を中心に略90度回転して、第四回転部25へと搬送する。第四回転部25では、キャリア13を回転テーブル79にてさらに垂直軸を中心に略90度回転させ、搬送部26へと搬送可能な方向にした後、キャリア13を搬送部26へと搬送する。キャリア13は、搬送部26を搬送され、出口部27へと導かれる。
キャリア13が出口部27へと搬送されると、キャリア13に搭載された成膜後のガラス基板11を取り外し、ガラス基板11を次工程の装置へと搬送する。
ここで、この成膜装置10は、成膜処理経路17が平行して2本形成されており、スパッタ室34において、両側から成膜することができるように構成されている。つまり、スパッタ室34にキャリア13が平行して2組配置され、同時にそれぞれのキャリア13に搭載されているガラス基板11を成膜することができる。成膜処理経路17は1本のみ形成されていてもよい。
このような成膜装置10の場合、スパッタ室34の両側面72にターゲットのカソード部材80やスパッタ室34内への着膜を防止するための防着板などが設けられている。カソード部材80や防着板は、交換や定期点検などのメンテナンスが必要になる。このとき、スパッタ室34の側面72を床面上に倒して、ターゲットなどが取り付けられている面を水平にした状態でメンテナンスするように構成されている。
(スパッタ室のメンテナンス方法)
スパッタ室34のカソード部材80のメンテナンスを行う際の機構について説明する。
図2に示すように、スパッタ室34の側面72に設けられたカソード部材80をメンテナンスするには、側面72が床面FLに略平行になるように倒す。
側面72を倒すと、側面72は回動軸74を中心に倒れ、側面72が水平状態になるまで倒れるように構成されている。このとき、側面72に一体形成されている脚76に連接されている軸部82が、回動軸84を中心に回動しながら、かつ、パワーシリンダにより伸びながら側面72が倒されていく。脚76の先端が床面FLに位置すると、カソード部材80が配置されている側面72の荷重を支持するとともに、水平に保持することができる。
(フレームの動作方法)
次に、スパッタ室34のメンテナンス機構71を稼動させる際のフレーム41の動作について図10を用いて説明する。
図10は、コンベアの上部フレーム移動時の概略説明図である。
図10に示すように、フレーム41の上部フレーム49と下部フレーム47とを係止している図示しない係止部を外し、上部フレーム49の取手57を手前側に引くと、上部フレーム49と下部フレーム47との間に連接されている垂直軸55を中心に、上部フレーム49が回動するように移動する。このとき、上部フレーム49は垂直軸55と第一ローラ59にて荷重が支えられている。そして、第二ローラ61が取り付けられている箇所が平面視において下部フレーム47と干渉しない位置まで上部フレーム49を回動させた後、第二ローラ61の図示しないストッパを外し、第二ローラ61が床面FLに接するように回動軸62を中心に回動させる。この状態でさらに上部フレーム49を回動させ、略90度回動させる。このとき上部フレーム49は、垂直軸55、第一ローラ59および第二ローラ61の3点で荷重を支えられており、上部フレーム49が自重でがたつくことなく回動させることができる。
図11は、スパッタ室の側面72が倒れているときの下部フレーム47との位置関係を示す概略説明図である。
図11に示すように、上部フレーム49を移動させると、下部フレーム47の上方には空間77が形成される。この空間77にスパッタ室34の側面72が倒され、平面視において下部フレーム47と重なるように配置される(図1参照)。さらに、下部フレーム47と側面72が上下方向(垂直方向)において干渉しないよう、つまり下部フレーム47の上方に側面72が配置するように構成する。これにより、倒されたスパッタ室34の側面72とキャリア搬送経路15とが平面視において重ならないように成膜処理経路17とキャリア搬送経路15とを配置する必要がなくなり(図13参照)、成膜処理経路17とキャリア搬送経路15との間の距離を近づけることが可能となる(図1参照)。つまり、成膜装置10に形成される中庭81を狭くすることができるため、成膜装置10の設置面積を狭小化することが可能となる。ここで、パワーシリンダで構成された脚76は、下部フレーム47の下部に入り込むことができるように設計されている(図11参照)。
側面72のメンテナンスが完了すると、側面72をもとの位置に戻し、その後、上部フレーム49を回動させてもとの位置に戻す。このとき、第二ローラ61が下部フレーム47に干渉する位置では一度上部フレーム49側へ移動(収納)し、更に上部フレーム49を下部フレーム47に向かって押すようにして回動させる。また、上部フレーム49には、回動しすぎないように下部フレーム47に当接してそれ以上回動することができないように構成されるストッパ部材78が設けられている(図4参照)。ストッパ部材78が下部フレーム47に当接した後に、上部フレーム49と下部フレーム47との間を係止部にて係止することで、フレーム41内をキャリア13が搬送可能な状態になる。そして、上部フレーム49側へ移動していた第二ローラ61を再度移動して、上部フレーム49の荷重を支持するために床面FLに接地する。
また、このような回動可能なフレーム41は、スパッタ室34の側面72の幅に合わせた位置に配置すればよく、側面72が一つのフレーム41では対応できない大きさの幅を有している場合には、二つのフレーム41を回動可能なものにし、かつ、中心から左右へ開くことができるようにフレーム41を配置することで、フレーム二つ分の幅まで対応することができる。
本実施形態によれば、フレーム41と、ガラス基板11が縦型に載置されたキャリア13を支持すると共にキャリア13を搬送する下部支持機構43と、キャリア13を支持する上部支持機構45と、を備えたコンベア40において、フレーム41を、下部フレーム47と上部フレーム49とで構成し、下部支持機構43が下部フレーム47に設け、上部支持機構45が上部フレーム49に設け、上部フレーム49が下部フレーム47と分離して移動可能に構成した。
また、下部支持機構43は下部フレーム47に取り付けられており、キャリア13の荷重を下部支持機構43で支持しながらキャリア13を搬送させるため、下部支持機構43の搬送ルートが精度良く位置決めされた状態で、キャリアを精度良く搬送することができる。したがって、キャリア13に搭載したガラス基板11の割れ、キャリア13からのパーティクル発生、搬送トラブルを防止することができる。
また、下部フレーム47を、床面FLに固定し、上部フレーム49を下部フレーム47の床面FLに固定された垂直軸55と連接し、垂直軸55を中心に回動可能に構成した。
この場合、下部支持機構43は固定された状態で、上部支持機構45のみを回動させることができる。したがって、下部支持機構43の精度を維持することができる。また、上部フレーム49が下部フレーム47を床面FLに固定する垂直軸55を中心に回動可能に構成されているため、下部フレーム47の上方に容易に空間77を形成することができるとともに、上部フレーム49を下部フレーム47の上方まで簡単に復帰させて位置決めすることができる。
また、上部支持機構45は、キャリア13を非接触で支持するように構成した。
このように構成したため、上部フレーム49(上部支持機構45)の位置が若干ずれてもキャリア13の搬送には影響を及ぼすことがなく、キャリア13を確実に搬送することができる。
また、上部フレーム49の回動方向手前側の側面56に、床面FLに対して上部フレーム49を支持しつつ床面FLに沿って転動する第一ローラ59を設け、上部フレーム49の回動方向奥側の側面60に、床面FLに対して上部フレーム49を支持しつつ床面FLに沿って転動する第二ローラ61を設け、第二ローラを、上部フレーム49の回動時に下部フレーム47と干渉しない位置に移動可能に構成した。具体的には、第二ローラ61を、脚63の先端に設け、脚63は回動軸62を介して上部フレーム49に接続した。第二ローラ61は、上部フレーム49を回動させる際に、回動軸62を介して垂直断面で略半円形状を描くように回動して、第二ローラ61を上方に跳ね上げ、下部フレーム47に干渉しない位置まで移動可能に構成した。
この場合、上部フレーム49を回動させる際に、上部フレーム49の荷重を下部フレーム47に連接している垂直軸55、第一ローラ59および第二ローラ61の3点で床面FLに支持することができるため、上部フレーム49が自重で傾いたりすることなく、確実に回動させることができる。また、第二ローラ61は下部フレーム47と干渉しないように移動可能に構成されているため、上部フレーム49を回動させる際に、下部フレーム47と干渉するときのみ第二ローラ61を移動し、その他のときは床面FLに対して上部フレーム49を支持するために使用することができ、効率よく使用することができる。
さらに、ガラス基板11を縦型支持して搬送するキャリア13と、キャリア13に搭載されたガラス基板11に成膜処理を行う成膜処理経路17と、成膜処理経路17に並列配置されたキャリア搬送経路15と、を備え、成膜処理経路17のスパッタ室34の側面72に設けられたカソード部材80が、キャリア搬送経路15に向かって倒れるように移動可能に構成された成膜装置10において、キャリア搬送経路15に、キャリア13の下部支持機構43を備えた下部フレーム47から分離して、キャリア13の上部支持機構45を備えた上部フレーム49が設けられ、その上部フレーム49が、移動したカソード部材80との干渉を回避しうる位置(退避位置)まで移動可能に構成した。
この場合、上部フレーム49を移動させて、下部フレーム47の上方に空間77を形成することができると共に、その空間77に移動したスパッタ室34の側面72に設けられたカソード部材80を配置することができるため、成膜処理経路17とキャリア搬送経路15との距離を近づけることができる。したがって、成膜装置10の設置面積を狭小化することができる。
そして、スパッタ室34の側面72に設けられたカソード部材80のメンテナンスをする際に、上部フレーム49を回動させることにより、下部フレーム47の上方に形成された空間77に、カソード部材80を配置することができるため、成膜処理経路17とキャリア搬送経路15との間隔を狭くすることができる。したがって、成膜装置10の中庭81を狭くすることができるため、成膜処理経路17とキャリア搬送経路15とを備えた成膜装置10の設置面積を狭小化することができる。
本発明の技術範囲は、上述した実施形態に限定されるものではなく、本発明の趣旨を逸脱しない範囲において、上述した実施形態に種々の変更を加えたものを含む。すなわち、実施形態で挙げた具体的な材料や構成等は一例にすぎず、適宜変更が可能である。
例えば、本実施形態において、上部支持機構45を、上部フレーム49に設けたマグネットとキャリア13に設けたマグネットとを反発させる構成にしたが、図12に示すように、上部フレーム49に設けた一つのマグネット53とキャリア13に設けたマグネット66とが垂直方向に対向するように、かつ互いのマグネット53,66が吸着しあうように配置し、キャリア13を略垂直に保持できるようにしてもよい。
また、本実施形態において、成膜処理経路に両面成膜方式のものを採用した場合の説明をしたが、片面成膜方式において、スパッタ室の側面に設けられたカソード部材をキャリア搬送経路側に倒してメンテナンスを行うように構成されている成膜装置に、本発明を適用してもよい。
本発明のコンベアおよび成膜装置によれば、その設置面積を狭小化することが可能である。

Claims (7)

  1. フレームと、
    基板が縦型に載置されたキャリアを支持すると共に前記キャリアを搬送する下部支持機構と、
    前記キャリアを支持する上部支持機構と、を備えたコンベアにおいて、
    前記フレームが、下部フレームと上部フレームとで構成され、
    前記下部支持機構が下部フレームに設けられ、前記上部支持機構が上部フレームに設けられ、前記上部フレームが前記下部フレームと分離して移動可能に構成されていることを特徴とするコンベア。
  2. 前記下部フレームが、床面に支持固定され、
    前記上部フレームが、前記下部フレームを床面に支持する垂直軸のいずれか一つと連接されてなり、前記垂直軸を中心に回動可能に構成されている請求項1に記載のコンベア。
  3. 前記上部支持機構は、前記キャリアを非接触で支持する請求項1に記載のコンベア。
  4. 前記上部フレームの回動方向手前側に、前記床面に対して前記上部フレームを支持しつつ前記床面に沿って転動する第一ローラが設けられ、
    前記上部フレームの回動方向奥側に、前記床面に対して前記上部フレームを支持しつつ前記床面に沿って転動する第二ローラが設けられ、
    前記第二ローラは、前記上部フレームの回動時に前記下部フレームと干渉しない位置に移動可能に構成されている請求項1に記載のコンベア。
  5. 基板を縦型支持して搬送するキャリアと、
    前記キャリアに搭載された前記基板に成膜処理を行う成膜処理経路と、
    前記成膜処理経路に並列配置されたキャリア搬送経路と、を備え、
    前記成膜処理経路の構成部材が、前記キャリア搬送経路に向かって移動可能に構成された成膜装置において、
    前記キャリア搬送経路には、請求項1〜4のいずれかに記載のコンベアが設けられ、
    前記上部フレームが、移動した前記成膜処理経路の構成部材との干渉を回避しうる位置まで移動可能に構成されている成膜装置。
  6. 前記成膜処理経路の構成部材は、スパッタ処理装置のターゲットの支持部材である請求項5に記載の成膜装置。
  7. 請求項5に記載の成膜装置のメンテナンス方法であって、
    前記上部フレームを前記下部フレームから退避位置まで分離して移動させる工程と、前記成膜処理経路の構成部材を前記下部フレームの上方に倒して、前記成膜処理経路の構成部材をメンテナンスする工程とを具備するメンテナンス方法。
JP2009510844A 2007-04-16 2008-04-15 コンベアおよび成膜装置とそのメンテナンス方法 Active JP4839405B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2009510844A JP4839405B2 (ja) 2007-04-16 2008-04-15 コンベアおよび成膜装置とそのメンテナンス方法

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2007106877 2007-04-16
JP2007106877 2007-04-16
PCT/JP2008/057339 WO2008129983A1 (ja) 2007-04-16 2008-04-15 コンベアおよび成膜装置とそのメンテナンス方法
JP2009510844A JP4839405B2 (ja) 2007-04-16 2008-04-15 コンベアおよび成膜装置とそのメンテナンス方法

Publications (2)

Publication Number Publication Date
JPWO2008129983A1 JPWO2008129983A1 (ja) 2010-07-22
JP4839405B2 true JP4839405B2 (ja) 2011-12-21

Family

ID=39875511

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009510844A Active JP4839405B2 (ja) 2007-04-16 2008-04-15 コンベアおよび成膜装置とそのメンテナンス方法

Country Status (6)

Country Link
US (1) US8740205B2 (ja)
JP (1) JP4839405B2 (ja)
KR (1) KR101181503B1 (ja)
CN (1) CN101641272B (ja)
TW (1) TWI425587B (ja)
WO (1) WO2008129983A1 (ja)

Families Citing this family (329)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101181503B1 (ko) * 2007-04-16 2012-09-10 가부시키가이샤 아루박 콘베이어 및 성막 장치와 그 보수관리 방법
DE102008015982B3 (de) * 2008-03-27 2009-07-30 Grenzebach Maschinenbau Gmbh Verfahren und Vorrichtung zur Fixierung und den Weitertransport stoßempfindlicher Platten in Sputter-Beschichtungsanlagen, Computerprogramm zur Durchführung des Verfahrens und maschinenlesbarer Träger hierzu
JP5274148B2 (ja) * 2008-08-19 2013-08-28 東京エレクトロン株式会社 処理システム
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8842357B2 (en) 2008-12-31 2014-09-23 View, Inc. Electrochromic device and method for making electrochromic device
KR101064586B1 (ko) 2008-12-31 2011-09-15 (주)구일엔지니어링 측면 투입식 슬라이드형 엘씨엠 운반용 거치대
JP5393209B2 (ja) * 2009-03-11 2014-01-22 株式会社アルバック 成膜装置
US8432603B2 (en) 2009-03-31 2013-04-30 View, Inc. Electrochromic devices
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
DE102009018393B4 (de) * 2009-04-22 2017-05-24 Atotech Deutschland Gmbh Verfahren, Haltemittel, Vorrichtung und System zum Transportieren eines flächigen Behandlungsgutes und Be- oder Entladeeinrichtung
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5731838B2 (ja) * 2010-02-10 2015-06-10 キヤノンアネルバ株式会社 トレイ式基板搬送システム、成膜方法及び電子装置の製造方法
JP5498868B2 (ja) * 2010-06-18 2014-05-21 株式会社アルバック 真空装置、真空処理装置
CN102674006A (zh) * 2011-03-14 2012-09-19 无锡康力电子有限公司 玻璃镀膜机用装片架
KR101483180B1 (ko) * 2011-04-11 2015-01-19 가부시키가이샤 아루박 성막 장치
CN103329257B (zh) * 2011-04-15 2016-11-09 株式会社爱发科 被处理体的运送机构
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103043441A (zh) * 2011-10-14 2013-04-17 黄正栋 传动装置
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
ES2657115T3 (es) 2011-12-12 2018-03-01 View, Inc. Dispositivos de película fina y fabricación
TWI473202B (zh) * 2011-12-19 2015-02-11 Ind Tech Res Inst 承載裝置及應用其之基材卸載方法
JP5545498B2 (ja) * 2011-12-21 2014-07-09 株式会社ダイフク 物品保管設備及び物品保管設備におけるメンテナンス方法
JP6011066B2 (ja) * 2012-06-28 2016-10-19 住友電気工業株式会社 半導体装置の製造方法
JP2014015633A (ja) * 2012-07-05 2014-01-30 Sumitomo Heavy Ind Ltd 成膜装置、及び成膜装置用搬送トレイ
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP6234731B2 (ja) * 2013-08-08 2017-11-22 上村工業株式会社 クランパーを備える保持具
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10005625B2 (en) * 2013-10-29 2018-06-26 Sakai Display Products Corporation Plate support body and conveyance apparatus
WO2015096855A1 (en) * 2013-12-23 2015-07-02 Applied Materials, Inc. Holding arrangement for substrates
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN105083980B (zh) * 2015-06-10 2017-12-01 合肥京东方光电科技有限公司 溅射设备及其基板承载装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) * 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
WO2017050350A1 (en) * 2015-09-21 2017-03-30 Applied Materials, Inc. Substrate carrier, and sputter deposition apparatus and method using the same
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US20190376177A1 (en) * 2016-11-23 2019-12-12 Corning Incorporated Vertical substrate holder
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP6336146B2 (ja) * 2017-01-24 2018-06-06 株式会社アルバック インライン式成膜装置、および、成膜方法
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
WO2019037873A1 (en) * 2017-08-25 2019-02-28 Applied Materials, Inc. LIFTING OR LOWERING ASSEMBLY OF A SUPPORT, APPARATUS FOR TRANSPORTING A SUPPORT IN A VACUUM CHAMBER, AND METHOD OF LIFTING OR LOWERING A SUPPORT
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
CN110453200A (zh) * 2019-08-16 2019-11-15 星弧涂层新材料科技(苏州)股份有限公司 片材纵置运输小车及气相沉积设备
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11945660B2 (en) * 2021-08-09 2024-04-02 Applied Materials, Inc. Linear sorter using vacuum belt
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01268870A (ja) * 1988-04-18 1989-10-26 Anelva Corp 縦トレイ搬送式スパッタ装置
JPH08274142A (ja) * 1995-03-30 1996-10-18 Anelva Corp インライン式成膜装置
JP2003229468A (ja) * 2002-02-06 2003-08-15 Mitsubishi Heavy Ind Ltd クラスタ型真空処理装置

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3155383A (en) * 1962-10-11 1964-11-03 Link Division Of General Prec Precision positioning apparatus
JPS55134414A (en) * 1979-04-06 1980-10-20 Hitachi Ltd Precise moving unit
KR0129662B1 (ko) * 1987-10-30 1998-04-07 고다까 토시오 이동 테이블 장치
US5623853A (en) * 1994-10-19 1997-04-29 Nikon Precision Inc. Precision motion stage with single guide beam and follower stage
JP3709896B2 (ja) * 1995-06-15 2005-10-26 株式会社ニコン ステージ装置
US5760564A (en) * 1995-06-27 1998-06-02 Nikon Precision Inc. Dual guide beam stage mechanism with yaw control
JP2002309372A (ja) 2001-04-13 2002-10-23 Canon Inc インライン式成膜装置、成膜方法及び液晶素子
KR100469353B1 (ko) * 2002-02-06 2005-02-02 엘지.필립스 엘시디 주식회사 액정표시소자용 합착 장치
US7448606B1 (en) * 2003-12-04 2008-11-11 Innovative Tools & Technologies, Inc. Large automotive panel paint rack
AU2004274240A1 (en) * 2004-02-29 2005-03-31 Ljubomir Nikolic Improved universal vehicle engine, gearbox and like stand
DE102005009096A1 (de) * 2005-02-22 2006-08-24 Maschinenfabrik Spaichingen Gmbh Vorrichtung zum Bearbeiten von Kunststoff enthaltenden Werkstücken
KR101181503B1 (ko) * 2007-04-16 2012-09-10 가부시키가이샤 아루박 콘베이어 및 성막 장치와 그 보수관리 방법
US7959141B2 (en) * 2008-12-23 2011-06-14 Sumitomo Heavy Industries, Ltd. Stage apparatus

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01268870A (ja) * 1988-04-18 1989-10-26 Anelva Corp 縦トレイ搬送式スパッタ装置
JPH08274142A (ja) * 1995-03-30 1996-10-18 Anelva Corp インライン式成膜装置
JP2003229468A (ja) * 2002-02-06 2003-08-15 Mitsubishi Heavy Ind Ltd クラスタ型真空処理装置

Also Published As

Publication number Publication date
WO2008129983A1 (ja) 2008-10-30
US20100126415A1 (en) 2010-05-27
TW200848339A (en) 2008-12-16
KR101181503B1 (ko) 2012-09-10
KR20090117822A (ko) 2009-11-12
JPWO2008129983A1 (ja) 2010-07-22
TWI425587B (zh) 2014-02-01
CN101641272B (zh) 2011-11-16
CN101641272A (zh) 2010-02-03
US8740205B2 (en) 2014-06-03

Similar Documents

Publication Publication Date Title
JP4839405B2 (ja) コンベアおよび成膜装置とそのメンテナンス方法
US6471459B2 (en) Substrate transfer shuttle having a magnetic drive
JP2009094242A (ja) 基板保持機構、基板受渡機構、及び基板処理装置
JP5554779B2 (ja) 可動シールドをもつコーティングチャンバ
JP2009105081A (ja) 基板処理装置
KR20140007685A (ko) 유기층 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조 방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
WO2016080235A1 (ja) 蒸着装置、蒸着方法、及び、有機エレクトロルミネッセンス素子の製造方法
JPH09279341A (ja) トレイ搬送式インライン成膜装置
JP2011074423A (ja) 有機elデバイス製造装置及び有機elデバイス製造方法並びに成膜装置及び成膜方法
KR20140145383A (ko) 인라인형 대면적 oled 하향식 증착기
WO2018230592A1 (ja) 真空処理装置
KR20140046977A (ko) 성막장치용 기판반송트레이, 및 외부개폐구동장치
KR20130087604A (ko) 성막 장치
JP2021502474A (ja) 可動シールドキャリアを有する装置
KR20140038844A (ko) 초대면적 유기전계발광소자 박막의 대량생산 제조용 하향식 선형 증발원과 하향식 증착기
JP6055229B2 (ja) 被処理体の搬送機構および真空処理装置
KR101885124B1 (ko) 기판 처리 장치
KR101880457B1 (ko) 기판 처리 장치 및 기판 처리 방법
JP2010024469A (ja) スパッタ装置
KR20140141374A (ko) 증착장치, 이를 이용한 유기발광 디스플레이 장치 제조 방법 및 유기발광 디스플레이 장치
JPH02207546A (ja) インライン式真空装置に於ける基板搬送装置
JP2009149945A (ja) インラインスパッタ装置
KR20220043206A (ko) 경로 스위칭 조립체, 이를 갖는 챔버 및 기판 프로세싱 시스템, 및 이들을 위한 방법들
KR20240105486A (ko) 캐리어 운송 시스템, 진공 증착 시스템, 및 캐리어 운송 방법
WO2023093992A1 (en) Carrier transport system, vacuum deposition system, and method of carrier transport

Legal Events

Date Code Title Description
TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110906

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111003

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141007

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4839405

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250