TWI425587B - 輸送器及成膜裝置與其保養方法 - Google Patents

輸送器及成膜裝置與其保養方法 Download PDF

Info

Publication number
TWI425587B
TWI425587B TW097113642A TW97113642A TWI425587B TW I425587 B TWI425587 B TW I425587B TW 097113642 A TW097113642 A TW 097113642A TW 97113642 A TW97113642 A TW 97113642A TW I425587 B TWI425587 B TW I425587B
Authority
TW
Taiwan
Prior art keywords
carrier
frame
upper frame
film forming
roller
Prior art date
Application number
TW097113642A
Other languages
English (en)
Other versions
TW200848339A (en
Inventor
Koji Ishino
Hajime Nakamura
Mayako Matsuda
Takaaki Shindou
Takaharu Koshimizu
Original Assignee
Ulvac Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ulvac Inc filed Critical Ulvac Inc
Publication of TW200848339A publication Critical patent/TW200848339A/zh
Application granted granted Critical
Publication of TWI425587B publication Critical patent/TWI425587B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/061Lifting, gripping, or carrying means, for one or more sheets forming independent means of transport, e.g. suction cups, transport frames
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/062Easels, stands or shelves, e.g. castor-shelves, supporting means on vehicles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/063Transporting devices for sheet glass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/068Stacking or destacking devices; Means for preventing damage to stacked sheets, e.g. spaces
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67236Apparatus for manufacturing or treating in a plurality of work-stations the substrates being processed being not semiconductor wafers, e.g. leadframes or chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67706Mechanical details, e.g. roller, belt
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67712Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrate being handled substantially vertically
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2249/00Aspects relating to conveying systems for the manufacture of fragile sheets
    • B65G2249/02Controlled or contamination-free environments or clean space conditions
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49815Disassembling
    • Y10T29/49817Disassembling with other than ancillary treating or assembling

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Physical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

輸送器及成膜裝置與其保養方法
本發明係關於一種輸送器及成膜裝置。
本申請案係基於2007年4月16日於日本申請之日本專利2007-106877號並主張其優先權,且將其內容引用至本文。
於液晶顯示器等之製造步驟中,對大型玻璃基板進行加熱處理或成膜處理等真空處理。因此,開發有各種真空處理裝置。其中之一,使用有承載器循環型之線上濺鍍裝置,以於液晶顯示器之彩色濾光片側形成ITO膜(電極膜)(例如,參照專利文獻1)。
又,於濺鍍裝置中,有使濺鍍後之玻璃基板於真空內旋轉或者往復移動並返回真空中之類型(真空回復型);以及自真空搬出至大氣側之後使其旋轉或者往復移動並返回之類型(大氣回復型)。進而,亦有於真空中搬送彼此面向之承載器,以大氣側之旋轉機構逐片地分開並返回之類型(兩面成膜方式之大氣回復型)。此處,於大氣回復型之濺鍍裝置中具備:真空裝置,其製作出真空狀態,並藉由濺鍍而將薄膜成膜於玻璃基板上;以及大氣搬送系統,其將搭載有成膜前後之玻璃基板之承載器搬送至真空處理裝置外部。該大氣回復型之濺鍍裝置中,存在由真空處理裝置及大氣搬送系統所包圍之區域(中庭)。玻璃基板為於濺鍍裝置內穩定地搬送,而安裝於被稱為承載器之台車上。
又,於大氣搬送系統中,承載器係由輸送器進行搬送。作為該輸送器之機構,對於下部支持機構使用齒條&小齒輪或滾輪,並視需要而利用使用有磁體之非接觸機構來作為上部支持機構。此處,為避免搭載於承載器上之玻璃基板之破裂、自承載器產生之微粒、搬送故障,尤其重要的是調整輸送器之搬送路線之定心。又,自調整之容易度之觀點而言,下部支持機構與上部支持機構係安裝於同一框架上,以不會發生偏芯或隨時間產生之搬送路線之偏移等,並且將框架牢固地固定於底面上,以於暫時調整或不會發生位置偏移。
[專利文獻1]日本專利特開2002-309372號公報(圖4)
然而,上述專利文獻1之圖4中記載之線上式成膜裝置中,必須進行濺鍍室之靶材或防止於真空腔室內之附膜之防附板等的定期更換及其他機械系統之定期保養等。此處,圖13表示先前之成膜裝置之整體構成。如圖13所示,濺鍍室34之保養作業係在自濺鍍室34將包含靶材之陰極構件80放倒之狀態下進行。
然而,於自濺鍍室34朝向大氣搬送系統115將陰極構件80放倒之構造之成膜裝置110中,輸送器40之下部支持機構及上部支持機構不動,故而必須將真空處理裝置113與大氣搬送系統115之間擴大,以使得放倒之陰極構件80與大氣搬送系統115於俯視時不會重疊。即,如圖13所示必 須將中庭117擴大,從而導致成膜裝置110所必需之設置面積增大之問題。進而,近年來,玻璃基板之大型化正在發展,與此相伴,陰極構件80之保養機構111亦大型化,因此導致必須確保中庭117更大之問題。
因此,本發明係有鑒於上述狀況而研製,提供一種於可搬送承載器之輸送器以及具備上述輸送器且具備真空處理裝置及搬送系統之成膜裝置中,可使其設置面積狹小化之輸送器及成膜裝置。
本發明之第一態樣係一種輸送器,其包含:框架;下部支持機構,其支持呈縱型載置有基板之承載器,並且搬送上述承載器;以及上部支持機構,其支持上述承載器,其中,上述框架由下部框架及上部框架構成,上述下部支持機構設於下部框架上,上述上部支持機構設於上部框架上,上述上部框架構成為可與上述下部框架分離地移動。
於此情形時,具有下述效果,即,可挪動設有上部支持機構之上部框架與設有下部支持機構之下部框架之位置關係。
又,下部支持機構安裝於下部框架上,一面利用下部支持機構來支持承載器之載荷一面搬送承載器,故而在下部支持機構之搬送途徑得到高精度定位之狀態下,可高精度地搬送承載器。因此,有下述效果,即,可防止搭載於承載器上之基板之破裂、自承載器產生之微粒、搬送故障。
上述下部框架支持固定於底面上,上述上部框架與將上 述下部框架支持於底面上之垂直軸之任一個相連接,且構成為可以上述垂直軸為中心而轉動。
於此情形時,下部支持機構在被固定之狀態下可僅使上部支持機構轉動。因此,有可維持下部支持機構之精度之效果。又,上部框架構成為,可以將下部框架固定於底面上之垂直軸為中心而轉動,故而有下述效果,即,可於下部框架之上方容易地形成空間,並且可將上部框架簡單地恢復至下部框架之上方並定位。
上述上部支持機構非接觸地支持上述承載器。
於此情形時,上部支持機構構成為,可非接觸地搬送承載器,故而有下述效果,即,即便上部框架(上部支持機構)之位置稍許偏移,亦不會對承載器之搬送造成影響,從而能可靠地搬送承載器。
於上述上部框架之轉動方向跟前側設有第一滾輪,該第一滾輪相對於上述底面而支持上述上部框架,並且沿上述底面轉動,於上述上部框架之轉動方向裏側設有第二滾輪,該第二滾輪相對於上述底面而支持上述上部框架,並且沿上述底面轉動,上述第二滾輪構成為,於上述上部框架轉動時,可移動至與上述下部框架不相干涉之位置。
於此情形時,於使上部框架轉動時,可利用連接於上述下部框架之垂直軸、第一滾輪及第二滾輪該3點而將上部框架之載荷支持於底面上,因此有下述效果,即,上部框架不會因自重而傾倒,而能可靠地轉動。
又,第二滾輪構成為可收納,故而有下述效果,即,於 使上部框架轉動時,能僅在與下部框架相干涉時使第二滾輪移動至不會干涉之位置,而其他時間用以相對於底面而支持上部框架,從而能有效地使用第二滾輪。
一種成膜裝置,其包含:上述承載器,其縱型支持並搬送上述基板;成膜處理路徑,其對搭載於上述承載器上之上述基板進行成膜處理;以及承載器搬送路徑,其並列配置於上述成膜處理路徑中,且上述成膜處理路徑之構成構件構成為可朝向上述承載器搬送路徑移動,其中,於上述承載器搬送路徑上設有如上所述之任一個輸送器,上述上部框架構成為,可移動至可避免與所移動之上述成膜處理路徑之上述構成構件相干涉之位置為止。
於此情形時,使上部框架移動,從而可於下部框架之上方形成空間,並且可對移動至該空間中之成膜處理路徑之構成構件進行配置,故而可拉近成膜處理路徑與承載器搬送路徑之距離。因此有下述效果,即,可使成膜裝置之設置面積狹小化。
上述成膜處理路徑之上述構成構件係濺鍍處理裝置之靶材之支持構件。
於此情形時,於對濺鍍處理裝置進行保養時,藉由使上部框架轉動,而可在形成於下部框架上方之空間內配置濺鍍處理裝置之靶材之支持構件,故而可縮窄成膜處理路徑與承載器搬送路徑之間隔。因此有下述效果,即,可使具備成膜處理路徑及承載器搬送路徑之成膜裝置之設置面積狹小化。
一種上述成膜裝置之保養方法,其包括下述步驟:使上述上部框架自上述下部框架分離移動至退避位置為止;以及將上述成膜處理路徑之構成構件放倒至上述下部框架之上方,以對上述成膜處理路徑之構成構件進行保養。
於此情形時,可使上述上部框架移動,以於上述下部框架之上方形成空間,並且可對移動至該空間內之上述成膜處理路徑之上述構成構件進行配置,故而可拉近上述成膜處理路徑與上述承載器搬送路徑之距離。因此有下述效果,即,可使成膜裝置之設置面積狹小化。
根據本發明,於成膜裝置之保養時,可使輸送器之上部框架轉動而於下部框架之上方形成空間,並且可於該空間內配置成膜處理裝置之構成構件,故而可拉近成膜處理路徑與承載器搬送路徑之距離。因此有下述效果,即,可使成膜裝置之設置面積狹小化。
繼而,根據圖1~圖12說明本發明之實施形態。於以下之說明中所用之各圖式中,為使各構件之大小可識別,會適當變更各構件之比例。
(成膜裝置)
圖1係表示成膜裝置之整體構成之平面圖。
如圖1所示,成膜裝置10包括:承載器搬送路徑15,其配置有縱型保持玻璃基板11之承載器13,且於大氣壓下搬送該承載器13;以及成膜處理路徑17,其於真空狀態下對 玻璃基板11進行成膜。此處,成膜裝置10係兩面成膜方式之成膜裝置,其構成為,於成膜處理路徑17中可並行兩行承載器13而進行搬送,且構成為,於後述之濺鍍室34中可自兩側進行成膜。
成膜處理路徑17依次包括:加載互鎖真空室31,其連接於第二旋轉部23,且具備真空排氣裝置29;加熱室32,其具備加熱器30;濺鍍入口室33,其進行於行進方向上鄰接之承載器13間之距離之調整;濺鍍室34,其對玻璃基板11進行成膜;濺鍍出口室35,其進行於行進方向上鄰接之承載器13間之距離之調整;以及卸載互鎖真空室36,其具備真空排氣裝置29。卸載互鎖真空室36與承載器搬送路徑15之第三旋轉部24相連接。
又,於第二旋轉部23與加載互鎖真空室31之間設有閘閥38a,同樣地,於加載互鎖真空室31與加熱室32之間設有閘閥38b,於加熱室32與濺鍍入口室33之間設有閘閥38c,於濺鍍出口室35與卸載互鎖真空室36之間設有閘閥38d,於卸載互鎖真空室36與第三旋轉部24之間設有閘閥38e。
又,濺鍍室34之保養機構71構成為,將與濺鍍室34內搬送承載器13之成膜處理路徑17平行之側面72放倒。於側面72上,配置有作為靶材之支持構件之陰極構件80或防止於濺鍍室34內附膜之防附板等。於藉由靶材之更換等而對陰極構件80進行保養時,藉由保養機構71將側面72放倒,於此狀態下進行保養。
圖2係表示保養機構71之概略構成之說明圖。
如圖2所示,於側面72之下部延設有腳73,於腳73之下端附近經由轉動軸74而與濺鍍室34之下部構造體75相連接。於側面72上,一體形成有複數條腳76(本實施形態中為4條)。腳76由動力缸構成,其軸部82經由轉動軸84而連接於下部構造體75。並且,軸部82藉由動力缸可伸縮地構成。
返回圖1,承載器搬送路徑15包括:入口部21,其用於將自他處搬送而來之玻璃基板11放入至成膜裝置10內;第一旋轉部22及第二旋轉部23,其等使玻璃基板11旋轉而將其引導向成膜處理路徑17;第三旋轉部24及第四旋轉部25,使於成膜處理路徑17內成膜已完成之玻璃基板11旋轉而將其引導向後述之出口部27;搬送部26,其搬送經成膜之玻璃基板11;以及出口部27,其自成膜裝置10取出經成膜之玻璃基板11。
承載器搬送路徑15係保持於大氣壓下之環境中,但為避免微粒以可維持高潔淨度,而使用HEPA過濾器,產生下降流之氣流以保持承載器搬送路徑15內之環境。
又,玻璃基板11構成為,自他處以水平狀態被搬送,於入口部21處使玻璃基板11大致垂直地提昇,其後搭載於承載器13上。出口部27處,以與入口部21相反之順序而自承載器13取出玻璃基板11。
圖3係表示承載器13之概略構成之立體圖。
如圖3所示,承載器13包括:由鋁等構成之框狀之承載器框架65;磁體66,其沿承載器框架65之上邊而設置;由 圓棒構成之滑塊67,其沿承載器框架65之下邊而設置;基板座68,其用於承受玻璃基板11之載荷,且保持玻璃基板11之水平度;夾具69,其用於使玻璃基板11保持於承載器13上;以及遮罩70,其用於覆蓋玻璃基板11之周緣之非成膜區域。
並且,搭載有玻璃基板11之承載器13構成為,可於承載器搬送路徑15及成膜處理路徑17內移動。
(輸送器)
圖4係輸送器40之剖面圖。
如圖4所示,輸送器40包括:由鋼製構件組成之框架41;下部支持機構43,其支持承載器13之載荷並且可搬送承載器13;以及上部支持機構45,其可非接觸地支持承載器13之上部。
此處,框架41包括:設有下部支持機構43之下部框架47;以及設有上部支持機構45之上部框架49。承載器13構成為,於框架41內藉由下部支持機構43及上部支持機構45而於水平方向且大致垂直地保持之狀態下可移動。伴隨著玻璃基板11之大型化,框架41例如形成為高4600 mm,寬600 mm(僅框架部)左右之大小。
圖5係表示輸送器40之下部支持機構43之構成之立體圖。
如圖5所示,下部支持機構43具備馬達51及滾輪52。藉由馬達51進行驅動,滾輪52旋轉,使得承載器13於滾輪52上水平移動。具體而言,設於承載器13下部之後述滑塊67 扣合於滾輪52外周之槽部,使得承載器13可水平移動。於一個下部框架47內設有複數個滾輪52,以使得承載器13無障礙地水平移動。
圖6係表示輸送器40之上部支持機構45之構成之說明圖。
如圖6所示,上部支持機構45於上部框架49之上部,且以自承載器13之上邊所通過之區域向側方隔開間隔之方式而設有一對磁體53a、53b。一對磁體53a、53b以彼此之N極與S極相對向之方式而安裝。又,一對磁體53a、53b於上部框架49內設有複數對。並且,於承載器13之上邊亦安裝有後述之磁體66,磁體66通過上部框架49之一對磁體53a、53b之間,其配置成,磁體53a之N極與磁體66之N極相對向,磁體53b之S極與磁體66之S極相對向。
藉由以此方式構成,磁體彼此排斥,從而可將承載器13保持為垂直狀態。即,藉由垂直保持玻璃基板11,可抑制隨著玻璃基板11之大型化而引起之成膜裝置10之設置面積之增大,並且可避免大型基板之彎曲所造成之影響。
返回圖4,具備下部支持機構43之下部框架47固定於底面FL上。即,下部支持機構43於成膜裝置10之設置階段進行位置調整,以使得承載器13能可靠地水平移動,且鄰接之框架41之下部支持機構43亦一併進行位置調整,使得暫時調整時位置不會偏移。
下部支持機構43藉由滾輪52而搬送承載器13,但滾輪52係一面承受搭載有玻璃基板11之承載器13之載荷一面於水 平方向上進行搬送,因此當搬送滾輪發生稍許偏移時,承載器13於搬送時會振動,由此會產生微粒,從而發生良率降低等問題。因此,較理想的是,對於下部支持機構43要求高位置精度,於暫時進行位置調整之後,固定於該位置。
圖7係表示輸送器40之框架構成之立體圖。
如圖7所示,上部框架49可轉動地連接於下部框架47之1根垂直軸55,且配置於下部框架47之正上方。又,於上部框架49之轉動方向跟前側之側面56上,設有於使上部框架49轉動時所使用之把手57。進而,以連接於把手57之方式而朝向底面FL延出有腳58,於腳58之前端,以與底面FL相接之方式而設有第一滾輪59。
返回圖4,於與安裝有第一滾輪59之側面(轉動方向跟前側之面)56相對向之側面60上設有第二滾輪61。第二滾輪61設於腳63之前端,腳63經由轉動軸62而連接於上部框架49。第二滾輪61於使上部框架49轉動時,可移動至不會與下部框架47相干涉之位置位置。此處,第二滾輪61經由轉動軸62而以於垂直剖面上描繪大致半圓形狀之方式轉動,從而接觸於底面FL。於收納有第二滾輪61之狀態下,第二滾輪61藉由未圖示之止動構件而保持於上部框架49上。圖4中,第二滾輪61之構成為,藉由以轉動軸62為中心轉動而收納,而第二滾輪61於使上部框架49轉動時,可移動至不會與下部框架47相干涉之位置為止,且可高精度地返回至原始之位置,因此,例如亦可為藉由向鉛直上方平行移 動而可收納腳63之構成,亦可使用定位用聯軸器等而使腳63可裝卸。又,為在搬送承載器13時(上部框架49配置於下部框架47正上方之狀態)及使上部框架49轉動時,亦發揮承受其載荷之作用,較理想的是,第二滾輪61安裝於偏離垂直軸55之位置處。
圖8係表示輸送器40之框架構成之平面圖,圖9係圖8之A部詳細側視圖。
如圖8、圖9所示,於上部框架49之側面60側,與下部框架47之邊界部上設有承重導滾輪64。承重導滾輪64設於側面60之兩側,於上部框架49位於下部框架47上部時(通常狀態),與以自下部框架47向側方突出之方式而一體成形之承受部86相接觸。承重導滾輪64藉由與承受部86相接觸而將上部框架49之載荷之一部分傳遞至下部框架47側,從而支持於下部框架47上。藉由以此方式構成,上部框架49於通常狀態下,其四角由第一滾輪59、第二滾輪61、垂直軸55及承重導滾輪64而支持,從而可保持穩定之狀態。
又,於上部框架49與下部框架47之間,設有未圖示之扣止部。扣止部例如具備手柄,藉由使手柄旋轉(扭轉),上部框架49或下部框架47之一者上所設之軸插通於另一者上所形成之孔,從而將上部框架49與下部框架47扣止,以進行位置對準。
進而,於上部框架49與下部框架47之間,形成有20 mm左右之間隙,於該間隙中介裝有未圖示之防塵橡膠。防塵橡膠例如可使用剖面為半圓形狀者。藉此,可提高框架之 防塵性能。
並且,於上部框架49之側面56上,設有抵接於下部框架47並阻止多餘之轉動以使得不會過度轉動之止動構件78(參照圖4)。止動構件78抵接於下部框架47之後,利用扣止部將上部框架49與下部框架47之間扣止,藉此可進行上部框架49與下部框架47之位置對準。
(基板成膜方法)
其次,根據圖1等說明對玻璃基板11進行成膜時之作用。
玻璃基板11自他處以水平狀態搬送至成膜裝置10之入口部21之前為止。其後,將玻璃基板11提昇成垂直狀態,將玻璃基板11搭載至載置於入口部21處之承載器13。此時,使玻璃基板11抵接於承載器13之基板座68之後,利用夾具69將玻璃基板11保持於承載器13上(參照圖3)。
搭載有玻璃基板11之承載器13藉由下部支持機構43之滾輪52旋轉而開始移動(參照圖5),從而搬送至第一旋轉部22。第一旋轉部22在旋轉台79上載置有承載器13之狀態下,首先以垂直軸為中心而使承載器13旋轉大致90度之後,搬送至第二旋轉部23。第二旋轉部23利用旋轉台79使承載器13進而以垂直軸為中心而旋轉大致90度,從而成為可搬送至成膜處理路徑17之加載互鎖真空室31之方向。繼而,在閘閥38a成為開狀態之後,將承載器13搬送至加載互鎖真空室31。
當承載器13搬送至加載互鎖真空室31後,使閘閥38a為 閉狀態,其後,利用真空排氣裝置29對室內進行排氣,使加載互鎖真空室31成為真空狀態。
加載互鎖真空室31內成為真空狀態之後,使閘閥38b為開狀態,將承載器13搬送至加熱室32。
當承載器13搬送至加熱室32後,使閘閥38b為閉狀態,其後,利用加熱器30對玻璃基板11進行加熱。當玻璃基板11之加熱完成後,使閘閥38c為開狀態,將承載器13搬送至濺鍍入口室33。
當承載器13搬送至濺鍍入口室33後,使閘閥38c為閉狀態。其後,將承載器13移動至連接於位於其前方之承載器之後端之位置為止。於承載器13位於前方之承載器之後端之階段,使其速度與前方之承載器相同。藉由以此方式構成,可利用濺鍍室34連續地對玻璃基板11進行濺鍍,因此可高效地進行成膜。
在該狀態下,承載器13搬送至濺鍍室34。濺鍍室34藉由一般之濺鍍法而對玻璃基板11實施成膜。此處,於玻璃基板11之周緣配置有遮罩70(參照圖3),因此僅玻璃基板11之必要區域得到成膜。當成膜完成後,承載器13搬送至濺鍍出口室35。
當承載器13搬送至濺鍍出口室35後,提高承載器13之速度,以空開與連接於後方之承載器之間隔。其後,使閘閥38d為開狀態,將承載器13搬送至卸載互鎖真空室36。此時,卸載互鎖真空室36被保持為真空狀態。
當承載器13搬送至卸載互鎖真空室36後,使閘閥38d為 閉狀態。其後,承載器13於卸載互鎖真空室36內進行搬送,使閘閥38e為開狀態,將承載器13搬送至大氣壓下之第三旋轉部24。
繼而,使搬送至第三旋轉部24之承載器13藉由旋轉台79以垂直軸為中心而旋轉大致90度,以搬送至第四旋轉部25。第四旋轉部25使承載器13藉由旋轉台79進而以垂直軸為中心而旋轉大致90度,以成為可搬送至搬送部26之方向之後,將承載器13搬送至搬送部26。承載器13搬送搬送部26,並導向出口部27。
當承載器13搬送至出口部27後,將搭載於承載器13上之成膜後之玻璃基板11取出,將玻璃基板11搬送至下一步驟之裝置。
此處,該成膜裝置10係由兩條成膜處理路徑17平行地形成,以使得於濺鍍室34中可自兩側進行成膜。即,於濺鍍室34中,平行地配置兩組承載器13,從而可同時對搭載於各承載器13上之玻璃基板11進行成膜。成膜處理路徑17亦可僅形成一條。
於如此之成膜裝置10之情形時,於濺鍍室34之兩側面72上,設有作為靶材之陰極構件80或設有用於防止於濺鍍室34內附膜之防附板等。陰極構件80或防附板需要更換或定期檢查等保養。此時,將濺鍍室34之側面72放倒至底面上,在使安裝有靶材等之面成為水平之狀態下進行保養。
(濺鍍室之保養方法)
對進行濺鍍室34之陰極構件80之保養時之機構進行說 明。
如圖2所示,於對設於濺鍍室34之側面72上之陰極構件80進行保養時,將側面72放倒成大致平行於底面FL。
當將側面72放倒後,側面72以轉動軸74為中心而放倒,側面72放倒成水平狀態為止。此時,與一體形成於側面72上之腳76相連接之軸部82一面以轉動軸84為中心而轉動,且藉由動力缸一面延伸一面將側面72放倒。當腳76之前端位於底面FL上後,可支持配置有陰極構件80之側面72之載荷,並且可保持為水平。
(框架之動作方法)
其次,使用圖10對使濺鍍室34之保養機構71運轉時之框架41之動作進行說明。
圖10係輸送器之上部框架移動時之概略說明圖。
如圖10所示,當拆除將框架41之上部框架49與下部框架47扣止之未圖示之扣止部,將上部框架49之把手57拉至跟前側時,上部框架49以連接於上部框架49與下部框架47之間之垂直軸55為中心而轉動,以此方式進行移動。此時,上部框架49藉由垂直軸55與第一滾輪59而支持載荷。繼而,於使上部框架49轉動至安裝有第二滾輪61之部位於俯視時不會與下部框架47相干涉之位置為止之後,拆除第二滾輪61之未圖示之止動部,使第二滾輪61以轉動軸62為中心而轉動以接觸於底面FL。在該狀態下,進而使上部框架49轉動,轉動大致90度。此時,上部框架49可利用垂直軸55、第一滾輪59及第二滾輪61該3點來支持載荷,上部框 架49可利用自重而無晃動地轉動。
圖11係表示濺鍍室之側面72放倒時之與下部框架47之位置關係之概略說明圖。
如圖11所示,當使上部框架49移動後,於下部框架47之上方形成空間77。於該空間77中放倒有濺鍍室34之側面72,於俯視時其與下部框架47重疊(參照圖1)。進而,側面72配置於下部框架47之上方,即,下部框架47與側面72於上下方向(垂直方向)上不會干涉。藉此,必須將成膜處理路徑17與承載器搬送路徑15配置成,放倒之濺鍍室34之側面72與承載器搬送路徑15於俯視時不會重疊(參照圖13),從而可拉近成膜處理路徑17與承載器搬送路徑15之間之距離(參照圖1)。即,可縮窄形成於成膜裝置10中之中庭81,故而可使成膜裝置10之設置面積狹小化。此處可設計成,由動力缸構成之腳76可進入至下部框架47之下部(參照圖11)。
當側面72之保養完成後,使側面72返回至原本之位置,其後,使上部框架49轉動而返回至原本之位置。此時,第二滾輪61在干涉於下部框架47之位置上,暫時移動(收納)至上部框架49側,進而,使上部框架49轉動以朝向下部框架47按壓。又,於上部框架49上設有止動構件78,其抵接於下部框架47而使上部框架49不能進行多餘之轉動以不會過度轉動(參照圖4)。於止動構件78抵接於下部框架47之後,將上部框架49與下部框架47之間利用扣止部而扣止,藉此成為可於框架41內搬送承載器13之狀態。繼而,使已 移動至上部框架49側之第二滾輪61再度移動,從而接觸於底面FL以支持上部框架49之荷重。
又,如此之可轉動之框架41只要配置於與濺鍍室34之側面72之寬度一致之位置上即可,當側面72具有一個框架41無法對應之大小之寬度時,可使兩個框架41轉動,且以可自中心向左右打開之方式配置框架41,藉此可對應於兩個框架之寬度。
根據本實施形態,於具備框架41、支持呈縱型載置有玻璃基板11之承載器13並且搬送承載器13之下部支持機構43、以及支持承載器13之上部支持機構45之輸送器40中,使框架41由下部框架47及上部框架49構成,將下部支持機構43設於下部框架47上,將上部支持機構45設於上部框架49上,使上部框架49構成為與下部框架47可分離地移動。
又,下部支持機構43安裝於下部框架47上,一面利用下部支持機構43來支持承載器13之載荷一面搬送承載器13,故而在下部支持機構43之搬送途徑得到高精度定位之狀態下,可高精度地搬送承載器。因此,可防止搭載於承載器13上之玻璃基板11之破裂、自承載器13產生之微粒、搬送故障。
又,將下部框架47固定於底面FL上,將上部框架49與下部框架47之固定於底面FL上之垂直軸55相連接,使其構成為可以垂直軸55為中心而轉動。
於此情形時,在下部支持機構43被固定之狀態下,可僅使上部支持機構45轉動。因此,可維持下部支持機構43之 精度。又,由於上部框架49構成為可以將下部框架47固定於底面FL上之垂直軸55為中心而轉動,故而可於下部框架47之上方容易地形成空間77,並且可使上部框架49簡單地恢復至下部框架47之上方並定位。
又,上部支持機構45非接觸地支持承載器13。
由於係以此方式構成,故而即便上部框架49(上部支持機構45)之位置稍許偏移亦不會對承載器13之搬送造成影響,從而能可靠地搬送承載器13。
又,於上部框架49之轉動方向跟前側之側面56上設有第一滾輪59,其相對於底面FL而支持上部框架49,並且沿底面FL轉動,於上部框架49之轉動方向裏側之側面60上設有第二滾輪61,其相對於底面FL而支持上部框架49,並且沿底面FL轉動,第二滾輪構成為,於上部框架49之轉動時,可移動至與下部框架47不相干涉之位置。具體而言,將第二滾輪61設於腳63之前端,腳63經由轉動軸62而連接於上部框架49。第二滾輪61於使上部框架49轉動時,經由轉動軸62而以於垂直剖面上描繪大致半圓形狀之方式而轉動,從而將第二滾輪61跳升至上方,從而可移動至不會干涉於下部框架47之位置為止。
於此情形時,於使上部框架49轉動時,可利用連接於下部框架47之垂直軸55、第一滾輪59及第二滾輪61該3點而將上部框架49之載荷支持於底面FL上,因此上部框架49不會因自重而傾倒,而能可靠地轉動。又,第二滾輪61構成為,能以與下部框架47不相干涉之方式而移動,故而於使 上部框架49轉動時,能僅在與下部框架47相干涉時使第二滾輪61移動,而其他時間用以相對於底面FL而支持上部框架49,從而能有效地使用第二滾輪61。
進而,於具備縱型支持並搬送玻璃基板11之承載器13、對搭載於承載器13上之玻璃基板11進行成膜處理之成膜處理路徑17、以及並列配置於成膜處理路徑17中之承載器搬送路徑15,且成膜處理路徑17之設於濺鍍室34之側面72上之陰極構件80以可朝向承載器搬送路徑15放倒之方式而移動之成膜裝置10中,於承載器搬送路徑15中,自具備承載器13之下部支持機構43的下部框架47分離地設有具備承載器13之上部支持機構45的上部框架49,該上部框架49構成為,可移動至可避免與已移動之陰極構件80相干涉之位置(退避位置)為止。
於此情形時,使上部框架49移動,從而可於下部框架47之上方形成空間77,並且可對移動至該空間77中之濺鍍室34之側面72上所設之陰極構件80進行配置,故而可拉近成膜處理路徑17與承載器搬送路徑15之距離。因此,可使成膜裝置10之設置面積狹小化。
繼而,於對設於濺鍍室34之側面72上之陰極構件80進行保養時,藉由使上部框架49轉動,而可在形成於下部框架47上方之空間77內配置陰極構件80,從而可縮窄成膜處理路徑17與承載器搬送路徑15之間隔。因此,可縮窄成膜裝置10之中庭81,故而可使具備成膜處理路徑17及承載器搬送路徑15之成膜裝置10之設置面積狹小化。
本發明之技術範圍並不限定於上述實施形態,亦包括於不脫離本發明之宗旨之範圍內對上述實施形態添加有各種變更者。即,實施形態中所舉之具體材料或構成等僅限於一例,可進行適當變更。
例如,於本實施形態中,係使上部支持機構45構成為,使設於上部框架49上之磁體與設於承載器13之磁體相排斥,但亦可如圖12所示配置成,使設於上部框架49上之一個磁體53與設於承載器13上之磁體66於垂直方向上相對向,且相互之磁體53、66彼此吸附,以可將承載器13保持為大致垂直。
又,於本實施形態中,對成膜處理路徑採用有兩面成膜方式者之情形進行了說明,但於單面成膜方式中,亦可將本發明適用於以下述方式構成之成膜裝置,即,將設於濺鍍室側面之陰極構件放倒至承載器搬送路徑側進行保養。
[產業上之可利用性]
根據本發明之輸送器及成膜裝置,可使其設置面積狹小化。
10‧‧‧成膜裝置
11‧‧‧玻璃基板(基板)
13‧‧‧承載器
15‧‧‧承載器搬送路徑
17‧‧‧成膜處理路徑
34‧‧‧濺鍍室(濺鍍處理裝置)
40‧‧‧輸送器
41‧‧‧框架
43‧‧‧下部支持機構
45‧‧‧上部支持機構
47‧‧‧下部框架
49‧‧‧上部框架
55‧‧‧垂直軸
59‧‧‧第一滾輪
61‧‧‧第二滾輪
80‧‧‧陰極構件(構成構件、靶材之支持構件)
FL‧‧‧底面
圖1係表示本發明實施形態之濺鍍裝置之整體構成之概略圖。
圖2係表示本發明實施形態之濺鍍室之保養機構之動作之說明圖。
圖3係於本發明實施形態之承載器上載置有玻璃基板時之立體圖。
圖4係本發明實施形態之輸送器之側視圖。
圖5係表示本發明實施形態之輸送器之下部支持機構之構成之立體圖。
圖6係表示本發明實施形態之輸送器之上部支持機構之構成之概略圖。
圖7係表示本發明實施形態之輸送器之框架構成之立體圖。
圖8係表示本發明實施形態之輸送器之框架構成之平面圖。
圖9係圖8之A部放大側視圖。
圖10係本發明實施形態之輸送器之上部框架移動時之概略說明圖。
圖11係表示本發明實施形態之濺鍍室之側面放倒時之與下部框架之位置關係之概略說明圖。
圖12係表示本發明實施形態之輸送器之上部支持機構之其他構成之概略圖。
圖13係表示先前之成膜裝置之整體構成之概略圖。
11‧‧‧玻璃基板
13‧‧‧承載器
40‧‧‧輸送器
41‧‧‧框架
43‧‧‧下部支持機構
45‧‧‧上部支持機構
47‧‧‧下部框架
49‧‧‧上部框架
51‧‧‧馬達
52‧‧‧滾輪
53a、53b、66‧‧‧磁體
56、60‧‧‧側面
57‧‧‧把手
58、63‧‧‧腳
59‧‧‧第一滾輪
61‧‧‧第二滾輪
62‧‧‧轉動軸
67‧‧‧滑塊
78‧‧‧止動構件
FL‧‧‧底面

Claims (7)

  1. 一種輸送器,其包含:框架;下部支持機構,其支持呈縱型載置有基板之承載器,並且搬送上述承載器;以及上部支持機構,其支持上述承載器,其特徵在於,上述框架由下部框架及上部框架構成,上述下部支持機構設於下部框架上,上述上部支持機構設於上部框架上,並構成為上述上部框架可與上述下部框架分離地移動。
  2. 如請求項1之輸送器,其中上述下部框架支持固定於底面上,上述上部框架與將上述下部框架支持於底面上之垂直軸中之任一者相連接,且構成為可以上述垂直軸為中心而轉動。
  3. 如請求項1之輸送器,其中上述上部支持機構非接觸地支持上述承載器。
  4. 如請求項2之輸送器,其中於上述上部框架之轉動方向跟前側設有第一滾輪,該第一滾輪相對於上述底面而支持上述上部框架,並且沿上述底面轉動,於上述上部框架之轉動方向裏側設有第二滾輪,該第二滾輪相對於上述底面而支持上述上部框架,並且沿上 述底面轉動,上述第二滾輪構成為,於上述上部框架轉動時,可移動至與上述下部框架不相干涉之位置。
  5. 一種成膜裝置,其包含:承載器,其縱型支持並搬送基板;成膜處理路徑,其對搭載於上述承載器上之上述基板進行成膜處理;以及承載器搬送路徑,其並列配置於上述成膜處理路徑中,且上述成膜處理路徑之構成構件係構成為可朝向上述承載器搬送路徑移動,其特徵在於,於上述承載器搬送路徑上設有如請求項1~4中任一項之輸送器,上述上部框架構成為,可移動至可避免與所移動之上述成膜處理路徑之構成構件相干涉之位置為止。
  6. 如請求項5之成膜裝置,其中上述成膜處理路徑之構成構件係濺鍍處理裝置之靶材之支持構件。
  7. 一種保養方法,其係如請求項5之成膜裝置之保養方法,且其包括下述步驟:使上述上部框架自上述下部框架分離移動至退避位置為止;以及將上述成膜處理路徑之構成構件放倒至上述下部框架之上方,以對上述成膜處理路徑之構成構件進行保養。
TW097113642A 2007-04-16 2008-04-15 輸送器及成膜裝置與其保養方法 TWI425587B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007106877 2007-04-16

Publications (2)

Publication Number Publication Date
TW200848339A TW200848339A (en) 2008-12-16
TWI425587B true TWI425587B (zh) 2014-02-01

Family

ID=39875511

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097113642A TWI425587B (zh) 2007-04-16 2008-04-15 輸送器及成膜裝置與其保養方法

Country Status (6)

Country Link
US (1) US8740205B2 (zh)
JP (1) JP4839405B2 (zh)
KR (1) KR101181503B1 (zh)
CN (1) CN101641272B (zh)
TW (1) TWI425587B (zh)
WO (1) WO2008129983A1 (zh)

Families Citing this family (329)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101181503B1 (ko) * 2007-04-16 2012-09-10 가부시키가이샤 아루박 콘베이어 및 성막 장치와 그 보수관리 방법
DE102008015982B3 (de) * 2008-03-27 2009-07-30 Grenzebach Maschinenbau Gmbh Verfahren und Vorrichtung zur Fixierung und den Weitertransport stoßempfindlicher Platten in Sputter-Beschichtungsanlagen, Computerprogramm zur Durchführung des Verfahrens und maschinenlesbarer Träger hierzu
JP5274148B2 (ja) * 2008-08-19 2013-08-28 東京エレクトロン株式会社 処理システム
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8842357B2 (en) 2008-12-31 2014-09-23 View, Inc. Electrochromic device and method for making electrochromic device
KR101064586B1 (ko) 2008-12-31 2011-09-15 (주)구일엔지니어링 측면 투입식 슬라이드형 엘씨엠 운반용 거치대
JP5393209B2 (ja) * 2009-03-11 2014-01-22 株式会社アルバック 成膜装置
US8432603B2 (en) 2009-03-31 2013-04-30 View, Inc. Electrochromic devices
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
DE102009018393B4 (de) * 2009-04-22 2017-05-24 Atotech Deutschland Gmbh Verfahren, Haltemittel, Vorrichtung und System zum Transportieren eines flächigen Behandlungsgutes und Be- oder Entladeeinrichtung
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5731838B2 (ja) * 2010-02-10 2015-06-10 キヤノンアネルバ株式会社 トレイ式基板搬送システム、成膜方法及び電子装置の製造方法
JP5498868B2 (ja) * 2010-06-18 2014-05-21 株式会社アルバック 真空装置、真空処理装置
CN102674006A (zh) * 2011-03-14 2012-09-19 无锡康力电子有限公司 玻璃镀膜机用装片架
KR101483180B1 (ko) * 2011-04-11 2015-01-19 가부시키가이샤 아루박 성막 장치
CN103329257B (zh) * 2011-04-15 2016-11-09 株式会社爱发科 被处理体的运送机构
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103043441A (zh) * 2011-10-14 2013-04-17 黄正栋 传动装置
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
ES2657115T3 (es) 2011-12-12 2018-03-01 View, Inc. Dispositivos de película fina y fabricación
TWI473202B (zh) * 2011-12-19 2015-02-11 Ind Tech Res Inst 承載裝置及應用其之基材卸載方法
JP5545498B2 (ja) * 2011-12-21 2014-07-09 株式会社ダイフク 物品保管設備及び物品保管設備におけるメンテナンス方法
JP6011066B2 (ja) * 2012-06-28 2016-10-19 住友電気工業株式会社 半導体装置の製造方法
JP2014015633A (ja) * 2012-07-05 2014-01-30 Sumitomo Heavy Ind Ltd 成膜装置、及び成膜装置用搬送トレイ
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP6234731B2 (ja) * 2013-08-08 2017-11-22 上村工業株式会社 クランパーを備える保持具
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10005625B2 (en) * 2013-10-29 2018-06-26 Sakai Display Products Corporation Plate support body and conveyance apparatus
WO2015096855A1 (en) * 2013-12-23 2015-07-02 Applied Materials, Inc. Holding arrangement for substrates
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN105083980B (zh) * 2015-06-10 2017-12-01 合肥京东方光电科技有限公司 溅射设备及其基板承载装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) * 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
WO2017050350A1 (en) * 2015-09-21 2017-03-30 Applied Materials, Inc. Substrate carrier, and sputter deposition apparatus and method using the same
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US20190376177A1 (en) * 2016-11-23 2019-12-12 Corning Incorporated Vertical substrate holder
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP6336146B2 (ja) * 2017-01-24 2018-06-06 株式会社アルバック インライン式成膜装置、および、成膜方法
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
WO2019037873A1 (en) * 2017-08-25 2019-02-28 Applied Materials, Inc. LIFTING OR LOWERING ASSEMBLY OF A SUPPORT, APPARATUS FOR TRANSPORTING A SUPPORT IN A VACUUM CHAMBER, AND METHOD OF LIFTING OR LOWERING A SUPPORT
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
CN110453200A (zh) * 2019-08-16 2019-11-15 星弧涂层新材料科技(苏州)股份有限公司 片材纵置运输小车及气相沉积设备
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11945660B2 (en) * 2021-08-09 2024-04-02 Applied Materials, Inc. Linear sorter using vacuum belt
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01268870A (ja) * 1988-04-18 1989-10-26 Anelva Corp 縦トレイ搬送式スパッタ装置
JPH08274142A (ja) * 1995-03-30 1996-10-18 Anelva Corp インライン式成膜装置
JP2003229468A (ja) * 2002-02-06 2003-08-15 Mitsubishi Heavy Ind Ltd クラスタ型真空処理装置

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3155383A (en) * 1962-10-11 1964-11-03 Link Division Of General Prec Precision positioning apparatus
JPS55134414A (en) * 1979-04-06 1980-10-20 Hitachi Ltd Precise moving unit
KR0129662B1 (ko) * 1987-10-30 1998-04-07 고다까 토시오 이동 테이블 장치
US5623853A (en) * 1994-10-19 1997-04-29 Nikon Precision Inc. Precision motion stage with single guide beam and follower stage
JP3709896B2 (ja) * 1995-06-15 2005-10-26 株式会社ニコン ステージ装置
US5760564A (en) * 1995-06-27 1998-06-02 Nikon Precision Inc. Dual guide beam stage mechanism with yaw control
JP2002309372A (ja) 2001-04-13 2002-10-23 Canon Inc インライン式成膜装置、成膜方法及び液晶素子
KR100469353B1 (ko) * 2002-02-06 2005-02-02 엘지.필립스 엘시디 주식회사 액정표시소자용 합착 장치
US7448606B1 (en) * 2003-12-04 2008-11-11 Innovative Tools & Technologies, Inc. Large automotive panel paint rack
AU2004274240A1 (en) * 2004-02-29 2005-03-31 Ljubomir Nikolic Improved universal vehicle engine, gearbox and like stand
DE102005009096A1 (de) * 2005-02-22 2006-08-24 Maschinenfabrik Spaichingen Gmbh Vorrichtung zum Bearbeiten von Kunststoff enthaltenden Werkstücken
KR101181503B1 (ko) * 2007-04-16 2012-09-10 가부시키가이샤 아루박 콘베이어 및 성막 장치와 그 보수관리 방법
US7959141B2 (en) * 2008-12-23 2011-06-14 Sumitomo Heavy Industries, Ltd. Stage apparatus

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01268870A (ja) * 1988-04-18 1989-10-26 Anelva Corp 縦トレイ搬送式スパッタ装置
JPH08274142A (ja) * 1995-03-30 1996-10-18 Anelva Corp インライン式成膜装置
JP2003229468A (ja) * 2002-02-06 2003-08-15 Mitsubishi Heavy Ind Ltd クラスタ型真空処理装置

Also Published As

Publication number Publication date
WO2008129983A1 (ja) 2008-10-30
US20100126415A1 (en) 2010-05-27
JP4839405B2 (ja) 2011-12-21
TW200848339A (en) 2008-12-16
KR101181503B1 (ko) 2012-09-10
KR20090117822A (ko) 2009-11-12
JPWO2008129983A1 (ja) 2010-07-22
CN101641272B (zh) 2011-11-16
CN101641272A (zh) 2010-02-03
US8740205B2 (en) 2014-06-03

Similar Documents

Publication Publication Date Title
TWI425587B (zh) 輸送器及成膜裝置與其保養方法
TWI375135B (en) Reduced-pressure drying device
JP2009094242A (ja) 基板保持機構、基板受渡機構、及び基板処理装置
KR101959975B1 (ko) 유기층 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조 방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
JP2009105081A (ja) 基板処理装置
TWI388031B (zh) 處理系統
KR101854165B1 (ko) 공정 챔버, 이를 포함하는 반도체 제조 장치 및 기판 처리 방법
JP2008174361A (ja) 基板搬送装置
JP2009146932A (ja) 基板搬送装置、基板搬送方法及び真空処理装置
TWI638758B (zh) 真空處理裝置
KR20180001117A (ko) 기판 처리 장치
KR101300853B1 (ko) 기판 반송 시스템, 기판 반송 장치 및 기판 처리 장치
TW201415572A (zh) 成膜裝置用基板搬運托盤、及外部開閉驅動裝置
JP5730322B2 (ja) 蒸着装置及び蒸着方法
KR101511179B1 (ko) 성막장치, 및 성막장치용 반송트레이
JP2021502474A (ja) 可動シールドキャリアを有する装置
KR20110066864A (ko) 기판처리장치, 기판처리방법 및 이 기판처리방법을 실행시키기 위한 프로그램을 기록한 기록매체
JP2014078602A (ja) 基板搬送トレイ起伏装置
KR101880457B1 (ko) 기판 처리 장치 및 기판 처리 방법
JP3976531B2 (ja) 基板受渡機構、及びフォトマスクの製造方法
JP2010080856A (ja) 基板処理装置
KR102094520B1 (ko) 기판 처리 장치 및 방법과 이를 이용한 표시장치 제조방법
KR20200123822A (ko) 성막 장치
KR20190029549A (ko) 기판 처리 장치
TW202027205A (zh) 真空處理裝置