JP4567667B2 - ウェーハ担持ロボットを較正するための方法 - Google Patents

ウェーハ担持ロボットを較正するための方法 Download PDF

Info

Publication number
JP4567667B2
JP4567667B2 JP2006507009A JP2006507009A JP4567667B2 JP 4567667 B2 JP4567667 B2 JP 4567667B2 JP 2006507009 A JP2006507009 A JP 2006507009A JP 2006507009 A JP2006507009 A JP 2006507009A JP 4567667 B2 JP4567667 B2 JP 4567667B2
Authority
JP
Japan
Prior art keywords
wafer
camera
robot
image
calibration
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2006507009A
Other languages
English (en)
Other versions
JP2006522476A (ja
JP2006522476A5 (ja
Inventor
サディジ,イラジュ
ハドゲンズ,ジェフ
ライス,マイケル
ワイカ,ゲリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2006522476A publication Critical patent/JP2006522476A/ja
Publication of JP2006522476A5 publication Critical patent/JP2006522476A5/ja
Application granted granted Critical
Publication of JP4567667B2 publication Critical patent/JP4567667B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1679Programme controls characterised by the tasks executed
    • B25J9/1692Calibration of manipulator
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1694Programme controls characterised by use of sensors other than normal servo-feedback from position, speed or acceleration sensors, perception control, multi-sensor controlled systems, sensor fusion
    • B25J9/1697Vision controlled systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Robotics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manipulator (AREA)

Description

関連出願の相互参照
[0001]本出願は、2002年4月19日に出願された同時係属の米国出願第10/126,493号の一部継続出願であり、その全体を参照として本明細書に組み入れる。
開示の背景
発明の分野
[0002]本発明の実施形態は一般的に、ビジョンシステム、処理システムを検査するための方法および処理システム内のオブジェクトの位置を判断するための方法に関する。
発明の背景
[0003]自動化処理システムでのロボットの使用はますます普及してきている。ロボットはしばしば、人的労力の使用によっては一般的に達成不可能な正確さと効率で反復タスクを実行することができる。さらに、ロボットは、移動中のコンポーネントや慎重を期する環境への接近について、このような場所での人的労力の使用が望ましくない場所で使用可能である。
[0004]これは、基板の置き間違いや位置ずれがコスト的なダメージおよび/または予定外のシステムメンテナンスをもたらす半導体処理システムにおいてとりわけ重要である。ずれている基板はしばしばダメージを受け、他の基板や機器にダメージを与え、またはずれによってうまく処理されず、廃棄されてしまうこともある。例えば、半導体処理システムのロボットのエンドエフェクタ上に設置されている基板は、ロボットに固定されている基板の移動時にずれた基板に接触する可能性がある。基板が相互に接触すると、両基板のうちの一方がダメージを受けることがある。さらに、基板の一方または両方が取り除かれると、システムは、更なる処理が生じる前に基板の除去についてシャットダウンしなければならない。取り除かれた基板の取り戻しは、真空下でシステム動作の内部部分にアクセスする必要があり、生産時間のうちの数時間は、被害チャンバ内の真空環境の汚染除去および再確立に費やされることになる。
[0005]ロボットが移動した基板の正確な位置決めを保証するために、ロボットのエンドエフェクタの所望または所定の位置の基準ポイントや座標は通常、較正手順の一部としてロボットコントローラのメモリに入力されている。基準座標を取得することは、一般的に、通常マニュアルまたは自動化シーケンスによって所定位置にエンドエフェクタをジョギングすることを伴う。ロボットのエンドエフェクタの所定位置への到着は、エンドエフェクタの位置をマニュアルで観察することによって、またはリミットスイッチなどのセンサをエンドエフェクタ(またはロボットの他のコンポーネント)にトリガさせることによって確認することができる。このシーケンスは通常、システム全体にわたるロボットの運動範囲内の臨界位置ごとの全基準座標が確立される(つまりロボットまたはロボットコントローラのメモリに入力される)まで反復される。基準座標が確立されると、ロボットは基準座標を参照することによって、エンドエフェクタを正確かつ的確に臨界位置に移動させることができる。
[0006]多数の半導体処理システムにおいて、ロボットのエンドエフェクタのジョギングとエンドエフェクタの基準座標への到着の確認はマニュアルで行われる。オペレータは処理システム内のオブジェクトつまりターゲットに対するエンドエフェクタの場所を観察して、エンドエフェクタの位置を可視的に推定しなければならない。このタスクを実行する際にエンドエフェクタを適切に見るために、処理システムは通常周囲環境に対して開放されている。望ましくないが、このことは、人的被害やシステムダメージが生じうるロボットの運動範囲に暴露されている位置にオペレータを置くことになる。従って、オペレータに対して起こりうる被害を防ぐために、処理システムは正常にシャットダウンして、ロボットは不注意にオペレータと接触して、製品や、ツールやオペレータにダメージを与えないようにする。システムが周囲環境に暴露されているので、汚染除去手順は処理前に実行されなければならない。さらに、システムを動作圧に戻すために長期にわたるポンプダウンが実行されなければならない。システムがシャットダウンされている期間中、ウェーハは全く処理されず、貴重な生産時間が失われる。このことはすべて生産能力の望ましくない損失をもたらし、ひいては再較正が必要な場合は常に更なる能力の損失となる。
[0007]従って、オブジェクトの位置を判断するための改良された較正および方法に対する必要性が存在する。
発明の概要
[0008]本発明の一態様は一般的に、処理システムに設置されているロボットの運動を較正するためのビジョンシステムおよび方法を提供する。一実施形態において、処理システム用のビジョンシステムは、処理システムに位置決めされているカメラと較正ウェーハとを含んでいる。カメラはロボット上に位置決めされており、処理システム内の決められた場所に設置されている較正ウェーハの画像データを得るように適合されている。画像データを利用してロボットの運動を較正する。
詳細な説明
[0009]簡潔に要約されている本発明のより特定的な説明が、添付の図面に示されている実施形態を参照してなされる。しかしながら、添付の図面は本発明の通常の実施形態のみを示しており、従ってその範囲を制限するものとはみなされないことに留意すべきであり、それゆえ本発明は他の等しく効果的な実施形態を許容することができる。
[0024]理解を助けるために、可能な限り、全図面に共通の同一要素を指定するために同一の参照番号が使用されている。
[0025]本発明は一般的に、半導体処理システムおよび関連機器内で画像を取り込むためのビジョンシステムを提供する。ロボットのエンドエフェクタの位置を較正するために、かつシステム検査のために画像を使用することができる。本発明は、半導体処理システムやクラスタツール内のロボットのエンドエフェクタの位置を判断することを参照して以下に例示的に説明されている。しかしながら、本発明を利用して、外気(つまり周囲)環境にシステムを開放するする必要なく、半導体処理システム内の様々な検査および/または較正機能を実行することができる点が理解されるべきである。さらに、本発明は、化学機械的研磨システムおよび電気化学的堆積/研磨システムなどの他の半導体処理システム構成において実用性を有しており、ここではモバイルカメラから取得された画像が望ましい。
[0026]図1は、処理システム190内の画像を取り込むために利用可能なビジョンシステム150を含む例示的処理システム190の一実施形態を描いている。ビジョンシステム150は一般的に、カメラアセンブリ100と、カメラアセンブリ100が見た画像を処理および/または表示するためのコントローラ140とを含んでいる。カメラアセンブリ100は、システム190の1つ以上の基板転送ロボットによってシステム190に転送されるように適合されている。従って、カメラアセンブリ100によってコントローラ140に供給された画像を利用して、システム190の内部を周囲環境に暴露する必要なく較正目的および/または視覚チャンバ検査用のロボットの位置を判断することができる。カメラアセンブリ100によって得られた画像はまた他の目的にも利用可能である。
[0027]図1に描かれている例示的処理システム190は一般的に、複数の処理チャンバ192が結合されている中央転送チャンバ194を含んでいる。処理チャンバ192は半導体処理に関連した任意のタイプの処理チャンバであってもよく、これは数ある中でも化学気相成長チャンバ、原子層堆積チャンバ、物理気相成長チャンバ、方向チャンバ、脱ガスチャンバ、プレクリーンチャンバ、エッチングチャンバおよび熱処理チャンバを含むが、これらに制限されない。このような処理チャンバの例はカリフォルニア州サンタクララ(Santa Clara,California)にあるアプライドマテリアルズ社から入手可能であり、また、これもアプライドマテリアルズ社から入手可能な、例えば処理プラットフォームのPRODUCER(登録商標)、ENDURA(登録商標)およびCENTURA(登録商標)種という転送チャンバと共に利用可能である。
[0028]ポート188が、処理チャンバ192からの基板(およびカメラアセンブリ100)の出入りを許容するために各処理チャンバ192と転送チャンバ194との間で画成されている。ポート188は(明確にするために図1からは省略されている)スリットバルブによって選択的にシールされている。エンドエフェクタ198を有する転送ロボット196は、周囲の処理チャンバ192への基板(およびカメラアセンブリ100)の転送を容易にするために転送チャンバ104おいて中央に設置されている。利用可能な転送ロボットの一例は、これもまたApplied Materials,Inc.から入手可能なVHP(登録商標)ロボットである。他のロボットもまた使用可能である。
[0029]1つ以上のロードロックチャンバ184が転送チャンバ104とファクトリインタフェース180間で結合されている。2つのロードロックチャンバ184が図1に描かれている実施形態に示されている。ロードロックチャンバ184は、転送チャンバ194の真空環境とファクトリインタフェース180の実質的な外気環境との間の基板転送を容易にする。利用可能なロードロックチャンバの一例は、Rivkinらに2001年8月7日に発行された米国特許第6,270,582号に説明されており、その全体を参照として本明細書に組み入れる。
[0030]ファクトリインタフェース180はインタフェースロボット182を有しており、基板保存カセット174を受け取るように適合されている複数のベイ178を含んでいる。各カセット174は複数の基板174を保存するように構成されている。ファクトリインタフェース180は一般的に大気圧で、またはこの付近で維持されている。一実施形態において、フィルタリングされた空気がファクトリインタフェース180に供給されて、ファクトリインタフェース内の粒子濃度と、ひいては基板清浄度を最小化する。本発明の利点を享受するように適合可能なファクトリインタフェースの一例は、Kroekerによって1998年9月28日に出願された米国特許出願第09/161,970号に説明されており、その全体を参照として本明細書に組み入れる。
[0031]インタフェースロボット182は一般的に上記の転送ロボット196に類似している。インタフェースロボット182は、転送ロボット196のエンドエフェクタ198に類似のエンドエフェクタを含んでおり、従って同じ参照番号で参照されている。インタフェースロボット182はカセット176とロードロックチャンバ184間で基板を転送するように適合されている。
[0032]ドッキングステーション172がファクトリインタフェース180に設置されている。ドッキングステーション172はシステム190内のカメラアセンブリ100に対して保存領域を提供しているため、カメラアセンブリ100がカセット174や他のアクセスポートを介してシステム190に導入される必要なく較正、再較正または検査手順が容易になる。あるいは、ドッキングステーション172はシステム190内の他の場所に設置されてもよい。別の実施形態において、カメラアセンブリ100をカセット174に保存して、システム190からの導入や除去を許容することができる。あるいは、カメラアセンブリ100は使用中でない場合はシステム190から除去可能である。ドッキングステーション172の一実施形態を図3A〜3Bを参照して以下にさらに説明する。
[0033]カメラアセンブリ100がロボット196および182によって輸送されるように適合されているために、エンドエフェクタ198の位置の較正は処理システム190内の任意の位置で得ることができる。例えば、カメラアセンブリ100を使用して、処理チャンバ192、転送チャンバ194またはロードロックチャンバ184のうちの任意の1つにおける転送ロボットの位置を較正して、基板を的確かつ反復可能に置くことを保証することができる。カメラアセンブリ100を使用して、基板保存カセット176、ロードロックチャンバ184またはドッキングステーション172のうちの任意の1つにおけるファクトリインタフェースロボット182のエンドエフェクタ198の位置を較正することができる。基板の的確な位置決めはプロセスの反復性を高めつつ、基板のずれに起因する基板および機器へのダメージを削減する。さらに、カメラアセンブリ100の移動性によって処理システム190の内部の較正および視覚的検査が、転送および処理チャンバ194および192内の真空の損失や人的被害の危険性なく可能になる。さらにまた、検査/較正が実行されつつ処理が継続可能であるために生産性が高められる。
[0034]カメラアセンブリ100は一般的に、配置プレート106上に設置されているカメラ104と、電源138と送信機156とを備える。カメラアセンブリ100は、システム190内の種々のスリットバルブおよびポートを介して転送可能な高さを有しているべきであり、またロボット196のエンドエフェクタ198を、その上に設置される際に過剰にたるませないように、基板と同様の重さを有しているべきである。
[0035]配置プレート106は通常、アルミニウム、ステンレス鋼、プラスチックまたは他の剛性材料から構成される。カメラアセンブリ100が、例えば摂氏約350度以上の温度で化学気相成長を実行する処理チャンバ192において高温にさらされている実施形態において、配置プレート106は好ましくは、熱膨張係数が小さい非導電性材料から構成される。配置プレート106は一般的に、転送ロボット196のエンドエフェクタ198上にカメラ104をサポートするように構成されている。
[0036]配置プレート106は、転送中にロボットから取り除かれる可能性なくエンドエフェクタ198上でカメラ104をサポートするのに十分な任意の形状または幾何であってもよい。一実施形態において、配置プレート106の周辺の少なくとも一部は、従来の基板を折り返すように構成されている半径を有している(つまり、実質的にこれと同一である)。例えば、配置プレート106は、300mm、200mmまたは100mmのサイズの基板を折り返すために約150mm、約100mmまたは約50mmの半径を有する周辺の少なくとも一部を含むことができる。配置プレート106の代替構成は、多角形のフラットパネルを含む、他の標準的、従来的またはカスタムサイズの基板を折り返すことができる。
[0037]カメラ104は処理システム190内の画像を取り込むように適合されている。カメラ104は信号やビデオ画像を提供する。一実施形態において、カメラは、ニュージャージー州バリントン(Barrington,New Jersey)にあるEdmund Industrial Opticsから入手可能なモノクロ基板搭載カメラである。
[0038]電源138は一般的に、カメラ104と送信機156とに電力を提供する。電源138は設備電力のように遠隔にあってもよく、またバッテリのようにカメラアセンブリ100に内蔵されていてもよい。
[0039]一実施形態において、電源138は真空環境での使用に適したバッテリである。好ましくは、電源138は摂氏約200度以上の温度での断続的な使用に適している。一電源138は、SouthWest Electronics Energy Corporationから入手可能なバッテリモデル番号3S1Pである。
[0040]送信機156は、カメラ104が見た画像を表す信号を生成する。送信機156は、ロボットやブロードキャスト信号(つまり無線信号)を介するコントロールワイヤを通してコントローラに信号を提供することができる。利用可能な一送信機はSupercircuitsから入手可能なMVT−10である。
[0041]コントローラ140は、カメラ104が見た画像を送信機156から受け取るように適合されている。コントローラ140は中央演算処理装置(CPU)144と、サポート回路146とメモリ142とを含んでいる。CPU144は、種々のチャンバおよびサブプロセッサをコントロールするためのインダストリアル設定で使用可能な任意の形態のコンピュータプロセッサのうちの1つであってもよい。メモリ142はCPU144に結合されている。メモリ142つまりコンピュータ読み取り可能な媒体は、ランダムアクセスメモリ(RAM)、リードオンリーメモリ(ROM)、フロッピーディスク、ハードディスク、もしくは他の形態のディジタル記憶装置などの1つ以上の容易に入手可能なメモリであってもよく、またはローカルであっても、リモートであってもよい。サポート回路146はCPU144に結合されておおり、従来の方法でプロセッサをサポートしている。これらの回路は、キャッシュ、電源、クロック回路、入力/出力回路およびサブシステムなどを含んでいる。
[0042]受信機154はコントローラ140に結合されて、送信機156が発生した信号のコントローラ140への転送を容易にしている。利用可能な一受信機はSupercircuitsから入手可能なMVR−10である。場合により、モニタ148が、カメラ104からの画像を見るためにコントローラ140に結合されてもよい。
[0043]図2Aは、配置プレート106の下に設置されているエンドエフェクタ198によってサポートされているカメラアセンブリ100の平面図を描いている。図2Aに描かれているように、配置プレート106は、配置プレート106とロボットのエンドエフェクタ198間の位置的的確さを高めるために、ロボットのエンドエフェクタ198に形成されているそれぞれのホール204とインタフェース接続する1つ以上の配置ピン202を含んでいる。ピン202はエンドエフェクタ198に面する配置プレート106の第1の側部206から延びているのに対して、プレート106の対向する第2の側部208は送信機156をサポートしている。
[0044]配置プレート106は、エンドエフェクタ198を介して形成されている対応する開口222と整列して設置されている開口210をさらに含んでいる。開口210は、カメラ104に対向するプレート106の第1の側部206上のオブジェクトを見ることを容易にするプレート106に形成されているホール、またはプレート106の透明部分であってもよい。図2Aに描かれている実施形態において、開口210によってカメラ104のレンズ216は、配置プレート106を介して第1の側部206の下の位置まで延びることができる。レンズ216は、カメラ104が見るオブジェクトの焦点が合うような被写界深度218を有するように選択されている。一実施形態において、レンズ216は4.7mmの焦点距離レンズであり、これもまたEdmund Industrial Opticsから入手可能である。
[0045]図2Bに描かれているカメラアセンブリ100の別の実施形態において、開口210は、アクリル、石英またはポリカーボネートなどの透明材料のウィンドウ212で満たされている。あるいは、配置プレート106全体は透明材料から構成されてもよい。
[0046]カメラ104は、レンズ216をウィンドウ212の上に位置決めするために、配置プレート106の第2の側部208上に位置決めされている。この位置において、カメラ104は、システム190内のエンドエフェクタ198の位置を表す画像を得るための開口210/ウィンドウ212を介して、図1に見られる基板サポート186などのオブジェクトを見ることができる。場合により、カメラ104は反対方向に面している配置プレート106に搭載されて、配置プレート106の第2の側部208上の画像を見ることができ、それによって処理システム190の上部領域は種々のチャンバ蓋を除去することなく検査可能である。
[0047]ウィンドウ212は、場合により目印214を含むことができる。目印214は、開口210およびウィンドウ212を介してカメラ104からの画像の基準つまり「十字線」を提供する。目印214は、円形、十字形、または基準ポイントの輪郭を描くのに適した他のマークであってもよい。目印214を利用して、画像が比較される基準を提供することができる。目印214が開口で利用されている実施形態において、レンズ216は、目印214を含む被写界深度218を提供するように選択されるべきである。
[0048]図2Aを再度参照すると、電源138によって電源投入されたライト220が配置プレート106に結合されて、プレート106の第1の側部206の下のオブジェクトを照らすことができる。ライト220は通常開口210の側部に位置決めされて、ライト220が発生したビームは開口210の下のオブジェクトつまり表面を照らすことができる。一実施形態において、ライト220は、(図2Cに描かれているエンドエフェクタ198の一実施形態の上面図に見られるように)配置プレート106のホール224を介して延びる発光ダイオードである。ライト220は、エンドエフェクタ198の下に延びて、エンドエフェクタ198の外側に設置されているか、またはエンドエフェクタ198に形成されているホール226を通過するように構成可能である。
[0049]スイッチ240が、カメラアセンブリ100が起動可能なように配置プレート106に結合されている。スイッチ240はマニュアルのon/offスイッチであってもよく、またはコントローラや他の手段によって自動的にスイッチが入れられてもよい。一実施形態において、スイッチ240は、エンドエフェクタ198がカメラアセンブリ100の下に設置されている場合に配置プレート106の第1の側部206に対する、またはこの近くのエンドエフェクタ198の存在を検知する近接センサ、光学センサ、リミットスイッチまたは他のセンサ/スイッチである。これによってスイッチ240は、カメラアセンブリ100がロボットのエンドエフェクタ198によってサポートされている場合にライト220と、カメラ104と送信機156とを起動することができ、これによってバッテリ電力を節約することができる。
[0050]図3A〜図3Bは、電源138のバッテリ寿命を延ばすように適合されているドッキングステーション172の一実施形態を描いている。ドッキングステーション172は、使用中でない場合はカメラアセンブリ1000をサポートするように適合されている一方で、一般的にクレードル302と充電機構320とを含んでいる。クレードル302は、その上のカメラアセンブリ100をサポートするように構成されている。クレードル302の幾何は配置プレート106用に選択された構成にかなり左右されるために、クレードル302はカメラアセンブリ100をしっかりと保持する多数のバリエーションで構成可能である一方で、インタフェースロボット182のエンドエフェクタ198が、カメラアセンブリ100を置いて、そこからこれを取り戻すことができるようにする。
[0051]一実施形態において、クレードル302は、アルミニウム、ステンレス鋼またはポリマーなどの剛性材料から製作されており、また搭載部分304と、搭載部分304から張り出して延びているサポート部分306とを含んでいる。搭載部分304は複数のファスナ308によってファクトリインタフェース180に結合されている。
[0052]サポート部分306は、使用中でないカメラアセンブリ100をサポートするように間隔をあけて搭載部分304から延びている第1のアーム310と第2のアーム312とを含んでいる。アーム310および312は間隔をあけられており、ロボット182のエンドエフェクタ198がその間を通過できるようにし、それによってエンドエフェクタ198は、クレードル302に接触せずにカメラアセンブリ100を置いて、かつサポート部分306のアーム310および312からこれを取り戻すことができる。
[0053]各アーム310、312は1対のサポートポスト314を含んでいる。各サポートポスト314は、カメラアセンブリ100をサポートするためのシート316と、シート316上にカメラアセンブリ100を保持するために、カメラアセンブリ100を止めるためのリップ318とを含んでいる。
[0054]場合により、クレードル302は充電機構320を含むことができる。充電機構320は、カメラアセンブリ100の電源138を再充電するように適合されている一方で、非使用時にはクレードル302上に保存されている。一実施形態において、充電機構320は、システム190の外側に設置されている充電器324に結合されている1対のコンタクトピン322を含んでいる。コンタクトピン322は、搭載部分304から延びているタブ328に、アクチュエータ326によって結合されている。
[0055]近接センサやリミットセンサなどのセンサ330が、カメラアセンブリ100の存在を検出するクレードル302に結合されている。カメラアセンブリ100が検出されると、アクチュエータ326は、カメラアセンブリ100上に設置されている1対の導電性コンタクトパッド322に接触しているコンタクトピン322を移動させる。コンタクトパッド322は電源138のそれぞれのポールに結合されているため、コンタクトピン322を介して電源138を充電器324に電気的に結合させて、非使用時に電源138を再充電する。電源138が完全に充電されると、つまりコントローラ140がロボット182に、カメラアセンブリ100の取り戻しを命じると、アクチュエータ326はカメラアセンブリ100のないピン322を持ち上げて、ロボット182が、ピン322に接触せずにドッキングステーション172からカメラアセンブリ100を持ち上げることを可能にする。
[0056]コントローラ140は電源138の充電をモニタするように構成可能であるために、充電は、電源138が所定の充電レベルに戻ると終了される。あるいは、ドッキングステーション172にローカルに搭載されている専用論理回路(図示せず)などの他の手段を利用して、コンタクトピン211の作動をコントロールするのに伴って、充電をコントロールおよび/またはモニタすることができる。
[0057]図1に戻ると、コントローラ140はカメラ104が見た画像情報を受け取る。画像情報はコントローラ140によって処理されて、エンドエフェクタの位置を判断し、かつ/または処理システムの部分を見たりすることができる。図1に描かれている実施形態において、コントローラ140は画像152、例えば処理チャンバ192の内の1つに設置されている基板サポート186の画像をモニタ148に表示して、オペレータが画像152を見やすいようにする。
[0058]一動作モードにおいて、モニタ148に表示された画像152を利用して、ロボット196をマニュアルでジョギングして、例えば基板サポート186に形成されている真空ポート上の決められた位置つまりターゲットにエンドエフェクタ198を置くことができ、この画像はポート画像170としてモニタ148に表示される。エンドエフェクタ198を移動させるのに必要な距離を容易にするために、ディスプレイ150はグリッド158を光学的に突出させる。グリッド158は、ターゲットの画像、例えばポート画像170と目印の画像160との間の距離が、ポート画像170と目印の画像160間のグリッドライン数を各軸に沿ってカウントすることによって解消されるように構成されている。
[0059]図4は、決められた位置にエンドエフェクタを置くロボットの基準座標を見つけるために利用可能な較正手順400の一実施形態を描いているフローチャートである。このような場所は、基板がシステム190のロボットによって置かれたり取り戻されたりする任意の位置を含んでいるが、これらに限定されない。手順400は、転送ロボット198のエンドエフェクタ198を処理チャンバ194のうちの1つの基板サポート186と整列させるものとして説明されているが、手順400を任意のシステムロボットの運動範囲内の他の場所で利用して、その場所でのロボットの位置を較正することができる。ステップ402において、カメラアセンブリ100は転送ロボット196のエンドエフェクタ198上に位置決めされる。このステップは、ロボット196から離れた場所からカメラアセンブリ100を転送することを含んでいる。ステップ404において、ロボット196は処理チャンバ192内の位置にX/Z平面においてジョギングされるために、基板サポート186の画像152はモニタ148に表示される。ステップ406において、ロボット196をX/Z平面においてマニュアルでジョギングして、目印214を、画像152つまりターゲット、例えばポート画像170の所定の部分と整列させる。ステップ408において、エンドエフェクタ198の整列された位置はX/Z平面における基準座標として記録される。
[0060]ポート画像170と目印が整列されると、エンドエフェクタ198の仰角は、ロボット196のエンドエフェクタ198をステップ410でy軸に沿ってジョギングすることによって所定の位置に移動させられる。所定の位置への到着は、ステップ412で目印152とポート画像170の相対的サイズを比較することによって判断可能である。この比較は、ロボット196のエンドエフェクタ198が適切な仰角にある場合にターゲット(つまりポート画像170)のサイズおよび/または幾何と一致する目印212を利用して容易になる。ステップ414において、エンドエフェクタ198の仰角はy軸に沿った基準座標として記録される。
[0061]図5は、決められた位置にエンドエフェクタを置くロボット基準座標を見つけるために利用可能な較正手順500の別の実施形態を描いているフローチャートである。手順500は、転送ロボット198のエンドエフェクタ198を処理チャンバ194のうちの1つの基板サポート186と整列させるものとして説明されているが、手順500を任意のシステムロボットの運動範囲内の他の場所で利用して、その場所のロボットの位置を較正することができる。ステップ502において、カメラアセンブリ100は転送ロボット196のエンドエフェクタ198上に位置決めされる。ステップ504において、コントローラ140はロボット196を処理チャンバ192内の位置に向けるので、基板サポート186の画像152はカメラ104によって見られる。ステップ506において、コントローラ140は、カメラ104が見た画像104と、コントローラ140のメモリ142に記憶されている基準画像とを比較する。ステップ508において、コントローラ140は、ロボット196の現在位置と、X/Z平面の決められた位置との間の距離を解消し、それに応じてエンドエフェクタ198を移動させる。ロボット196のエンドエフェクタ198が、エンドエフェクタ198のX/Z基準座標がステップ510でコントローラ140によって記録されている決められた位置に到達するまで、ステップ506および508が反復される。
[0062]エンドエフェクタ198のX/Z基準座標が得られると、エンドエフェクタ198の仰角は、ロボット196のエンドエフェクタ198をステップ512でy軸に沿って移動させることによって、所定の位置に移動させられる。所定の位置への到着は、ステップ514で、カメラ104が見た画像の相対的サイズを基準情報と比較することによって判断可能である。例えば、カメラ104の仰角は、ターゲット画像の多数の画素が所定数になるまで調整可能である。一代替例において、ターゲット画像の相対的サイズはカメラ104が見た目印212と比較されてもよい。ロボット196のエンドエフェクタ198が決められたY軸位置に到達すると、エンドエフェクタ198のY基準座標はステップ516でコントローラ140によって記録される。X、YおよびZ基準座標が、同時でもよいが、任意の順序で得られることが意図されている。
[0063]図6は、本発明が利用可能な別の方法600を描いている。ステップ602において、カメラアセンブリ100は転送ロボット196(またはシステム190の他のロボット)のエンドエフェクタ上に位置決めされる。ステップ604において、コントローラ140はロボット196に、カメラアセンブリ100を所定の位置に、かつ/またはシステム190を介する所定のルートに沿って移動させることを命じる。ステップ606において、画像はコントローラ140に送信される。ステップ608において、送信された画像はコントローラ140によって解釈される。例えば、画像は、システム190の内部の視覚的検査のためにモニタ148に表示されてもよい。あるいは、画像は、コントローラ140のメモリ142に記憶されている基準画像と比較されてもよい。画像はまた、他の目的、例えば販売や技術的デモンストレーションに利用されてもよい。
[0064]図7は、処理システム750の画像を得るために利用可能なビジョンシステム700の別の実施形態を描いている。処理システム700は、図1を参照して説明されている処理システム190に実質的に類似しており、従って、その中に転送ロボット756を設置している転送チャンバ754に結合されている単一の処理チャンバ752のみが簡潔に示されている。
[0065]ビジョンシステム700は一般的に、コントローラ702と、カメラ704とリフレクタ706とを含んでいる。リフレクタ706は通常、カメラの視野外の画像がカメラ704によって見える方向でエンドエフェクタ758に結合されている。リフレクタ706はエンドエフェクタ758に固定、接着または他の方法で取り付けられてもよい。あるいは、リフレクタ706は、上記の配置プレート106に類似して構成されている配置プレート710に結合されてもよいため、リフレクタ706(および配置プレート)は使用中でない場合はエンドエフェクタから除去されてもよい。
[0066]図7に描かれている実施形態において、リフレクタ706はエンドエフェクタ758の底側部720に結合されており、また反射表面708を含んでいる。反射表面708は、通常、光学的品質反射を提供する研磨ステンレス鋼や他の材料から製作されている。反射表面708は、カメラ704の視野に対して約45度に方向付けされている。従って、エンドエフェクタ758の下、およびカメラの視野の外側のオブジェクトの画像は、処理チャンバ752から離れて位置決めされているカメラ704によって取り込まれることが可能である。取り込まれた画像は上述の検査や較正に使用可能である。
[0067]リフレクタ706は、カメラ704が、反射表面708の角度方向を変更することによってシステム750内の決められた位置のオブジェクトを見ることができるように構成可能である。リフレクタ760は、エンドエフェクタ758の上、下またはこれに沿って画像を提供するように構成可能である。あるいは、リフレクタ706は、プリズム、レンズまたはカメラの視野の外側の画像を提供するように適合されている他の光学デバイスであってもよい。
[0068]リフレクタ706はまた、リフレクタ706がエンドエフェクタ758に対して移動されて、多数のオブジェクトが固定視線であるカメラ704によって見られるような方法で配置プレートに結合されてもよい。コントロール可能な位置決めを有するリフレクタについて図11を参照して以下に説明する。
[0069]コントローラ702およびカメラ704は一般的に上記のコントローラ140およびカメラ104に類似している。カメラ704は通常、ロボット756のエンドエフェクタ758が処理チャンバ752に挿入される際に処理チャンバ752の外側にあるまま(例えば、転送チャンバ754にあるまま)の転送ロボット756の一部に搭載されている。処理チャンバ752に入らない位置にカメラ704を搭載することによって、カメラにダメージを与えうるより熱い環境でビジョンシステム700を容易に使用できる。従って、画像は冷却を待たずして熱い処理チャンバにおいて得られる。
[0070]ファクトリインタフェースロボット、例えば図1に描かれているロボット182に結合されているビジョンシステム700の実施形態において、インタフェースロボットがアクセスした環境は一般的に、転送ロボットのエンドエフェクタが暴露されている環境よりも快適であるために、カメラ704はカメラの視野内にリフレクタ706を維持するインタフェースロボットの任意の部分に結合可能である。
[0071]一実施形態において、カメラ704は、転送ロボット756のリンク762にエンドエフェクタ758を結合させるリスト760に結合されている。あるいは、カメラ704はリンク762に結合されてもよく、または転送チャンバ760内に静的に位置決めされてもよい。カメラ704が転送ロボット756を介してシステム750に結合されていると、カメラ704はロボット756および転送チャンバ754を介してコントローラ702に組み込まれてもよいため、ローカル電源712および送信機714は必要ではない。あるいは、上記の電源138および送信機156に類似の電源および送信機は、ロボット756上の、またはシステム750の近くのカメラ704に結合可能である。
[0072]図8は、カメラアセンブリ800の別の実施形態の平面図である。カメラアセンブリ800は、カメラアセンブリ800のカメラ104がカメラアセンブリ800に移動可能に搭載されている点を除いて、上記のカメラアセンブリ100に類似している。カメラ104は、カメラ104をサポートしている配置プレート106に対してカメラ104の視線を変更することによって、ロボットつまりエンドエフェクタ(図示せず)を移動させることなくオブジェクトを見るようにすることができる。配置プレート104に対するカメラ104の移動は、ジンバルアセンブリ802によって容易になる。ジンバルアセンブリ802は、カメラ104の方向、例えば、ボールジョイント、ユニバーサルジョイント、または少なくとも一平面を介してカメラ104のビューを変更可能な他の機構を変更することができる任意のデバイスであってもよい。
[0073]図8に描かれている実施形態において、ジンバルアセンブリ802は、ピボットアセンブリ806が結合されているターンテーブルアセンブリ804を含んでいる。ピボットアセンブリ806はカメラ104を搭載しており、配置プレート106に平行に設置されている軸808に対してカメラ104を回転させるように適合されている。ターンテーブルアセンブリ804は、軸808に対して垂直であり、かつ配置プレート106を介して設置されている開口210と同心の軸810を中心に回転するように適合されている。ターンテーブルアセンブリ804は軸810を中心にカメラ104を回転させるように適合されている。
[0074]図9の断面図をさらに参照すると、ターンテーブルアセンブリ804は、ターンテーブル816を保持するレース814を含んでいる。ターンテーブル816は、ドライブモータ820と噛合している歯状周辺818を有している。ドライブモータ820は、ドライブモータ820にターンテーブル816の回転方向をコントロールするように命令するコントローラ140に結合されている。
[0075]ターンテーブル816は周辺818に近接して結合されているタブ822を含んでいる。タブ822はホール824を有しており、少なくとも部分的に、配置プレート106に結合されているアクチュエータ826のピストン828とインタフェース接続するように適合されているホールを介して形成されている。ターンテーブル816が所定の角度方向にある場合、ピストン828を作動させてホール824を係合することによって、軸810を中心にターンテーブル816の位置をロックまたは固定することができる。
[0076]ピボットアセンブリ806は、配置プレート106の開口210と整列しているターンテーブル816の中央に形成されている開口838にまたがる1対のブラケット830を有している。カメラ104は、軸808に沿って設置されているシャフト832によってブラケット830間で旋回可能にサポートされている。シャフト832の一端は、ターンテーブル816に結合されているドライブモータ836とインタフェース接続しているギア834を含んでいる。ドライブモータ836は、軸808を中心としてブラケット830に対するカメラ104の回転方向をコントロールするようにモータ836に命令するコントローラ140に結合されている。従って、ターンテーブルアセンブリ804およびピボットアセンブリ804は、画像を得ることができる上半球視野(UVOF)および下半球視野(LFOV)を有するようにカメラ104を方向付けすることができる。
[0077]図10をさらに参照すると、ギア834は、少なくとも部分的にこれを介して形成されている少なくとも第1の配置ホール1002を含んでいる。ホール1002は、ターンテーブル816に結合されているアクチュエータ1006のピストン1004とインタフェース接続するように適合されている。ギア834が所定の角度方向にある場合、例えばカメラ104が、配置プレート106における開口210を介して軸810に沿って取り込んでいる(例えば、面している)場合、ピストン1004を作動してホール1002を係合することによって、軸808を中心にカメラ104の方向をロックまたは固定することができる。ホール1008は、ギア834のホール1002を通過してより固定的にギア834を保持した後、ピストン1004を受け取るようにブラケット830に提供可能である。あるいは(加えて)、第2のホール1010を、第1のホール1002に対して軸808を中心に180度回転した場所でギア834を介して少なくとも部分的に形成して、上方のビュー位置にカメラ104を方向付けすることができる。
[0078]一実施形態において、ジンバルアセンブリ802は、ピストン828および1004を作動させて、開口210を介して軸810に沿って見える方向にカメラ104を保持するようにロック可能である。このロック条件において、ロボットの位置較正は上記の方法によって的確に得られる。さらに、ロック解除位置において、カメラ104は、実質的にシステム全体から見えるように、ロボットが運動している場合および運動していない場合のいずれでも様々な方向にスイベルで回転することができ、これは好都合なことに、実質的に通常の処理経路の中断なく、かつ検査中のシステムの領域内の真空の損失なく、システムの検査に利用可能である。
[0079]図11は、図7を参照した上記のビジョンシステム700のリフレクタ704の代わりに利用可能なリフレクタアセンブリ1100を描いている。リフレクタアセンブリ1100は一般的に、リフレクタアセンブリ1100のジンバルアセンブリ802がリフレクタ1102の方向をコントロールすることを除いて、カメラアセンブリ800に類似している。従って、(図7に示されている)カメラ704は、矢印1106で描かれているようにカメラ104に対するリフレクタ1102の角度/方向を変更することによって、ロボットやエンドエフェクタ(図示せず)を移動させるカメラの視線外にあるリフレクタ1102で反射されたオブジェクトの画像を見ることができる。
[0080]図11に描かれている実施形態において、ジンバルアセンブリ802は配置プレート106上に設置されており、またピボットアセンブリ806が結合されているターンテーブルアセンブリ804を含んでいる。ピボットアセンブリ806は、これに搭載されているリフレクタ1102を有しており、配置プレート106に平行に設置されている軸808に対してリフレクタ1102を回転させるように適合されている。ターンテーブルアセンブリ804は、軸808に垂直な軸810を中心に回転するように適合されている。ターンテーブルアセンブリ804は軸810を中心にリフレクタ1102を回転させるように適合されている。ターンテーブルアセンブリ804とピボットアセンブリ806間の運動の組み合わせによってリフレクタ1102の反射表面1104は方向付けされるため、リフレクタ1102の方向がコントローラ140によって命令されるように位置決めされていると、カメラ704は配置プレート106の上、下およびこれに沿ったオブジェクトの画像を取り込むことができる。
[0081]図12は、補正データを得て、上記の方法に制限されないが、これを利用して得られた一次位置データの的確さを高めるために利用されている基板サポート186上に設置されている較正ウェーハ1200を有する処理システム190の部分的断面図である。基板サポート186などの別のオブジェクトに対するエンドエフェクタ198の位置に関する較正データが得られた後に、較正ウェーハ1200はロボット196のエンドエフェクタ198によって取り戻される。図12に描かれている実施形態において、カメラアセンブリ100、または画像データを得るための類似のデバイスが位置データの収集に利用される。一次データが得られたカメラアセンブリ100の位置はP1と称される。較正ウェーハ1200は、基板保存カセットのうちの1つにおいて、処理システム内にローカルに保存されてもよく、または必要に応じて処理システムに導入されてもよい。
[0082]較正ウェーハ1200は通常、従来のウェーハのサイズおよび形状であり、石英、シリコン、ステンレス鋼または他の適切な材料から製作可能である。較正ウェーハ1200は、較正ウェーハ1200の下に位置決めされている基板サポート186や他のオブジェクトが較正ウェーハ1200を介して見えるように透明であってもよい。あるいは、較正ウェーハ1200は半透明または非透明であってもよい。
[0083]較正ウェーハ1200は、較正ウェーハ1200の、通常はウェーハの中央の基準ポイントを識別するための目印1202を含んでいる。目印1202は較正ウェーハ1200の表面に、記述、印刷、浮き彫り、エンボスまたはその他の方法でマーク可能である。目印はまた、ノッチ、フラット、ホール、スロット、周辺または他の幾何や視覚的特徴などの較正ウェーハ1200の物理的属性であってもよいことが意図されている。このように、普通の生産ウェーハもまた利用可能である。図12に描かれている実施形態において、較正ウェーハ1200は、較正ウェーハ1200の上部表面1204の中央に印刷された目印1202を含んでいる。
[0084]較正ウェーハ1200が基板サポート186に位置決めされた後に、カメラアセンブリ100はロボット196によって取り戻されて、較正ウェーハ1200がその上に据えられている基板サポート186上の位置P1に転送される。カメラアセンブリ100は、基板サポート186上の決められた位置に基板を置くために利用されている基準データの補正を判断するためにコントローラ140に提供されているデータを取り込み、送信する。
[0085]一動作モードにおいて、取り込まれたデータは、基板サポート画像152および較正ウェーハ画像1204としてモニタ148に表示される基板サポート186および較正ウェーハ1200の画像を含んでいる。オペレータは、目印1202の画像1206と、較正ウェーハ1200を介して見える基板サポート186の上部表面の中央にあるポート(図示せず)のポート画像170などの基準オブジェクトとのオフセットを見ることができる。オフセットから、オペレータは、較正ウェーハ1200(つまり生産ウェーハ)を基板サポート186の中央に置くために必要な一次データの位置的補正を判断することができる。あるいは、上述のように、コントローラ140は基板サポート186および較正ウェーハ1200の画像を比較して、較正ウェーハ1200つまり生産ウェーハを基板サポート186上の所定の(つまり中央)位置に的確に置くために必要なエンドエフェクタの位置決めに要する補正を判断する。較正ウェーハ1200を使用しつつ得られた位置的補正を利用して、初期のシステム較正ルーチンの一部として、または経時的に実行される再較正ルーチンの一部としてロボットの運動を補正することができる。
[0086]別の動作モードにおいて、取り込まれたデータは一次的には目印1202の画像1206である。目印1202の画像1206の場所はメモリに記憶されているポート画像170などの記憶されている基準データと視覚的またはディジタル的に比較可能であるために、基板を置くことに対する補正は、エンドエフェクタ198および基板サポート186間の更なる基板ハンドオフについて判断される。
[0087]従って、半導体処理システム内の画像を取り込むのを容易にするビジョンシステムが提供されている。ビジョンシステムによって、較正および検査手順が、最小のオペレータ相互作用によって、かつシステム内部の大気環境への暴露なしに実行される。さらに、ビジョンシステムは、真空条件下で、かつ動作温度またはこの付近のin−situ検査および較正に提供されており、基板処理の中断なしに得られるロボットの位置のより的確な位置データを提供する。
[0088]本発明の教示を組み込む種々の実施形態がここに詳細に示され、かつ説明されているが、当業者は、依然としてこれらの教示を含んでいる多数の他の様々な実施形態を容易に考案することができる。
クラスタツールおよびビジョンシステムの簡略平面図を描いている。 ロボットのエンドエフェクタ上に設置されているカメラアセンブリの一実施形態の正面図である。 ロボットのエンドエフェクタ上に設置されているカメラアセンブリの代替実施形態の正面図である。 エンドエフェクタの一実施形態の上面図である。 ドッキングステーションの一実施形態の上面図である。 ドッキングステーションの一実施形態の断面図である。 図1のビジョンシステムの一動作モードのフローチャートを描いている。 図1のビジョンシステムの別の動作モードのフローチャートを描いている。 図1はビジョンシステムの別の動作モードのフローチャートを描いている。 ビジョンシステムの別の実施形態を描いている。 ジンバルシステムを有するカメラアセンブリの一実施形態の平面図である。 図8の断面線9−9に沿ったジンバルシステムの断面図である。 図8の断面線10−10に沿ったジンバルシステムの断面図である。 カメラアセンブリの別の実施形態の断面図である。 ビジョンシステムの別の動作モードを示している図1の処理システムの部分的断面図である。
符号の説明
100…カメラアセンブリ、104…カメラ、106…配置プレート、138…電源、140…コントローラ、142…メモリ、144…CPU、148…モニタ、150…ビジョンシステム、154…受信機、156…送信機、174…カセット、180…ファクトリインタフェース、182…インタフェースロボット、186…基板サポート、190…処理システム、192…処理チャンバ、194…転送チャンバ、196…転送ロボット、198…エンドエフェクタ、202…配置ピン、206…第1の側部、208…第2の側部、210…開口、212…ウィンドウ、214…目印、216…レンズ、220…ライト、222…開口、240…スイッチ、302…クレードル、304…搭載部分、306…サポート部分、310…第1のアーム、312…第2のアーム、316…シート、318…リップ、324…充電器、326…アクチュエータ、328…タブ、700…ビジョンシステム、702…コントローラ、704…カメラ、706…リフレクタ、708…反射表面、710…配置プレート、750…処理システム、752…処理チャンバ、754…転送チャンバ、756…転送ロボット、800…カメラアセンブリ、802…ジンバルアセンブリ、804…ターンテーブルアセンブリ、806…ピボットアセンブリ、808…軸、810…軸、820…モータドライブ、822…タブ、830…ブラケット、834…ギア、836…ドライブモータ、1002…ホール、1004…ピストン、1006…アクチュエータ、1102…リフレクタ、1104…反射表面、1200…較正ウェーハ、1202…目印。

Claims (14)

  1. 半導体処理システムに設置されているロボットの運動を較正するための方法であって、
    前記ロボットによって取り戻し可能な位置において、半導体処理システムに較正ウェーハを位置決めするステップと、
    カメラをロボット上に位置決めするステップと、
    前記カメラで前記較正ウェーハを見るステップと、
    前記較正ウェーハの画像と決められた位置の画像との間の相対的距離を判断するステップとを備え
    前記決められた位置は、前記較正ウェーハが前記ロボットによって置かれた位置に対応するターゲットの位置である方法。
  2. 前記判断するステップがさらに、前記較正ウェーハの前記画像をモニタに表示されている目印と比較する工程を備える、請求項に記載の方法。
  3. 前記判断するステップがさらに、前記較正ウェーハの前記画像を前記コントローラに記憶されている基準画像と比較する工程を備える、請求項に記載の方法。
  4. 半導体処理システムに設置されているロボットの運動を較正するための方法であって、
    ロボットによってサポートされている較正ウェーハを、半導体処理システム内の基準位置に移動させるステップと、
    ロボットのエンドエフェクタ上にサポートされているプレートに搭載されているカメラを、前記半導体処理システム内を通って移動させることによって、前記較正ウェーハを見て、ウェーハの位置データを得るステップと、
    前記ウェーハの位置データを利用して前記基準位置を補正するステップとを備え
    前記較正ウェーハを見てウェーハの位置データを得る前記ステップは、さらに、前記較正ウェーハを支持している表面を、前記較正ウェーハを介して見ることを含む、方法。
  5. 前記ウェーハの位置データを利用する前記ステップがさらに、
    前記較正ウェーハの画像をモニタに表示する工程と、
    前記較正ウェーハの前記画像を前記モニタに表示されている基準画像と比較する工程と、
    補正距離を判断する工程と、を備える、請求項に記載の方法。
  6. 前記ウェーハの位置データを利用する前記ステップがさらに、
    前記較正ウェーハの画像データを基準データと比較する工程と、
    補正距離を判断する工程と、を備える、請求項に記載の方法。
  7. 前記較正ウェーハを見てウェーハの位置データを得る前記ステップがさらに、前記較正ウェーハの位置のインジケータを見る工程を備える、請求項に記載の方法。
  8. 半導体処理システムに設置されているロボットの運動を較正するための方法であって、
    ロボット上に設置されているカメラを半導体処理システム内の決められた位置に移動させるステップと、
    前記カメラによって基板サポートの1つ以上の画像を取り込むステップと、
    前記取り込まれた画像から前記基板サポートに基板を転送するために、前記ロボットが移動するための所定のルートを判断するステップと、
    前記ルートに沿って前記基板サポートに較正ウェーハを転送するステップと、
    前記基板サポート上に設置されている前記較正ウェーハを前記カメラによって見るステップと、
    前記較正ウェーハの1つ以上の画像を前記カメラによって取り込むステップと、
    前記基板サポート上の前記基板を所定の位置に置くために、前記ロボットが移動するための補正ルートを判断するステップとを備え
    前記ウェーハの1つ以上の画像を前記カメラによって取り込む前記ステップは、さらに、前記ウェーハを介して見た前記基板サポートの1つ以上の画像を取り込むことを含む、方法。
  9. 前記ウェーハの1つ以上の画像を前記カメラによって取り込む前記ステップがさらに、ウェーハ位置のインジケータの1つ以上の画像を取り込む工程を備える、請求項に記載の方法。
  10. 前記カメラによって取り込まれた画像を送信するステップと、
    前記取り込まれた画像を遠隔的に受け取るステップと、をさらに備える、請求項に記載の方法。
  11. 前記移動させるステップがさらに、保存されているロボットの運動ルーチンを利用して半導体処理システムにウェーハを位置決めする工程を備え、
    前記見るステップがさらに、前記較正ウェーハをカメラで見る工程を備え、
    前記ウェーハの位置データを利用して前記基準位置を補正するステップがさらに、前記ウェーハの画像データを利用して前記保存されているロボットの運動ルーチンを更新する工程を備える、請求項に記載の方法。
  12. 前記カメラによって取り込まれた画像を送信するステップと、
    前記取り込まれた画像を遠隔的に受け取るステップと、をさらに備える、請求項11に記載の方法。
  13. 前記ウェーハを前記カメラで見る前記ステップがさらに、ウェーハ位置のインジケータの1つ以上の画像を取り込む工程を備える、請求項11に記載の方法。
  14. ウェーハ位置の前記インジケータの1つ以上の画像を取り込む前記ステップがさらに、前記較正ウェーハの幾何または視覚的特徴のうちの少なくとも1つを識別する工程を備える、請求項13に記載の方法。
JP2006507009A 2003-03-11 2004-03-09 ウェーハ担持ロボットを較正するための方法 Expired - Lifetime JP4567667B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/387,267 US7233841B2 (en) 2002-04-19 2003-03-11 Vision system
PCT/US2004/007202 WO2004082014A1 (en) 2003-03-11 2004-03-09 Vision system and method for calibrating a wafer carrying robot

Publications (3)

Publication Number Publication Date
JP2006522476A JP2006522476A (ja) 2006-09-28
JP2006522476A5 JP2006522476A5 (ja) 2010-08-12
JP4567667B2 true JP4567667B2 (ja) 2010-10-20

Family

ID=32987331

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006507009A Expired - Lifetime JP4567667B2 (ja) 2003-03-11 2004-03-09 ウェーハ担持ロボットを較正するための方法

Country Status (7)

Country Link
US (2) US7233841B2 (ja)
EP (1) EP1606837B1 (ja)
JP (1) JP4567667B2 (ja)
KR (2) KR101227934B1 (ja)
CN (1) CN1759478B (ja)
TW (1) TWI295830B (ja)
WO (1) WO2004082014A1 (ja)

Families Citing this family (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6244121B1 (en) * 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US7233841B2 (en) * 2002-04-19 2007-06-19 Applied Materials, Inc. Vision system
US7085622B2 (en) * 2002-04-19 2006-08-01 Applied Material, Inc. Vision system
US7505832B2 (en) * 2003-05-12 2009-03-17 Applied Materials, Inc. Method and apparatus for determining a substrate exchange position in a processing system
US7107125B2 (en) * 2003-10-29 2006-09-12 Applied Materials, Inc. Method and apparatus for monitoring the position of a semiconductor processing robot
US8190292B2 (en) * 2005-08-29 2012-05-29 The Board Of Trustees Of The Leland Stanford Junior University High frequency feedback in telerobotics
US7222431B1 (en) * 2006-02-03 2007-05-29 Gilson, Inc. Alignment correction system and methods of use thereof
JP4823752B2 (ja) * 2006-04-21 2011-11-24 株式会社タイテック 成形品取り出し機
WO2007149183A2 (en) * 2006-05-25 2007-12-27 Braintech Canada, Inc. System and method of robotically engaging an object
US8437535B2 (en) 2006-09-19 2013-05-07 Roboticvisiontech Llc System and method of determining object pose
CN100410026C (zh) * 2006-10-17 2008-08-13 大连理工大学 一种净化机器人
WO2008076942A1 (en) * 2006-12-15 2008-06-26 Braintech Canada, Inc. System and method of identifying objects
DE102007009851B3 (de) * 2007-02-28 2008-05-29 Kuka Roboter Gmbh Industrieroboter und Verfahren zum Bestimmen der Lage eines Industrieroboters relativ zu einem Objekt
JP5064835B2 (ja) * 2007-02-28 2012-10-31 株式会社アルバック 基板搬送装置
KR100865720B1 (ko) * 2007-03-16 2008-10-29 세메스 주식회사 기판 처리 장치 및 방법
US20080276867A1 (en) * 2007-05-09 2008-11-13 Jason Schaller Transfer chamber with vacuum extension for shutter disks
US7957583B2 (en) * 2007-08-02 2011-06-07 Roboticvisiontech Llc System and method of three-dimensional pose estimation
US8260461B2 (en) * 2007-08-30 2012-09-04 Applied Materials, Inc. Method and system for robot calibrations with a camera
US8224607B2 (en) * 2007-08-30 2012-07-17 Applied Materials, Inc. Method and apparatus for robot calibrations with a calibrating device
WO2009045390A1 (en) * 2007-10-01 2009-04-09 Kaufman Engineered System Vision aided case/bulk palletizer system
US8751047B2 (en) * 2007-12-27 2014-06-10 Lam Research Corporation Systems and methods for calibrating end effector alignment in a plasma processing system
JP5417343B2 (ja) * 2007-12-27 2014-02-12 ラム リサーチ コーポレーション 少なくとも1つの光源を使用してエンドエフェクタ・アラインメントを校正するためのシステムおよび方法
WO2009086109A2 (en) * 2007-12-27 2009-07-09 Lam Research Corporation Systems and methods for dynamic alignment beam calibration
KR101571180B1 (ko) * 2007-12-27 2015-11-23 램 리써치 코포레이션 위치 및 오프셋을 결정하는 장치 및 방법
NL1036673A1 (nl) * 2008-04-09 2009-10-12 Asml Holding Nv Robot Position Calibration Tool (RPCT).
US8185242B2 (en) * 2008-05-07 2012-05-22 Lam Research Corporation Dynamic alignment of wafers using compensation values obtained through a series of wafer movements
US8726837B2 (en) 2008-06-23 2014-05-20 Applied Materials, Inc. Semiconductor process chamber vision and monitoring system
FR2934083B1 (fr) * 2008-07-17 2010-09-10 St Microelectronics Rousset Procede et dispositif de reglage de la position de depot d'une plaquette de semi-conducteur dans un four
CN101637908B (zh) * 2008-07-29 2010-11-03 上海发那科机器人有限公司 一种用于机器人搬运作业的视觉定位方法
US8180487B1 (en) 2008-09-30 2012-05-15 Western Digital Technologies, Inc. Calibrated vision based robotic system
US8559699B2 (en) * 2008-10-10 2013-10-15 Roboticvisiontech Llc Methods and apparatus to facilitate operations in image based systems
US8666551B2 (en) * 2008-12-22 2014-03-04 Asm Japan K.K. Semiconductor-processing apparatus equipped with robot diagnostic module
CN101769475B (zh) * 2008-12-31 2013-04-24 鸿富锦精密工业(深圳)有限公司 影像测量仪光源及利用该光源的自动定位***
US8135208B1 (en) 2009-01-15 2012-03-13 Western Digital Technologies, Inc. Calibrated vision based robotic system utilizing upward and downward looking cameras
TWI417517B (zh) * 2009-02-27 2013-12-01 Hon Hai Prec Ind Co Ltd 影像測量儀光源及利用該光源的自動定位系統
US8318512B2 (en) * 2009-04-29 2012-11-27 Applied Materials, Inc. Automated substrate handling and film quality inspection in solar cell processing
US8321055B2 (en) * 2009-11-03 2012-11-27 Jadak, Llc System and method for multiple view machine vision target location
US8459922B2 (en) * 2009-11-13 2013-06-11 Brooks Automation, Inc. Manipulator auto-teach and position correction system
US9091662B1 (en) 2009-12-22 2015-07-28 Cognex Corporation System and method for automatic camera calibration and alignment determination
JP6021909B2 (ja) 2011-07-21 2016-11-09 ブルックス オートメーション インコーポレイテッド 低温試料グループホルダーにおける寸法変化の補正のための方法と装置
JP5621796B2 (ja) * 2012-01-31 2014-11-12 株式会社安川電機 搬送システム
KR101964964B1 (ko) * 2012-05-08 2019-08-07 세메스 주식회사 기판 이송 로봇의 자동 티칭 장치를 구비하는 반도체 제조 설비 및 그의 티칭 방법
JP6074961B2 (ja) * 2012-09-10 2017-02-08 トヨタ自動車株式会社 搬送装置
JP5670416B2 (ja) * 2012-12-28 2015-02-18 ファナック株式会社 ロボットシステム表示装置
KR101307583B1 (ko) * 2013-03-14 2013-09-12 주식회사 이즈솔루션 반도체 장비 영상처리에 따른 원격제어 및 장비 성능관리 시스템, 그 구동방법
JP5987976B2 (ja) * 2013-04-18 2016-09-07 株式会社島津製作所 基板検出システム及び基板検出方法
US9442482B2 (en) * 2013-04-29 2016-09-13 GlobalFoundries, Inc. System and method for monitoring wafer handling and a wafer handling machine
US9111979B2 (en) * 2013-05-16 2015-08-18 Kevin P Fairbairn System and method for real time positioning of a substrate in a vacuum processing system
US9724795B2 (en) * 2013-11-07 2017-08-08 Apex Brands, Inc. Tooling system with visual identification of attached component
US9952162B2 (en) * 2013-12-13 2018-04-24 Robert Scott Simmons Optical inspection system for printed circuit board or the like
US9444004B1 (en) * 2014-05-02 2016-09-13 Deployable Space Systems, Inc. System and method for producing modular photovoltaic panel assemblies for space solar arrays
US9981380B2 (en) * 2014-07-01 2018-05-29 Seiko Epson Corporation Teaching apparatus and robot system
US9950389B1 (en) * 2014-09-19 2018-04-24 EMC IP Holding Company LLC Laser calibration
US10005190B2 (en) * 2014-12-05 2018-06-26 Persimmon Technologies Corporation Robot with wrist and end effector different materials
US9252606B1 (en) * 2014-12-24 2016-02-02 StoreDot Ltd. Devices for adaptive fast-charging of mobile devices
JP6529758B2 (ja) * 2014-12-25 2019-06-12 株式会社キーエンス 画像処理装置、画像処理システム、画像処理方法及びコンピュータプログラム
KR101716805B1 (ko) 2014-12-26 2017-03-16 전자부품연구원 로봇 제어 시각화 장치
JP6576042B2 (ja) * 2015-01-14 2019-09-18 キヤノン株式会社 表示制御装置及びその方法、プログラム
DE102015009004A1 (de) 2015-06-05 2016-12-08 Solaero Technologies Corp. Automatisierte Anordnung und Befestigung von Solarzellen auf Paneelen für Weltraumanwendungen
US10276742B2 (en) 2015-07-09 2019-04-30 Solaero Technologies Corp. Assembly and mounting of solar cells on space vehicles or satellites
US9405287B1 (en) * 2015-07-22 2016-08-02 Applied Materials, Inc. Apparatus and method for optical calibration of wafer placement by a robot
KR102662003B1 (ko) * 2015-07-30 2024-04-29 램 리써치 코포레이션 영상 기반 웨이퍼 노치 위치 측정
US9831110B2 (en) * 2015-07-30 2017-11-28 Lam Research Corporation Vision-based wafer notch position measurement
WO2017026256A1 (ja) * 2015-08-07 2017-02-16 日本電産サンキョー株式会社 産業用ロボット
US10014203B2 (en) * 2016-02-12 2018-07-03 Asm Technology Singapore Pte Ltd Pick and place device comprising pick arm correction module
US10452071B1 (en) * 2016-02-29 2019-10-22 AI Incorporated Obstacle recognition method for autonomous robots
CN107324041B (zh) 2016-04-29 2019-11-26 上海微电子装备(集团)股份有限公司 用于片盒夹持的机械手及自动片盒搬运装置
JP6665040B2 (ja) * 2016-06-20 2020-03-13 三菱重工業株式会社 ロボット制御システム及びロボット制御方法
US10651095B2 (en) * 2016-08-11 2020-05-12 Applied Materials, Inc. Thermal profile monitoring wafer and methods of monitoring temperature
JP6718352B2 (ja) * 2016-09-28 2020-07-08 川崎重工業株式会社 基板搬送ハンドの診断システム
CN106624378A (zh) * 2016-12-14 2017-05-10 大族激光科技产业集团股份有限公司 晶圆片的激光加工方法与装置
JP6923344B2 (ja) 2017-04-13 2021-08-18 株式会社Screenホールディングス 周縁処理装置および周縁処理方法
US10784134B2 (en) 2017-05-03 2020-09-22 Applied Materials, Inc. Image based substrate mapper
CN110612258B (zh) * 2017-05-11 2021-06-15 村田机械株式会社 输送***以及输送方法
CN107393270B (zh) * 2017-07-26 2019-11-08 河海大学常州校区 一种用于电气仪表检测的移动式视觉巡检设备及方法
JP7021877B2 (ja) * 2017-08-08 2022-02-17 株式会社Screenホールディングス 基板処理装置、位置合わせ装置および位置合わせ方法
US10861723B2 (en) * 2017-08-08 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. EFEM robot auto teaching methodology
US11088004B2 (en) * 2018-01-30 2021-08-10 Brooks Automation, Inc. Automatic wafer centering method and apparatus
US11468590B2 (en) * 2018-04-24 2022-10-11 Cyberoptics Corporation Wireless substrate-like teaching sensor for semiconductor processing
US11247330B2 (en) * 2018-10-19 2022-02-15 Asm Ip Holding B.V. Method for teaching a transportation position and alignment jig
JP7516403B2 (ja) 2019-02-08 2024-07-16 ヤスカワ アメリカ インコーポレイティッド スルービーム自動ティーチング
US11279032B2 (en) * 2019-04-11 2022-03-22 Applied Materials, Inc. Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots
KR20210006572A (ko) 2019-07-08 2021-01-19 삼성전자주식회사 비전 센서, 이를 이용한 반도체 공정 챔버의 검사방법, 및 이를 이용한 반도체 소자의 제조방법
US11164769B2 (en) * 2019-07-30 2021-11-02 Brooks Automation, Inc. Robot embedded vision apparatus
US11908722B2 (en) * 2019-09-09 2024-02-20 Kla Corporation Automatic teaching of substrate handling for production and process-control tools
FR3103314B1 (fr) * 2019-11-14 2021-10-08 Safran Electronics & Defense Porte substrat inclinable et orientable et systeme de depot multicouche sous vide le comprenant
JP7365924B2 (ja) 2020-02-13 2023-10-20 東京エレクトロン株式会社 ティーチング方法
CN113284832A (zh) * 2020-02-19 2021-08-20 总督科技股份有限公司 晶圆载盘的置载装置及其置载方法
WO2021164664A1 (zh) * 2020-02-19 2021-08-26 宋茂炎 晶圆载盘的置载/卸载装置及其置载/卸载方法
US11584013B2 (en) 2020-03-31 2023-02-21 Wipro Limited System, device and method for determining error in robotic manipulator-to-camera calibration
US12027400B2 (en) * 2020-05-26 2024-07-02 Asm Ip Holding B.V. Automatic system calibration for wafer handling
US11676845B2 (en) 2020-06-30 2023-06-13 Brooks Automation Us, Llc Automated teach apparatus for robotic systems and method therefor
US11705354B2 (en) 2020-07-10 2023-07-18 Applied Materials, Inc. Substrate handling systems
JP2022045522A (ja) * 2020-09-09 2022-03-22 キヤノントッキ株式会社 ティーチング装置、基板搬送装置、基板処理装置、ティーチング方法、及び電子デバイスの製造方法
US11284018B1 (en) * 2020-09-15 2022-03-22 Applied Materials, Inc. Smart camera substrate
US20220351995A1 (en) * 2021-04-29 2022-11-03 Globalwafers Co., Ltd. Methods and systems of image based robot alignment
KR102627226B1 (ko) * 2021-09-16 2024-01-19 에스엔피 주식회사 진공 챔버에 구비되는 로봇 조립체의 모니터링 시스템
KR102627640B1 (ko) * 2021-09-16 2024-01-23 에스엔피 주식회사 진공 챔버에 구비되는 로봇 조립체의 티칭 시스템
US11823939B2 (en) 2021-09-21 2023-11-21 Applied Materials, Inc. Apparatus and methods for processing chamber lid concentricity alignment
KR20230071834A (ko) 2021-11-15 2023-05-24 주식회사 에스피에스글로벌 오리엔터와 접촉센서를 포함하는 웨이퍼 처리기 로봇 및 그의 작동 방법
KR102651649B1 (ko) * 2021-11-23 2024-03-26 세메스 주식회사 기판 처리 장치 및 이를 이용한 기판 처리 방법

Family Cites Families (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4119381A (en) 1976-12-17 1978-10-10 Eastman Kodak Company Incubator and radiometric scanner
US5012574A (en) 1981-02-27 1991-05-07 Diffracto Ltd. Controlled machining of combustion chambers gears and other surfaces
US4819167A (en) 1987-04-20 1989-04-04 Applied Materials, Inc. System and method for detecting the center of an integrated circuit wafer
US5435682A (en) 1987-10-15 1995-07-25 Advanced Semiconductor Materials America, Inc. Chemical vapor desposition system
US5717785A (en) 1992-01-30 1998-02-10 Cognex Corporation Method and apparatus for locating patterns in an optical image
US5446584A (en) * 1989-09-11 1995-08-29 Kensington Laboratories, Inc. Compact specimen processing station
US5331458A (en) * 1989-09-11 1994-07-19 Kensington Laboratories, Inc. Compact specimen inspection station
IL99823A0 (en) 1990-11-16 1992-08-18 Orbot Instr Ltd Optical inspection method and apparatus
EP0597637B1 (en) 1992-11-12 2000-08-23 Applied Materials, Inc. System and method for automated positioning of a substrate in a processing chamber
US5479252A (en) 1993-06-17 1995-12-26 Ultrapointe Corporation Laser imaging system for inspection and analysis of sub-micron particles
JP3261841B2 (ja) * 1993-12-27 2002-03-04 株式会社日立製作所 マルチ式ウエ−ハ処理装置
US5452521A (en) 1994-03-09 1995-09-26 Niewmierzycki; Leszek Workpiece alignment structure and method
US5563798A (en) 1994-04-05 1996-10-08 Applied Materials, Inc. Wafer positioning system
US6712577B2 (en) * 1994-04-28 2004-03-30 Semitool, Inc. Automated semiconductor processing system
US5552891A (en) 1994-10-31 1996-09-03 International Business Machines Corporation Automated mask alignment for UV projection expose system
US6360144B1 (en) * 1995-07-10 2002-03-19 Newport Corporation Self-teaching robot arm position method
US6366830B2 (en) * 1995-07-10 2002-04-02 Newport Corporation Self-teaching robot arm position method to compensate for support structure component alignment offset
US5738574A (en) 1995-10-27 1998-04-14 Applied Materials, Inc. Continuous processing system for chemical mechanical polishing
SG54995A1 (en) 1996-01-31 1998-12-21 Texas Instr Singapore Pet Ltd Method and apparatus for aligning the position of die on a wafer table
JP3454400B2 (ja) 1996-02-16 2003-10-06 三井金属鉱業株式会社 繰返しパターンの検査方法
GB2310716A (en) 1996-02-28 1997-09-03 Daewoo Electronics Co Ltd Recognition of a fiducial mark on a printed circuit board
US5844683A (en) 1996-05-22 1998-12-01 Applied Materials, Inc. Position sensor system for substrate holders
JPH106262A (ja) * 1996-06-20 1998-01-13 Toshiba Corp ロボットの教示方法及びその装置
US5980194A (en) 1996-07-15 1999-11-09 Applied Materials, Inc. Wafer position error detection and correction system
US5946083A (en) 1997-10-01 1999-08-31 Texas Instruments Incorporated Fixed optic sensor system and distributed sensor network
TW350115B (en) 1996-12-02 1999-01-11 Toyota Automatic Loom Co Ltd Misregistration detection device and method thereof
US5889593A (en) 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
US6757645B2 (en) * 1997-09-17 2004-06-29 Numerical Technologies, Inc. Visual inspection and verification system
US6002840A (en) 1997-09-30 1999-12-14 Brooks Automation Inc. Substrate transport apparatus
US6012965A (en) 1997-10-07 2000-01-11 Micro Optics Design Corp. Manufacturing ophthalmic lenses using lens structure cognition and spatial positioning system
WO1999028220A1 (fr) * 1997-12-03 1999-06-10 Nikon Corporation Dispositif et procede de transfert de substrats
JP3869103B2 (ja) * 1997-12-25 2007-01-17 大日本スクリーン製造株式会社 基板搬送教示用の治具、基板搬送装置、及び搬送教示システム
JPH11207611A (ja) 1998-01-21 1999-08-03 Shin Etsu Handotai Co Ltd 両面研磨装置におけるワークの自動搬送装置
US6198976B1 (en) 1998-03-04 2001-03-06 Applied Materials, Inc. On the fly center-finding during substrate handling in a processing system
US6244121B1 (en) 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6068539A (en) 1998-03-10 2000-05-30 Lam Research Corporation Wafer polishing device with movable window
US6051113A (en) 1998-04-27 2000-04-18 Cvc Products, Inc. Apparatus and method for multi-target physical-vapor deposition of a multi-layer material structure using target indexing
JP2937244B1 (ja) 1998-05-20 1999-08-23 株式会社東京精密 ウェーハのパターン撮像装置
US6352466B1 (en) 1998-08-31 2002-03-05 Micron Technology, Inc. Method and apparatus for wireless transfer of chemical-mechanical planarization measurements
US6477265B1 (en) 1998-12-07 2002-11-05 Taiwan Semiconductor Manufacturing Company System to position defect location on production wafers
JP4255091B2 (ja) 1999-04-07 2009-04-15 株式会社日立国際電気 半導体製造方法
US6166509A (en) * 1999-07-07 2000-12-26 Applied Materials, Inc. Detection system for substrate clamp
US6630995B1 (en) * 1999-09-07 2003-10-07 Applied Materials, Inc. Method and apparatus for embedded substrate and system status monitoring
US6813032B1 (en) 1999-09-07 2004-11-02 Applied Materials, Inc. Method and apparatus for enhanced embedded substrate inspection through process data collection and substrate imaging techniques
US6707544B1 (en) * 1999-09-07 2004-03-16 Applied Materials, Inc. Particle detection and embedded vision system to enhance substrate yield and throughput
US6707545B1 (en) 1999-09-07 2004-03-16 Applied Materials, Inc. Optical signal routing method and apparatus providing multiple inspection collection points on semiconductor manufacturing systems
US6721045B1 (en) 1999-09-07 2004-04-13 Applied Materials, Inc. Method and apparatus to provide embedded substrate process monitoring through consolidation of multiple process inspection techniques
US6693708B1 (en) * 1999-09-07 2004-02-17 Applied Materials, Inc. Method and apparatus for substrate surface inspection using spectral profiling techniques
US6882416B1 (en) * 1999-09-07 2005-04-19 Applied Materials, Inc. Methods for continuous embedded process monitoring and optical inspection of substrates using specular signature analysis
JP2001210692A (ja) 2000-01-26 2001-08-03 Ebara Corp ティーチングの方法
US6532403B2 (en) 2000-04-21 2003-03-11 Microtool, Inc Robot alignment system and method
US6691068B1 (en) 2000-08-22 2004-02-10 Onwafer Technologies, Inc. Methods and apparatus for obtaining data for process operation, optimization, monitoring, and control
JP2002100664A (ja) 2000-09-25 2002-04-05 Hitachi Kokusai Electric Inc 基板処理方法および装置
US6648730B1 (en) * 2000-10-30 2003-11-18 Applied Materials, Inc. Calibration tool
US6591160B2 (en) * 2000-12-04 2003-07-08 Asyst Technologies, Inc. Self teaching robot
EP1356682A4 (en) 2001-01-30 2005-07-20 Greene Tweed Inc MONITORING SYSTEM FOR HOSTILE ENVIRONMENT
JP4143305B2 (ja) 2001-01-30 2008-09-03 日本電気株式会社 ロボット装置、照合環境判定方法、及び照合環境判定プログラム
US6591161B2 (en) 2001-01-31 2003-07-08 Wafermasters, Inc. Method for determining robot alignment
US6873720B2 (en) * 2001-03-20 2005-03-29 Synopsys, Inc. System and method of providing mask defect printability analysis
JP3694808B2 (ja) 2001-04-13 2005-09-14 株式会社安川電機 ウェハ搬送用ロボットの教示方法および教示用プレート
JP3920587B2 (ja) * 2001-04-16 2007-05-30 東京エレクトロン株式会社 基板搬送手段のティーチング方法
TW594455B (en) 2001-04-19 2004-06-21 Onwafer Technologies Inc Methods and apparatus for obtaining data for process operation, optimization, monitoring, and control
US6671660B2 (en) 2001-04-19 2003-12-30 Onwafer Technologies, Inc. Methods and apparatus for power control
JP4041797B2 (ja) 2001-06-28 2008-01-30 ポラック ラボラトリーズ インコーポレイテッド 内蔵型センサ装置
JP3888620B2 (ja) * 2002-01-22 2007-03-07 東京エレクトロン株式会社 基板搬送装置における基板の受け渡し位置検知方法及びその教示装置
US20050233770A1 (en) * 2002-02-06 2005-10-20 Ramsey Craig C Wireless substrate-like sensor
US7289230B2 (en) 2002-02-06 2007-10-30 Cyberoptics Semiconductors, Inc. Wireless substrate-like sensor
JP2003243479A (ja) * 2002-02-19 2003-08-29 Tokyo Electron Ltd 搬送手段の停止位置調整機構
US7085622B2 (en) * 2002-04-19 2006-08-01 Applied Material, Inc. Vision system
US7233841B2 (en) * 2002-04-19 2007-06-19 Applied Materials, Inc. Vision system
US7015418B2 (en) * 2002-05-17 2006-03-21 Gsi Group Corporation Method and system for calibrating a laser processing system and laser marking system utilizing same
US6900877B2 (en) * 2002-06-12 2005-05-31 Asm American, Inc. Semiconductor wafer position shift measurement and correction
JP4257570B2 (ja) 2002-07-17 2009-04-22 株式会社安川電機 搬送用ロボットのティーチング装置および搬送用ロボットのティーチング方法
US6890050B2 (en) * 2002-08-20 2005-05-10 Palo Alto Research Center Incorporated Method for the printing of homogeneous electronic material with a multi-ejector print head
US20050137751A1 (en) 2003-12-05 2005-06-23 Cox Damon K. Auto-diagnostic method and apparatus

Also Published As

Publication number Publication date
TW200507154A (en) 2005-02-16
EP1606837A1 (en) 2005-12-21
KR20050105517A (ko) 2005-11-04
CN1759478A (zh) 2006-04-12
KR101227934B1 (ko) 2013-01-31
WO2004082014A1 (en) 2004-09-23
JP2006522476A (ja) 2006-09-28
KR20120044998A (ko) 2012-05-08
TWI295830B (en) 2008-04-11
EP1606837B1 (en) 2018-05-02
US20030202092A1 (en) 2003-10-30
US20070112465A1 (en) 2007-05-17
KR101163237B1 (ko) 2012-07-06
US7627395B2 (en) 2009-12-01
CN1759478B (zh) 2012-05-09
US7233841B2 (en) 2007-06-19

Similar Documents

Publication Publication Date Title
JP4567667B2 (ja) ウェーハ担持ロボットを較正するための方法
JP4703187B2 (ja) 視覚システム
KR101613135B1 (ko) 반도체 기판의 위치 검출 장치 및 위치 검출 방법
US9352466B2 (en) Robot positioning system for semiconductor tools
US6591160B2 (en) Self teaching robot
US6516244B1 (en) Wafer alignment system and method
JP7161236B2 (ja) 半導体処理のための無線基板状ティーチングセンサ
US6648730B1 (en) Calibration tool
JP2006522476A5 (ja)
US20070004058A1 (en) Semiconductor manufacturing device with transfer robot
TWI390660B (zh) 用於半導體晶圓對準之方法與設備
TW202123377A (zh) 用於處理套件環損耗的檢測器
KR20220037450A (ko) 로봇 홀딩 오브젝트의 센서 기반 보정
US11335578B2 (en) Substrate transfer apparatus and method of measuring positional deviation of substrate
US7493231B2 (en) Process for determining the actual position of a rotation axis of a transportation mechanism
TWI818482B (zh) 基板處理裝置、教示資訊生成方法、教示組及基板型治具

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070228

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070228

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091201

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100301

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100308

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100316

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100406

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20100624

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100713

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100805

R150 Certificate of patent or registration of utility model

Ref document number: 4567667

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130813

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130813

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term