JP3908112B2 - サセプタ、エピタキシャルウェーハ製造装置及びエピタキシャルウェーハ製造方法 - Google Patents

サセプタ、エピタキシャルウェーハ製造装置及びエピタキシャルウェーハ製造方法 Download PDF

Info

Publication number
JP3908112B2
JP3908112B2 JP2002220027A JP2002220027A JP3908112B2 JP 3908112 B2 JP3908112 B2 JP 3908112B2 JP 2002220027 A JP2002220027 A JP 2002220027A JP 2002220027 A JP2002220027 A JP 2002220027A JP 3908112 B2 JP3908112 B2 JP 3908112B2
Authority
JP
Japan
Prior art keywords
susceptor
wafer
gas
chamber
pocket
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2002220027A
Other languages
English (en)
Other versions
JP2004063779A (ja
Inventor
秀将 甲斐
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sumco Techxiv Corp
Original Assignee
Sumco Techxiv Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sumco Techxiv Corp filed Critical Sumco Techxiv Corp
Priority to JP2002220027A priority Critical patent/JP3908112B2/ja
Priority to US10/626,675 priority patent/US7699934B2/en
Priority to TW092120618A priority patent/TWI237312B/zh
Publication of JP2004063779A publication Critical patent/JP2004063779A/ja
Application granted granted Critical
Publication of JP3908112B2 publication Critical patent/JP3908112B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation

Landscapes

  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、ウェーハにエピタキシャル層を形成するためのエピタキシャルウェーハ製造装置,サセプタ構造およびエピタキシャルウェーハ製造方法に関し、特に、エピタキシャルウェーハの表面周縁部におけるドーパント濃度の上昇を抑制可能なエピタキシャルウェーハ製造装置,サセプタ構造およびエピタキシャルウェーハ製造方法に関する。
【0002】
【従来の技術】
ウェーハの表面にシリコンの結晶層を成長させることにより、結晶欠陥がなく、所望の抵抗率を有するシリコンウェーハを製造する技術が知られている。このシリコンの結晶層は、例えば直径が200mmで厚さが0.75mmのウェーハの場合に数μm程度の厚さを有する極薄い層であり、一般的にエピタキシャル層と呼ばれ、このエピタキシャル層を形成する装置をエピタキシャルウェーハ製造装置と呼んでいる。エピタキシャルウェーハ製造装置は、膜厚制御の容易性および膜品質の面から、主にシリコンウェーハを1枚ずつ処理する枚葉式のものが使用されることが多くなった。
【0003】
図1に示すように、この枚葉式のエピタキシャルウェーハ製造装置においては、通常、ウェーハを1枚だけ水平に支持するサセプタ4(ウェーハ支持台)が処理チャンバ2内に設けられている。また、サセプタ4上にウェーハ12を搬送するために、ウェーハ12をサセプタ4に対して上下動させるためのリフト機構を設けている。リフト機構は、サセプタ4を貫通して延びる複数本のリフトピン23を有しており、これらのリフトピン23の上端にウェーハ12を載せ、サセプタ4に対して相対的にリフトピン23を上下動させることでウェーハ12を昇降させる。このようなリフト機構により、搬送用アームのハンドに載せられてチャンバ2内に運ばれてきたウェーハ12をサセプタ4上に移載したり、或いはその逆に、ウェーハ12をサセプタ4からハンドに受け渡したりすることが可能となる。
【0004】
また、エピタキシャル層の成長を行うためには、サセプタ4上で支持されたウェーハ12を高温に加熱する必要がある。このため、多数のハロゲンランプ(赤外線ランプ)等の熱源8,9を処理チャンバ2の上下に配置し、サセプタ4及びウェーハ12を加熱している。
【0005】
サセプタ4は、炭素Cの基材に炭化シリコンSiCの被膜を施したものであり、ウェーハ12を加熱する際にウェーハ12全体の温度を均一に保つ均熱盤としての役割を果たす。図2に示すようにサセプタ4の上面には、例えばシリコンウェーハを収めるためにウェーハ12より一回り大きく、深さがl〜2mm程度のウェーハポケットと呼ばれるくぼみが形成されている。ウェーハポケット13の内表面は、ウェーハの外周部のみで接触するようにテーパ面とする構成が用いられ、ウェーハポケット13の内表面とウェーハ12の面接触をできるだけ減らすように工夫が施されている。このウェーハポケット13内にウェーハ12を収容し、所定温度にて原料ガスを含むキャリアガス中にサセプタ4を保持することにより、ウェーハ12の表面にシリコン薄膜よりなるエピタキシャル層が成長する。ここで原料ガスとは、シリコンソースガスとドーパントガスを指している。
【0006】
シリコンソースガスには、トリクロロシランSiHClやジクロロシランSiHCl等のクロロシラン系ガスが、またドーパントガスには、ジボラン(P型)やホスフィン(N型)が使われるのが一般的である。これらのガスはキャリアガスである水素Hとともにチャンバ内に導入され、ウェーハ表面において熱CVD反応によるシリコンエピタキシーとともに副生成物としてHClが生成する。従って、ウェーハ表面においてはシリコンエピタキシーが進行するが、ウェーハ裏面においては主にガス拡散による回り込みによりSi−H−Cl系雰囲気が形成され、Si−H−Cl系雰囲気でウェーハ裏面からのドーパント種の放出が起こる。
【0007】
その結果、ウェーハポケット13に充満したドーパント種を含む雰囲気が拡散によりウェーハ表面へ回り込み、ウェーハ12の表面周縁部において局所的に気相中のドーパント濃度が上昇するため、エピタキシャル層中のドーパント濃度がウェーハ表面周縁部において上昇する現象が見られる。
【0008】
上記のようにウェーハポケット13に充満したドーパント種を含む雰囲気がウェーハ表面に回り込むのを防ぐために、特開平10−223545号公報に記載された発明は、図16に示すようにウェーハポケット13の最外周部にサセプタ4の裏面に貫通する孔部50を設けている。このようにウェーハポケット13の最外周部にサセプタ4表面から裏面に貫通する孔部50を設けることにより、サセプタ4の表面側を流れる原料ガスを含むキャリアガスが孔部50を通ってサセプタ4の下方に流出するため、ウェーハポケット13に充満したドーパント種を含む雰囲気がウェーハ12の表面に回り込むのを防止することができる。
【0009】
【発明が解決しようとする課題】
特開平10−223545号公報に記載された発明のようにサセプタ4の表面側からサセプタ4の下方にガスを流出させる場合には、キャリアガスとともに反応性の強いトリクロロシランSiHClやジクロロシランSiHCl等の原料ガスおよび気相中で分解したSiアモルファス、HClなどが下部チャンバ7bに大量に流出する。
【0010】
図1を参照すればわかる通り下部チャンバ7bは、ウェーハ12の回転駆動機構,リフト機構,搬送用アームの進入経路等を含んでおり、掃除をすることは非常に困難である。上記のような原料ガスや分解生成物の侵入は、大幅なメンテナンス時間の増大をもたらし、生産性の悪化要因となる。また、メンテナンス費用の増大も無視できない。
【0011】
さらに、下部チャンバ7b側からも熱源9により加熱しているため、チャンバ内壁の汚れはサセプタ4の加熱の不均一や再現性の悪化をもたらし、ウェーハ品質のバラツキの原因にもなる。
【0012】
本出願に係る発明は、上記のような問題点を解決するためになされたものであり、その目的とするところは、サセプタの表面側からサセプタの下方へ大量の原料ガスを流すことなく、ウェーハポケットに充満したドーパント種を含む雰囲気を排出可能なエピタキシャルウェーハ製造装置およびサセプタ構造を提供することにある。
【0013】
また、本出願に係る発明の他の目的は、サセプタの表面側からサセプタの下方へ原料ガスが流れにくいエピタキシャルウェーハ製造装置およびエピタキシャルウェーハ製造方法を提供することにある。
【0014】
【課題を解決するための手段】
上記目的を達成するため、本出願に係る第1の発明は、略円板形状をなし、表面にウェーハを収容する凹状のウェーハポケットを有するサセプタであって、前記サセプタの側面または裏面から前記ウェーハポケットに貫通するガス供給用路と、前記ウェーハポケットから前記サセプタの側面または裏面に貫通するガス排出用路と、を有するサセプタである。
【0015】
また、本出願に係る第2の発明は、前記ガス供給用路は、前記サセプタの回転にしたがって前記ウェーハポケット内にガスを供給する形状をなし、前記ガス排出用路は、前記サセプタの回転にしたがって前記ウェーハポケット内のガスを排出する形状をなす、ことを特徴とする上記第1の発明に記載のサセプタである。
【0016】
さらに、本出願に係る第3の発明は、ガスの供給口と排出口を有するチャンバと、前記チャンバ内に配置され、表面にウェーハを収容する凹状のウェーハポケットと、側面または裏面から前記ウェーハポケットに貫通するガス供給用路と、前記ウェーハポケットから側面または裏面に貫通するガス排出用路と、を有する略円板状のサセプタと、前記サセプタを支持する支持手段と、前記チャンバ内の前記サセプタとウェーハを加熱する加熱手段と、を備えるエピタキシャルウェーハ製造装置である。
【0017】
また、本出願に係る第4の発明は、前記チャンバ内の前記サセプタよりも上方にのみ原料ガスを含むキャリアガスを供給するガス供給口を備える、ことを特徴とする上記第3の発明に記載のエピタキシャルウェーハ製造装置である。
【0018】
さらに、本出願に係る第5の発明は、チャンバと、前記チャンバ内に配置され、表面にウェーハを収容する凹状のウェーハポケットを有するサセプタと、前記サセプタを支持する支持手段と、前記チャンバ内の前記サセプタとウェーハを加熱する加熱手段と、を備えるエピタキシャルウェーハ製造装置であって、前記チャンバ内の前記サセプタの上方に原料ガスを含むキャリアガスを供給するガス供給口と、前記チャンバ内の前記サセプタの下方に前記キャリアガスよりも重いガスを供給する重ガス供給口と、を備えるエピタキシャルウェーハ製造装置である。
【0019】
また、本出願に係る第6の発明は、チャンバ内に配置され、表面にウェーハを収容する凹状のウェーハポケットを有するサセプタの、前記ウェーハポケットにウェーハを載置するステップと、前記ウェーハポケットに前記サセプタの下部からガスを供給するステップと、前記ウェーハポケット内のガスを前記サセプタの下部から排出するステップと、前記チャンバ内の前記サセプタと前記ウェーハを加熱するステップと、を含むことを特徴とするエピタキシャルウェーハ製造方法である。
【0020】
さらに、本出願に係る第7の発明は、チャンバ内に配置されたサセプタ上にウェーハを載置するステップと、前記チャンバ内の前記サセプタの上方に原料ガスを含むキャリアガスを供給し、前記サセプタの下方に前記キャリアガスよりも重いガスを供給するステップと、前記チャンバ内の前記サセプタと前記ウェーハを加熱するステップと、を含むことを特徴とするエピタキシャルウェーハ製造方法である。
【0021】
【発明の実施の形態】
以下、本出願に係る発明の実施の形態について、図1〜図15および図17に基づいて詳細に説明する。
【0022】
[装置全体の説明]
図1は、本発明に係るエピタキシャルウェーハ製造装置1の概略構造を示す縦断面である。本発明のエピタキシャルウェーハ製造装置自体の概略構造は、従来技術で説明したエピタキシャルウェーハ製造装置とほぼ同様であるため、同一図面を用いて説明する。同図においては、チャンバ2の構造を主に記載しており、チャンバ2の下方に設けた回転駆動機構については、具体的な図示を省略している。
【0023】
チャンバ2は、円筒状のベースリング3を円板状の上部窓5および受皿状の下部窓6によって上下から挟んでなり、内部の閉空間は反応炉を形成する。上部窓5および下部窓6は、熱源からの光を遮ることが無いように透光性を有する石英を用いている。チャンバ2内に形成された反応炉は、ウェーハ12よりも上部の空間である上部チャンバ7aと、ウェーハ12よりも下部の空間である下部チャンバ7bとに大別される。
【0024】
さらに、反応炉を加熱する熱源8,9をチャンバ2の上下に備えている。本実施の形態においては、上下の熱源8,9はそれぞれ複数本のハロゲンランプ(赤外線ランプ)から構成されている。
【0025】
チャンバ2内には、ウェーハ12を上部に支持するサセプタ4を収納している。サセプタ4は上方から見ると円板形状をしており、その直径はウェーハ12よりも大きく、サセプタ4の上面にはウェーハ12が収納される円形凹状のウェーハポケット13を設けている。サセプタ4は、本例においては炭素Cの基材に炭化シリコンSiCの被膜を施したものであり、ウェーハ12を加熱する際にウェーハ12全体の温度を均一に保つ均熱盤としての役割を果たす。そのため、サセプタ4はウェーハ12よりも数倍の厚さおよび数倍の熱容量を有している。
【0026】
ウェーハ12の上面に均一なエピタキシャル層が形成されるように、エピタキシャル層成長処理操作の間、サセプタ4はウェーハ12の板面と平行な面内において垂直軸を回転中心として回転動をする。当然のことながら、サセプタ4に設けたウェーハポケット13の中心は、サセプタ4の回転中心と一致する。
【0027】
サセプタ4の下方には、サセプタ4の回転軸となる円柱状または円筒状のサセプタ支持軸14が垂直に配置され、サセプタ支持軸14の上部にはサセプタ4を水平に支持する3本のサセプタアーム15を備える。3本のサセプタアーム15は上方から見たときにそれぞれが120°の角度をなすように放射状に配置され、サセプタアーム15の先端に設けた上方向凸部がサセプタ4の下面に当接してサセプタ4を支持する。
【0028】
サセプタ支持軸14は、その軸心とサセプタ4の円板中心とが一致する位置に垂直に配置され、サセプタ支持軸14の回転によりサセプタ4が回転する。サセプタ支持軸14への回転は、不図示の回転駆動機構によって与えられる。サセプタ支持軸14およびサセプタアーム15は、下部熱源9からの光を遮ることのないよう、透光性の石英から形成されている。
【0029】
図2はサセプタ4の縦断面を模式的に表した図である。サセプタ4のウェーハポケット13は、以下に説明するように複数の段部およびテーパ面からなる。例えば、直径300mmのウェーハをエピタキシャル成膜処理する装置においては、サセプタ4として直径が350〜400mm、厚さが3〜6mmの円板部材を用いる。
【0030】
サセプタ4の上面外周から20〜40mm中心に向かった位置に、円形凹部である第1の段部30を設けている。第1の段部30はサセプタ4の上面から0.4〜0.7mm下がった位置に設けた円形の平坦面であり、サセプタ4の上面と平行すなわち水平面である。
【0031】
さらに、第1の段部30の外周から5〜6mm中心に向かった位置を始点として、緩やかな傾斜を有するテーパ面31を設けている。テーパ面31は、第1の段部30よりも更に約0.1mm程下がった位置から始まり、中心に向かって24〜27mmの距離に対して約0.1mm下がる勾配を有する非常に緩やかなロート形状をなす。
【0032】
テーパ面31から更に中心に向かって、円形凹部である第2の段部32を設けている。第2の段部32はテーパ面31から約0.3mm下がった位置に設けた円形の平坦面であり、サセプタ4の上面と平行すなわち水平面である。
【0033】
図2では説明の都合上2個しか図示していないが、第2の段部32には3個の貫通穴22が設けられ、各々の貫通穴22の上部は上方に向かって拡大開口する皿状穴22´を形成している。3個の貫通穴22にはそれぞれウェーハ支持用のリフトピン23が挿通している。この貫通穴22の穴径はリフトピン23の直径よりも大きくし、サセプタ4に対してリフトピン23が上下動する際に接触しない大きさを有するように形成する。
【0034】
リフトピン23は、石英,シリコンSi,炭化シリコンSiC,石英にシリコンSi又は炭化シリコンSiCの被膜を施したもの等よりなる。リフトピン23は円柱または円筒状をなし、上端部には、皿状穴22´に対応するように下部外周にテーパ面24bを有する頭部24を備える。この頭部24のテーパ面24bのテーパ角は、皿状穴22´のテーパ面のテーパ角と適合する。この結果、頭部24は皿状穴22´の内壁に対し優れたシールを与え、これにより原料ガスがリフトピン23と貫通穴22の内壁との間を通って漏れることが防止される。
【0035】
頭部24の上部24aは頂角が鈍角をなす円錐形状をなし、ウェーハ裏面を支持する際の接触面積を極めて小さくすることにより、リフトピン23によるウェーハ裏面への傷の発生を防止している。リフトピン23は頭部24がサセプタ4の皿状穴22´の内壁に係合し、リフトピン23が下降した状態においては、自重により鉛直に垂下される。このとき、頭部24の上部24aが第2の段部32の上面から突出することはない。
【0036】
図1に示すように、リフトピン23はサセプタ4に垂下され、その胴部はサセプタアーム15に設けた貫通穴を挿通している。リフトピン23は後述のリフトアーム16とは分離した独立の単体構造であるため、サセプタ4が回転するときには、サセプタ4とともにリフトピン23も回転するが、リフトアーム16は停止した状態を保つ。リフトピン23の胴部がサセプタアーム15に設けた貫通穴を挿通しているため、サセプタ4が回転したときに、リフトピン23が遠心力によって傾くのを防止することができる。
【0037】
サセプタ支持軸14の外周には、サセプタ支持軸14に対して摺動可能な内径を有する円筒状のリフト軸17を設けている。リフト軸17の上端には、上方から見た際にそれぞれが120°の角度をなすように放射状に配置された3本のリフトアーム16を備える。リフトピン23が下降してエピタキシャル成膜処理をしている間は、リフトアーム16とリフトピン23の下端は非接触状態を保ち、サセプタ4の回転時にリフトアーム16がリフトピン23に余計な負荷を加えることはない。
【0038】
ウェーハ12をサセプタ4から上昇させる場合には、各リフトピン23がリフトアーム16上に位置合わせされたところでサセプタ4の回転を停止させ、リフトアーム16を上昇またはサセプタ支持軸14を下降させる。それにより、リフトピン23の下端がリフトアーム16に当接してリフトピン23の頭部24がサセプタ4から上昇し、ウェーハ12は頭部24によって下方から押し上げられてウェーハポケット13から上昇する。
【0039】
図1に示すように、サセプタ4の外周にはサセプタ4を取り囲むように、サセプタ4とほぼ同じ厚さを有する円環状の余熱リング19を固定配置している。余熱リング19の内周面はサセプタ4の外周面に対して接触しないだけの間隔をとって配置され、サセプタ4は余熱リング19と独立して回転することができる。余熱リング19は、サセプタ4と同様に炭素Cの基材に炭化シリコンSiCの被膜を施したものであり、サセプタ4の外周部における熱容量の変化に伴う急激な温度変化を防止する役割を果たす。このようにサセプタ4の周りに余熱リング19を設けることにより、サセプタ4は中心部から外周部に亘ってほぼ均一に加熱される。
【0040】
図1に示すようにベースリング3には、ガス供給口10aとガス排出口11を設けている。同図向かって左側のガス供給口10aから原料ガスを含んだキャリアガスを供給し、右側のガス排出口11から排出する。チャンバ外部に設けた不図示のガス供給ユニットより、ガス供給口10aを通して、チャンバ2内に原料ガスおよびキャリアガスが供給される。
【0041】
ガス供給口10aは、ベースリング3の内周面において余熱リング19よりも上側に開口を有し、上部チャンバ7a内に水素ガスH或いは、原料ガスを含んだ水素ガスを供給する。原料ガスは、主にトリクロロシランSiHClやジクロロシランSiHCl等のクロロシラン系のシリコンソースガスに、ジボラン(P型)やホスフィン(N型)のドーパントガスが添加されたものである。これらのガスはキャリアガスである水素ガスHとともに上部チャンバ7a内に導入される。
【0042】
さらに、下部供給口10bがガス供給口10aとは別に設けられ、チャンバ外部に設けた不図示のガス供給ユニットより、下部供給口10bを通して下部チャンバ7b内に水素ガスHが供給される。下部供給口10bは、ベースリング3の内周面において余熱リング19よりも下側に開口を有し、下部チャンバ7b内に水素ガスHを供給する。
このように、上部チャンバ7a側にのみ原料ガスを供給することにより、下部チャンバ7bにおける原料ガスの無用な反応を防止することができる。
【0043】
ガス排出口11は、ベースリング3の内周面に余熱リング19よりも上側および下側に2つの開口を有し、上部チャンバ7aおよび下部チャンバ7bからのガスをひとまとめにしてチャンバ2の外へ排出する。
【0044】
[第1の実施の形態]
次に第1の実施の形態におけるサセプタの構造について、図3〜図7を用いて詳細に説明する。
【0045】
図3はサセプタ4の表面側の斜視図、図4はサセプタ4の裏面側の斜視図である。図3及び図4に示すようにサセプタ4は、サセプタ4の裏面側から厚さ方向でサセプタ4の中腹に至る4個の切欠きを備える。各切欠きは、サセプタ4の外周面に長方形の開口を有する。4個の切欠きは、サセプタ4の中心に対して対称の位置に配置された切欠き同士が対をなし、2個のガス流入用切欠き25と、2個のガス排出用切欠き26を形成する。図4に示すように、サセプタ4の裏面側から見ると、ガス流入用切欠き25とガス排出用切欠き26は緩やかな曲線を描く幅広の溝である。溝の断面形状は、サセプタ4の外周からサセプタ4の中心部に向かうにつれて狭くなる方が好ましいが、一定であってもよい。
【0046】
図5はサセプタ4の平面図、図6はサセプタ4の底面図である。図5に示すようにガス流入用切欠き25は右巻き方向で中心に向かって渦を巻くように形成され、ガス排出用切欠き26は右巻き方向で外側に向かって渦を巻くように形成される。
【0047】
図7は図5のB−B´断面図である。ガス流入用切欠き25とガス排出用切欠き26は共に同様な断面形状を有するため、ここではガス流入用切欠き25の断面形状についてのみ説明する。図7に示すように、ガス流入用切欠き25はサセプタ4の外周面からテーパ面31の開始位置に至るまで、中心方向に向かって溝を形成し、さらに、サセプタ4を斜めに10〜45°の角度で貫通してテーパ面31に開口を形成する。より好ましくはサセプタ4を20°の角度で貫通するのがよい。その結果、サセプタ4の裏面にはサセプタ4の外周面から中心方向に向かって曲線を描く溝が形成され、テーパ面31に差し掛かったところでウェーハポケット13に貫通する。
【0048】
図3の矢印aで示すように、上方から見た場合にサセプタ4は反時計方向に回転する。すると、矢印bで示すように下部チャンバ7b内のキャリアガスがサセプタ4のガス流入用切欠き25からウェーハポケット13内に流入し、矢印cで示すようにウェーハポケット13内のガスがガス排出用切欠き26から排出される。このように、サセプタ4の裏面側からガス流入用切欠き25を通ってキャリアガスが流入して、ウェーハポケット13内を循環し、ガス排出用切欠き26を通ってサセプタ4の裏面側に排出される。
【0049】
したがって、第1の実施の形態におけるサセプタ4によれば、サセプタ4の表面側からサセプタ4の下方へ大量の原料ガスを流すことなく、エピタキシャル成長の過程でウェーハの裏面から放散されるドーパント種を含む雰囲気をウェーハポケット13から排出することが可能になる。
【0050】
また、エピタキシャル成膜時におけるサセプタ4の回転を利用して、ウェーハポケット13内のガスを強制的に排出するため、ウェーハポケット13に充満したドーパント種を含む雰囲気がウェーハ12の表面に回り込むのをより効果的に防止することができる。本実施の形態においては、ガス流入用切欠き25とガス排出用切欠き26を曲線状の溝として形成することによりウェーハポケット13内のガスを強制的に排出する構成としたが、曲線状の溝でない場合であってもウェーハポケット13内のガスを排出する効果を奏することができる。
【0051】
更に、本実施の形態におけるサセプタ4によれば、ガス流入用切欠き25とガス排出用切欠き26が対称形状であるため、サセプタ4を正逆何れの方向に回転させた場合であっても、ウェーハポケット13内のガスを強制的に排出することができる。例えば、図5に示す反時計回りとは反対の方向(時計回り)に回転させた場合には、ガス流入用切欠き25がガス排出用として機能し、ガス排出用切欠き26がガス流入用として機能する。
【0052】
尚、本実施の形態においては、ガス流入用切欠き25およびガス排出用切欠き26は、サセプタ4の裏面側からテーパ面31に貫通している例を示したが、両方若しくは一方が第2の段部32に貫通していても良い。また、ガス流入用切欠き25およびガス排出用切欠き26の個数は設計により種々の変更が可能であり、それぞれが少なくとも1個以上であればよい。
【0053】
[第2の実施の形態]
図14及び図15に他の例を示す。図14はサセプタ4を上面から見た平面図、図15はD−D´断面図である。ウェーハの裏面形状は第1の実施の形態と同様であるため、図4を参照して説明する。
【0054】
サセプタ4は、第1の実施の形態と同様に、サセプタ4の裏面側から厚さ方向でサセプタ4の中腹に至る4個の切欠きを備え、各切欠きはサセプタ4の外周面に長方形の開口を有する。4個の切欠きは、サセプタ4の中心に対して対称の位置に配置された切欠き同士が対をなし、2個のガス流入用切欠き25と、2個のガス排出用切欠き26を形成する。図4に示すように、サセプタ4の裏面側から見ると、ガス流入用切欠き25とガス排出用切欠き26は緩やかな曲線を描く幅広の溝である。溝の断面形状は、サセプタ4の外周からサセプタ4の中心部に向かうにつれて狭くなる方が好ましいが、一定であってもよい。
【0055】
図14に示すようにガス流入用切欠き25は右巻き方向で中心に向かって渦を巻くように形成され、ガス排出用切欠き26は右巻き方向で外側に向かって渦を巻くように形成される。
【0056】
図15に示すように、本実施の形態におけるサセプタ4は、ウェーハ12を載置する面52と、その面52の外周部に形成された掃気段部51を備える。面52はサセプタ4の上面からおよそウェーハ12の形状に合わせて円形に凹んだ面である。掃気段部51は、面52よりも更にウェーハ裏面側に下がった段部であり、面52の外周に円環状に設けられた平坦面である。二点鎖線で示すウェーハ12は、掃気段部51よりも内側に形成された面52に載置され、外周部は掃気段部51の上を覆うように配置される。その結果、ウェーハ12と掃気段部51との間には、円環状空間53が形成される。なお、面52上には、メッシュ状の浅い細溝を形成する、いわゆるローレット加工を施していても良い。
【0057】
次に、本実施の形態におけるガス流入出用切欠き25及びガス排出用切欠き26の断面形状について説明する。ガス流入用切欠き25とガス排出用切欠き26は共に同様な断面形状を有するため、ここではガス流入出用切欠き25の断面形状についてのみ説明する。図15に示すように、ガス流入用切欠き25はサセプタ4の外周面から掃気段部51の開始位置に至るまで、中心方向に向かって溝を形成し、さらに、サセプタ4を斜めに10〜45°の角度で貫通して掃気段部51に開口を形成する。より好ましくはサセプタ4を20°の角度で貫通するのがよい。
【0058】
図14の矢印aで示すように、上方から見た場合にサセプタ4は反時計方向に回転する。すると、矢印bで示すようにサセプタ4の下部チャンバ7b内のキャリアガスがガス流入用切欠き25から掃気段部51に流入し、矢印cで示すようにガス排出用切欠き26から排出される。このように、サセプタ4の裏面側からガス流入用切欠き25を通ってキャリアガスが流入して、円環状空間53内を循環し、ガス排出用切欠き26を通ってサセプタ4の裏面側に排出される。
【0059】
したがって、第2の実施の形態におけるサセプタ4によれば、サセプタ4の表面側からサセプタ4の下方へ大量の原料ガスを流すことなく、ウェーハポケットに充満したドーパント種を含む雰囲気を排出することが可能になる。
【0060】
[第3の実施の形態]
次に第3の実施の形態におけるサセプタの構造について、図8〜図12を用いて詳細に説明する。
【0061】
図8はサセプタ4の表面側の斜視図、図9はサセプタ4の裏面側の斜視図である。図8及び図9に示すようにサセプタ4は、サセプタ4の裏面側から厚さ方向でサセプタ4の中腹に至る3個の切欠きを備える。各切欠きは、サセプタ4の外周面に長方形の開口を有するガス流入用切欠き27である。図9に示すように、サセプタ4の裏面側から見ると、ガス流入用切欠き27は緩やかな曲線を描く幅広の溝である。溝の断面形状は、サセプタ4の外周からサセプタ4の中心部に向かうにつれて狭くなる方が好ましいが、一定であってもよい。
【0062】
図10はサセプタ4の平面図、図11はサセプタ4の底面図である。図10に示すように、サセプタ4は矢印aで示すように時計回りに回転するため、ガス流入用切欠き27は左巻き方向で中心に向かって渦を巻くように形成される。各ガス流入用切欠き27は、サセプタ4の中心に対して互いに120°の角度をなすように、等間隔に割り出しした位置に設けられている。
【0063】
図12(a)は図10のA−A´断面図である。図12(a)に示すように、ガス流入用切欠き27はサセプタ4の外周面からテーパ面31の開始位置に至るまで、中心方向に向かって溝を形成し、さらに、サセプタ4を斜めに10〜45°の角度で貫通してテーパ面31に開口を形成する。より好ましくはサセプタ4を20°の角度で貫通するのがよい。その結果、サセプタ4の裏面にはサセプタ4の外周面から中心方向に向かって曲線を描く溝が形成され、テーパ面31に差し掛かったところでウェーハポケット13に貫通する。
【0064】
図10に示すように、本実施の形態におけるサセプタ4は、サセプタ中央部近辺すなわち第2の段部32の平坦面に、サセプタ4を表面側から裏面側へ貫通するガス排出用開口28を設けている。図12(b)は、図10のC−C´断面図である。ガス排出用開口28は、ウェーハポケット13の第2の段部32の上面に開口を有し、サセプタ4を斜めに10〜45°の角度で貫通して、サセプタ4の裏面に再び開口を形成する。より好ましくはサセプタ4を20°の角度で貫通するのがよい。図10においては、ガス排出用開口28は断面形状を四角形に構成しているが、必ずしも四角形の必要はなく円形や三角形であってもよい。
【0065】
図8の矢印aで示すように、上方から見た場合にサセプタ4は時計方向に回転する。すると、矢印bで示すようにサセプタ4の下部チャンバ7b内のキャリアガスがガス流入用切欠き27からウェーハポケット13内に流入し、矢印cで示すようにウェーハポケット13内のガスがガス排出用開口28から排出される。このように、サセプタ4の裏面側からガス流入用切欠き27を通ってキャリアガスが流入して、ウェーハポケット13内を循環し、ガス排出用開口28を通ってサセプタ4の裏面側に排出される。
【0066】
したがって、第3の実施の形態におけるサセプタ4によれば、サセプタ4の表面側からサセプタ4の下方へ大量の原料ガスを流すことなく、ウェーハポケット13に充満したドーパント種を含む雰囲気を排出することが可能になる。
【0067】
また、エピタキシャル成膜時におけるサセプタ4の回転を利用して、ウェーハポケット13内のガスを強制的に排出するため、ウェーハポケット13に充満したドーパント種を含む雰囲気がウェーハ12の表面に回り込むのをより効果的に防止することができる。
【0068】
尚、本実施の形態においてガス流入用切欠き27は、サセプタ4の裏面側からテーパ面31に貫通している例を示したが、第2の段部32に貫通していても良い。また、ガス流入用切欠き27の個数は設計により種々の変更が可能であり、1個以上であればよい。
【0069】
第1〜第3の実施の形態に示したように、特に、ウェーハポケット13の形状は段部とテーパ面を組み合わせた構成である必要はなく、段部のみ或いはテーパ面のみ、またはいわゆるローレットというメッシュ状の浅い細溝が形成されてウェーハを多数の凸部と接触支持させる構成や、表面に被覆した炭化珪素の面粗度がウェーハ裏面よりもはるかに粗いことを利用する構成であってもよい。何れにしても本願発明は適用可能であり、サセプタ4の側面または裏面からウェーハポケット13内にガスを取り込み、再びサセプタ4の側面または裏面から排出する全ての構成に及ぶものである。
【0070】
[第4の実施の形態]
次に第4の実施の形態におけるサセプタの構造について、図17を用いて詳細に説明する。本実施の形態におけるサセプタの全体構成は、図8に示す第3の実施の形態におけるサセプタとほぼ同様であるため、同一部分については同一符号を付すことにより具体的な説明は省略する。
【0071】
図17はサセプタ4の表面側の斜視図である。本実施の形態におけるサセプタ4は、特に図17の太線で示すように、ガス逃がし溝20を備えた点に特徴を有する。ガス逃がし溝20は、テーパ面31に形成された円環状の浅い溝であり、各ガス流入用切欠き27のウェーハポケット13側の開口を連結するように形成されている。
【0072】
より詳細には、ガス逃がし溝20は溝幅3.0mm、溝深さ1.5mm程度で良く、もちろん、溝幅は3.0mm以上で溝深さが1.5mm以上であってもよい。排気効率を向上させる目的からは、溝幅は1.0mm以上で溝深さは0.5mm以上であることが望ましい。
【0073】
このように、各ガス流入用切欠き27のウェーハポケット13側の開口を連結する円環状のガス逃がし溝20を、テーパ面31に形成することにより、ガス逃がし溝20のある部分の排気効率を向上させ、或いは排気効率をウェーハの円周方向で均一化することができる。
【0074】
なお、本実施の形態におけるガス逃がし溝20を、上記第1の実施の形態におけるガス流入用切欠き25とガス排出用切欠き26のウェーハポケット側開口を連結する円環状に形成することも可能である。この場合においても、溝のある部分の排気効率を向上させ、或いは排気効率をウェーハの円周方向で均一化することができる。
【0075】
次に、本願発明のエピタキシャルウェーハ製造装置の全体の動作について、図1を用いて説明する。本動作説明においては、昇降機構や回転駆動機構の機械的な動作の説明は省略し、サセプタおよびリフトピンとウェーハとの動作関係、及び、それらの位置関係についてのみ説明する。
【0076】
まず、上下部の熱源8,9を作動させ、処理チャンバ2内のサセプタ4をウェーハの搬送に適した温度まで加熱する。ウェーハ搬送温度としては、800℃程度が好ましく、サーモセンサ等によってチャンバ2内のサセプタ4の温度を検知しながら上記の温度範囲を保つように制御する。同時に、ガス供給口10a及び下部供給口10bからキャリアガスを流し込み、上部チャンバ7a及び下部チャンバ7b内をキャリアガスによって充填する。チャンバ2はガス供給口10aの反対側にガス排出口11を有しており、ガス供給口10a及び下部供給口10bからガス排出口11に向かってキャリアガスが常時流れる。キャリアガスとしては一般に水素Hを用いることが多く、通常、常温(室温)の状態でチャンバ2内へ供給される。
【0077】
次に、チャンバ2内が十分に加熱されキャリアガスが充填されたら、今度はチャンバ2内にウェーハ12を搬入する。ウェーハ12はインゴットからスライス加工され、研磨工程等を経てなり、薄い円板状をなしている。一例としては、直径300mm,厚さ0.7〜0.75mm程度のものがある。このウェーハ12を石英製のハンドの上に載せ、ハンドをチャンバ2内に入れる。ウェーハ12を上昇したリフトピン23上に移載し、リフトピン23をゆっくりと下降させることによってウェーハ12がサセプタ4のウェーハポケット13に嵌り込み、左右方向の位置ずれが発生しない状態となる。
【0078】
上下部の熱源8,9を作動させ、ウェーハ表面温度をエピタキシャル成長に適した温度(1000〜1200℃)に上昇させる。また、不図示の制御部からの指令によって回転駆動用モータを駆動し、サセプタ4を回転させる。サセプタ4の回転が安定したら、キャリアガスに原料ガスを混合して、ガス供給口10aを通して上部チャンバ7a内に原料ガスを供給する。原料ガスは、主にトリクロロシランSiHClやジクロロシランSiHCl等のクロロシラン系のシリコンソースガスに、ジボラン(P型)やホスフィン(N型)のドーパントガスが添加されたものである。
【0079】
ウェーハ12の表面を原料ガスを含むキャリアガスが流れ、ウェーハ表面にエピタキシャル層が成長し始める。ウェーハ12はサセプタ4に収容された状態で水平面内で回転しているため、ウェーハ12の表面にはほぼ均一な厚さを有するエピタキシャル層が成長する。このとき、ウェーハ表面において、熱CVD反応によるシリコンエピタキシーとともに副生成物としてHClが生成する。
【0080】
従来の装置によれば、ウェーハ表面においてはシリコンエピタキシーが進行するが、ウェーハ裏面においては主にガス拡散による回り込みによりウェーハポケット13内にSi−H−Cl系雰囲気が留まるため、Si−H−Cl系雰囲気でウェーハ裏面からのドーパント種の放出が起こる。
【0081】
上記の通り、第1〜第4の実施の形態において説明した本願のサセプタ4によれば、ウェーハ表面への成膜処理時の回転を利用して、サセプタ4の側面または裏面から下部チャンバ7b内のキャリアガス(原料ガスを含まない水素ガスH)を吸気し、ウェーハポケット13内を循環させた後、サセプタ4の側面または裏面から排出する。その後、ガス排出口11の下側の開口からチャンバ外へ排出される。そのため、ウェーハポケット13内にSi−H−Cl系雰囲気が留まることを防止でき、ウェーハ裏面からのドーパント種の放出の影響を抑制することができる。
【0082】
所望の厚さのエピタキシャル層が成長したら、原料ガスの供給を停止し、熱源8,9を制御してチャンバ2内をウェーハ搬送温度に下げる。そして、処理済みのウェーハ12をチャンバ2内から搬出する。搬出は、搬入とは逆の手順に従えばよい。
【0083】
次に、本願におけるエピタキシャルウェーハ製造装置の変形例について説明する。図13は、エピタキシャルウェーハ製造装置40の概略構造を示す縦断面である。このエピタキシャルウェーハ製造装置40自体の概略構造は、図1を用いて説明したエピタキシャルウェーハ製造装置1とほぼ同様であるため、同様部分については同符号を付して詳細な説明は省略する。
【0084】
エピタキシャルウェーハ製造装置40は、ベースリング3に形成された重ガス供給口45に、重ガス供給手段41及びキャリアガス供給手段42を連結している。重ガス供給手段41には重ガス源44が流量調整手段43を介して接続されており、重ガス源44から供給される重ガスは流量調整手段43によって所望の供給量に調整される。重ガス供給手段41から供給される重ガスは、キャリアガス供給手段42から供給されるキャリアガスと混合され、重ガス供給口45から下部チャンバ7bへ供給される。ガス供給口10aから供給されるガスおよび重ガス供給口45から供給されるガスの供給量は、不図示のプロセスコントローラにより制御される。
【0085】
重ガス供給口45はガス供給口10aと交わることなく、チャンバ2外部から重ガスを下部チャンバ7bにのみ供給する。重ガスとしては、例えばアルゴンガスを用いることができる。基本的には、上部チャンバ7aに供給されるキャリアガスよりも重いことが必要である。重ガス源44としては、アルゴンガスタンクまたはアルゴンガス精製プラントからの配管を使用することができ、また、流量調整手段43としては、マスフローコントローラを使用することができる。
【0086】
重ガス供給口45から供給されたアルゴンガス等の重ガスは、チャンバ2の下部チャンバ7b内に充満する。重ガスは上部チャンバ7aに供給されるキャリアガスよりも重いため、下部チャンバ7b内を重ガスで満たすことにより、上部チャンバ7aからキャリアガスとともに原料ガスが流れ込むのを防止することができる。その結果、サセプタ4の表面側からサセプタ4の下方側へ大量の原料ガスを流すことなく、ウェーハポケットに充満したドーパント種を含む雰囲気を排出することができる。なお、図13においては、重ガス供給口45はキャリアガスと重ガスの混合ガスを供給する場合について例示しているが、キャリアガス供給口と重ガス供給口を独立して設けてもよい。
【0087】
【発明の効果】
本願のサセプタ構造によれば、サセプタの表面側からサセプタの下方へ大量の原料ガスを流すことなく、ウェーハポケットに充満したドーパント種を含む雰囲気を排出することが可能になる。
【0088】
また、本願のサセプタ構造によれば、エピタキシャル成膜時におけるサセプタの回転を利用してウェーハポケット内のガスを強制的に排出するため、ウェーハポケットに充満したドーパント種を含む雰囲気がウェーハの表面に回り込むのをより効果的に防止することができる。
【0089】
更に、ガス流入用切欠きとガス排出用切欠きを対称形状に形成することにより、サセプタを正逆何れの方向に回転させた場合であっても、ウェーハポケット内のガスを強制的に排出することができる。
【0090】
本願のエピタキシャルウェーハ製造装置によれば、上部チャンバに供給するキャリアガスよりも重いガスを下部チャンバに供給することにより、下部チャンバ内が重ガスで満たされ、上部チャンバからキャリアガスとともに原料ガスが流れ込むのを防止することができる。その結果、サセプタの表面側からサセプタの下方側へ大量の原料ガスを流すことなく、ウェーハポケットに充満したドーパント種を含む雰囲気を排出することができる。
【図面の簡単な説明】
【図1】本願のエピタキシャルウェーハ製造装置の概略を示す、縦断面図である。
【図2】サセプタの概略を示す、縦断面図である。
【図3】第1の実施の形態におけるサセプタの表面側の斜視図である。
【図4】第1の実施の形態におけるサセプタの裏面側の斜視図である。
【図5】第1の実施の形態におけるサセプタの平面図である。
【図6】第1の実施の形態におけるサセプタの底面図である。
【図7】図5に示すサセプタのB−B´断面図である。
【図8】第3の実施の形態におけるサセプタの表面側の斜視図である。
【図9】第3の実施の形態におけるサセプタの裏面側の斜視図である。
【図10】第3の実施の形態におけるサセプタの平面図である。
【図11】第3の実施の形態におけるサセプタの底面図である。
【図12】(a)は図10に示すサセプタのA−A´断面図、(b)は図10に示すサセプタのC−C´断面図である。
【図13】本願のエピタキシャルウェーハ製造装置の他の例を示す、縦断面図である。
【図14】第2の実施の形態におけるサセプタの平面図である。
【図15】図14に示すサセプタのD−D´断面図である。
【図16】従来技術のサセプタの概略を示す、縦断面図である。
【図17】第4の実施の形態におけるサセプタの表面側の斜視図である。
【符号の説明】
1…エピタキシャルウェーハ製造装置
2…チャンバ
3…ベースリング
4…サセプタ
5…上部窓
6…下部窓
7a…上部チャンバ 7b…下部チャンバ
8…熱源
9…熱源
10a…ガス供給口 10b…下部供給口
11…ガス排出口
12…ウェーハ
13…ウェーハポケット
14…サセプタ支持軸
15…サセプタアーム
16…リフトアーム
17…リフト軸
19…余熱リング
20…ガス逃がし溝
22…貫通穴 22´…皿状穴
23…リフトピン
24…頭部 24a…上部 24b…テーパ面
25…ガス流入用切欠き
26…ガス排出用切欠き
27…ガス流入用切欠き
28…ガス排出用開口
30…第1の段部
31…テーパ面
32…第2の段部
40…エピタキシャルウェーハ製造装置
41…重ガス供給手段
42…キャリアガス供給手段
43…流量調整手段
44…重ガス源
45…重ガス供給口
50…孔部
51…掃気段部
52…面
53…円環状空間。

Claims (5)

  1. 略円板形状をなし、表面にウェーハを収容する凹状のウェーハポケットを有するサセプタであって、
    前記サセプタの側面または裏面から前記ウェーハポケットに貫通するガス供給用路と、
    前記ウェーハポケットから前記サセプタの側面または裏面に貫通するガス排出用路と、
    を有するサセプタ。
  2. 前記ガス供給用路は、前記サセプタの回転にしたがって前記ウェーハポケット内にガスを供給する形状をなし、
    前記ガス排出用路は、前記サセプタの回転にしたがって前記ウェーハポケット内のガスを排出する形状をなす、
    ことを特徴とする請求項1に記載のサセプタ。
  3. ガスの供給口と排出口を有するチャンバと、
    前記チャンバ内に配置され、表面にウェーハを収容する凹状のウェーハポケットと、側面または裏面から前記ウェーハポケットに貫通するガス供給用路と、前記ウェーハポケットから側面または裏面に貫通するガス排出用路と、を有する略円板状のサセプタと、
    前記サセプタを支持する支持手段と、
    前記チャンバ内の前記サセプタとウェーハを加熱する加熱手段と、
    を備えるエピタキシャルウェーハ製造装置。
  4. 前記チャンバ内の前記サセプタよりも上方にのみ原料ガスを含むキャリアガスを供給するガス供給口を備える、
    ことを特徴とする請求項3に記載のエピタキシャルウェーハ製造装置。
  5. チャンバ内に配置され、表面にウェーハを収容する凹状のウェーハポケットを有するサセプタの、前記ウェーハポケットにウェーハを載置するステップと、
    前記ウェーハポケットに前記サセプタの側部または下部からガスを供給するステップと、
    前記ウェーハポケット内のガスを前記サセプタの側部または下部から排出するステップと、
    前記チャンバ内の前記サセプタと前記ウェーハを加熱するステップと、
    を含むことを特徴とするエピタキシャルウェーハ製造方法。
JP2002220027A 2002-07-29 2002-07-29 サセプタ、エピタキシャルウェーハ製造装置及びエピタキシャルウェーハ製造方法 Expired - Lifetime JP3908112B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2002220027A JP3908112B2 (ja) 2002-07-29 2002-07-29 サセプタ、エピタキシャルウェーハ製造装置及びエピタキシャルウェーハ製造方法
US10/626,675 US7699934B2 (en) 2002-07-29 2003-07-25 Epitaxial wafer production apparatus and susceptor structure
TW092120618A TWI237312B (en) 2002-07-29 2003-07-29 Manufacturing device for epitaxy wafer and wafer loader structure

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002220027A JP3908112B2 (ja) 2002-07-29 2002-07-29 サセプタ、エピタキシャルウェーハ製造装置及びエピタキシャルウェーハ製造方法

Publications (2)

Publication Number Publication Date
JP2004063779A JP2004063779A (ja) 2004-02-26
JP3908112B2 true JP3908112B2 (ja) 2007-04-25

Family

ID=31940783

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002220027A Expired - Lifetime JP3908112B2 (ja) 2002-07-29 2002-07-29 サセプタ、エピタキシャルウェーハ製造装置及びエピタキシャルウェーハ製造方法

Country Status (3)

Country Link
US (1) US7699934B2 (ja)
JP (1) JP3908112B2 (ja)
TW (1) TWI237312B (ja)

Families Citing this family (283)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE602004031741D1 (de) * 2004-06-09 2011-04-21 E T C Epitaxial Technology Ct Srl Halterungssystem für behandlungsapparaturen
US8052794B2 (en) * 2005-09-12 2011-11-08 The United States Of America As Represented By The Secretary Of The Navy Directed reagents to improve material uniformity
US8951351B2 (en) * 2006-09-15 2015-02-10 Applied Materials, Inc. Wafer processing hardware for epitaxial deposition with reduced backside deposition and defects
US8852349B2 (en) * 2006-09-15 2014-10-07 Applied Materials, Inc. Wafer processing hardware for epitaxial deposition with reduced auto-doping and backside defects
JP5024382B2 (ja) * 2007-08-03 2012-09-12 信越半導体株式会社 サセプタ及びシリコンエピタキシャルウェーハの製造方法
US20110073037A1 (en) * 2007-12-28 2011-03-31 Shin-Etsu Handotai Co., Ltd. Epitaxial growth susceptor
JP5156446B2 (ja) * 2008-03-21 2013-03-06 株式会社Sumco 気相成長装置用サセプタ
JP5412759B2 (ja) * 2008-07-31 2014-02-12 株式会社Sumco エピタキシャルウェーハの保持具及びそのウェーハの製造方法
JP5207996B2 (ja) * 2009-01-20 2013-06-12 東京エレクトロン株式会社 基板載置台及び基板処理装置
US8388853B2 (en) 2009-02-11 2013-03-05 Applied Materials, Inc. Non-contact substrate processing
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5038365B2 (ja) * 2009-07-01 2012-10-03 株式会社東芝 サセプタおよび成膜装置
US9650726B2 (en) * 2010-02-26 2017-05-16 Applied Materials, Inc. Methods and apparatus for deposition processes
US8920564B2 (en) * 2010-07-02 2014-12-30 Applied Materials, Inc. Methods and apparatus for thermal based substrate processing with variable temperature capability
JP5707766B2 (ja) * 2010-07-28 2015-04-30 住友電気工業株式会社 サセプタおよび半導体製造装置
DE102011007682A1 (de) * 2011-04-19 2012-10-25 Siltronic Ag Suszeptor zum Abstützen einer Halbleiterscheibe und Verfahren zum Abscheiden einer Schicht auf einer Vorderseite einer Halbleiterscheibe
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
DE102012205616B4 (de) 2012-04-04 2016-07-14 Siltronic Ag Vorrichtung zum Abscheiden einer Schicht auf einer Halbleiterscheibe mittels Gasphasenabscheidung
US9401271B2 (en) * 2012-04-19 2016-07-26 Sunedison Semiconductor Limited (Uen201334164H) Susceptor assemblies for supporting wafers in a reactor apparatus
DE102012215676A1 (de) 2012-09-04 2014-03-06 Siltronic Ag Verfahren und Vorrichtung zum Abscheiden einer Schicht auf einer Halbleiterscheibe
DE102012216070B4 (de) 2012-09-11 2018-09-20 Siltronic Ag Epitaxiereaktor für die Abscheidung einer epitaktischen Schicht auf einem Substrat
JP3180048U (ja) * 2012-09-20 2012-11-29 東京エレクトロン株式会社 熱処理装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5343162B1 (ja) 2012-10-26 2013-11-13 エピクルー株式会社 エピタキシャル成長装置
CN103065957B (zh) * 2012-12-27 2016-04-20 日月光半导体制造股份有限公司 半导体基板切割的装置及半导体晶圆切割的制造方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP5602903B2 (ja) * 2013-03-14 2014-10-08 アプライド マテリアルズ インコーポレイテッド エピタキシャル成長による成膜方法、および、エピタキシャル成長装置
JP5684955B1 (ja) * 2013-03-28 2015-03-18 芝浦メカトロニクス株式会社 載置台及びプラズマ処理装置
TWI648427B (zh) * 2013-07-17 2019-01-21 應用材料股份有限公司 用於交叉流動類型的熱cvd腔室之改良的氣體活化的結構
US10047457B2 (en) * 2013-09-16 2018-08-14 Applied Materials, Inc. EPI pre-heat ring
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6149796B2 (ja) * 2014-05-02 2017-06-21 信越半導体株式会社 エピタキシャル成長装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
KR102373977B1 (ko) * 2015-10-05 2022-03-15 삼성전자주식회사 기판 처리 장치
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
DE102015225663A1 (de) * 2015-12-17 2017-06-22 Siltronic Ag Verfahren zum epitaktischen Beschichten von Halbleiterscheiben und Halbleiterscheibe
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
DE102016210203B3 (de) 2016-06-09 2017-08-31 Siltronic Ag Suszeptor zum Halten einer Halbleiterscheibe, Verfahren zum Abscheiden einer epitaktischen Schicht auf einer Vorderseite einer Halbleiterscheibe und Halbleiterscheibe mit epitaktischer Schicht
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR102000021B1 (ko) * 2016-11-30 2019-07-17 세메스 주식회사 기판 지지 유닛, 열처리 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
CN109306467B (zh) * 2017-07-26 2020-10-16 上海新昇半导体科技有限公司 气相生长装置及气相生长方法
CN109306468B (zh) * 2017-07-26 2020-10-16 上海新昇半导体科技有限公司 衬托器、气相生长装置及气相生长方法
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) * 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP6812961B2 (ja) * 2017-12-25 2021-01-13 株式会社Sumco エピタキシャル成長装置およびそれを用いた半導体エピタキシャルウェーハの製造方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111599664B (zh) * 2019-02-21 2023-06-23 株洲中车时代半导体有限公司 一种硅片承载装置以及非对称扩散掺杂方法
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
CN112185844A (zh) * 2019-07-01 2021-01-05 圆益Ips股份有限公司 基板处理装置
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
US11032945B2 (en) * 2019-07-12 2021-06-08 Applied Materials, Inc. Heat shield assembly for an epitaxy chamber
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
JP2021089933A (ja) * 2019-12-03 2021-06-10 信越半導体株式会社 気相成長装置
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP7264038B2 (ja) * 2019-12-19 2023-04-25 株式会社Sumco 気相成長装置及び気相成長処理方法
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) * 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
CN111364021B (zh) * 2020-01-22 2022-07-22 北京北方华创微电子装备有限公司 一种工艺腔室
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
DE102020105538A1 (de) 2020-03-02 2021-09-02 Aixtron Se Vorrichtung zur Halterung eines Substrates in einem CVD-Reaktor
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11781212B2 (en) 2021-04-07 2023-10-10 Applied Material, Inc. Overlap susceptor and preheat ring
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20240026530A1 (en) * 2022-07-20 2024-01-25 Applied Materials, Inc. Method of coating a chamber component

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4990374A (en) * 1989-11-28 1991-02-05 Cvd Incorporated Selective area chemical vapor deposition
JP2934565B2 (ja) * 1993-05-21 1999-08-16 三菱電機株式会社 半導体製造装置及び半導体製造方法
US5679404A (en) * 1995-06-07 1997-10-21 Saint-Gobain/Norton Industrial Ceramics Corporation Method for depositing a substance with temperature control
JPH1050615A (ja) * 1996-07-30 1998-02-20 Toshiba Microelectron Corp 枚葉式気相成長装置
JP3336897B2 (ja) 1997-02-07 2002-10-21 三菱住友シリコン株式会社 気相成長装置用サセプター
JPH1187250A (ja) * 1997-09-01 1999-03-30 Inotetsuku Kk 気相成長装置
JP2001522142A (ja) * 1997-11-03 2001-11-13 エーエスエム アメリカ インコーポレイテッド 改良された低質量ウェハ支持システム
JPH11340142A (ja) * 1998-05-29 1999-12-10 Mitsubishi Materials Silicon Corp 枚葉式エピタキシャル成長装置及びその成長方法
JP3357311B2 (ja) * 1999-02-12 2002-12-16 アプライド マテリアルズ インコーポレイテッド 半導体製造装置におけるウェハ支持装置
US6245149B1 (en) * 1999-07-01 2001-06-12 Applied Materials, Inc. Inert barrier for high purity epitaxial deposition systems
JP2001053030A (ja) * 1999-08-11 2001-02-23 Tokyo Electron Ltd 成膜装置
JP2003197532A (ja) * 2001-12-21 2003-07-11 Sumitomo Mitsubishi Silicon Corp エピタキシャル成長方法及びエピタキシャル成長用サセプター

Also Published As

Publication number Publication date
US7699934B2 (en) 2010-04-20
TWI237312B (en) 2005-08-01
TW200416839A (en) 2004-09-01
JP2004063779A (ja) 2004-02-26
US20040144323A1 (en) 2004-07-29

Similar Documents

Publication Publication Date Title
JP3908112B2 (ja) サセプタ、エピタキシャルウェーハ製造装置及びエピタキシャルウェーハ製造方法
JP4492840B2 (ja) 化学的蒸着処理に使用する改良された受容体
EP1749900B1 (en) Susceptor for vapor deposition apparatus
JP4285240B2 (ja) エピタキシャル成長用サセプタおよびエピタキシャル成長方法
US20090280248A1 (en) Porous substrate holder with thinned portions
US7648579B2 (en) Substrate support system for reduced autodoping and backside deposition
JP4592849B2 (ja) 半導体製造装置
TWI471973B (zh) 具有斜邊之晶圓載體
CN111052308A (zh) 气相生长装置及气相生长方法
KR101447663B1 (ko) 성막 방법 및 성막 장치
JP4868503B2 (ja) エピタキシャルウェーハの製造方法
JP2017011239A (ja) エピタキシャルシリコンウェーハの製造方法
TWI706446B (zh) 磊晶成長裝置及使用此裝置的半導體磊晶晶圓的製造方法
JP2004119859A (ja) サセプタ、半導体ウェーハの製造装置及び製造方法
WO2005013343A1 (ja) 気相成長装置及び気相成長方法
US6887775B2 (en) Process and apparatus for epitaxially coating a semiconductor wafer and epitaxially coated semiconductor wafer
JP2004063865A (ja) サセプタ、気相成長装置およびエピタキシャルウェーハの製造方法
JPWO2005093136A1 (ja) 支持体並びに半導体基板の処理方法
JP2004235439A (ja) サセプタ及び気相成長装置
JP3071591U (ja) 気相エピタキシャル成長装置
JPH11240795A (ja) エピタキシャル成長装置
JPH11240796A (ja) エピタキシャル成長装置
JPH107490A (ja) 気相エピタキシャル装置
KR20110090284A (ko) 반도체 제조용 서셉터

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050615

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060526

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060606

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060807

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20060807

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20060905

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061005

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20061208

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070116

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070117

R150 Certificate of patent or registration of utility model

Ref document number: 3908112

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110126

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110126

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120126

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120126

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130126

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130126

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140126

Year of fee payment: 7

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term