JP2859632B2 - 成膜装置及び成膜方法 - Google Patents

成膜装置及び成膜方法

Info

Publication number
JP2859632B2
JP2859632B2 JP1091633A JP9163389A JP2859632B2 JP 2859632 B2 JP2859632 B2 JP 2859632B2 JP 1091633 A JP1091633 A JP 1091633A JP 9163389 A JP9163389 A JP 9163389A JP 2859632 B2 JP2859632 B2 JP 2859632B2
Authority
JP
Japan
Prior art keywords
chamber
deposition
film forming
film
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP1091633A
Other languages
English (en)
Other versions
JPH0250962A (ja
Inventor
淳 小池
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Inc
Original Assignee
Canon Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Inc filed Critical Canon Inc
Priority to JP1091633A priority Critical patent/JP2859632B2/ja
Publication of JPH0250962A publication Critical patent/JPH0250962A/ja
Priority to US07/674,314 priority patent/US5065698A/en
Priority to US07/756,058 priority patent/US5112185A/en
Application granted granted Critical
Publication of JP2859632B2 publication Critical patent/JP2859632B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Description

【発明の詳細な説明】 〔産業上の利用分野〕 本発明は成膜装置及び成膜方法に関する。
〔従来の技術〕
従来、例えば金属,合金,高分子,セラミクス等の膜
を基体上に形成する場合には、例えばスパッタ法、蒸着
法等の物理気相堆積(PVD)法や、例えば放電熱,光等
のエネルギーを利用した化学気相堆積(CVD)法等が通
常行なわれてきた。
これ等の方法により、成膜を行なうと膜を形成すべき
基体上に成膜するのみならず成膜室の内壁面上にも成膜
物質が付着した付着物が形成されるのを完全に避けるこ
とはできない。この付着物は成膜の回数を重ねる度にそ
の厚さを増し、ある程度の厚さになると自らの内部応力
により膜剥れを起こす傾向があり、この膜剥れにより、
微細のホコリを成膜室内に発生させる(発ジン)ので、
形成過程にある膜が前記微細なホコリを付着し、球状突
起の形成等の膜質を低下させる要因となっていた。
この発ジンを防ぐために、例えば、成膜室内壁に形成
された付着物を成膜終了後エッチング等により除去する
方法(特開昭59−142839号公報)や成膜室内壁面への付
着物の形成を防ぐ防着板と呼ばれる板状体を取り付ける
方法(小林等、「真空」、28巻、第5号、184−186、
(1985))等がある。
〔発明が解決しようとする課題〕
しかしながらエッチング等により付着物を除去する方
法では例えば成膜室内に配されている部材の材料によっ
ては、装置の寿命を短くしてしまったり、付着物の除去
の終点の見極めが困難である、付着物の除去に長時間必
要である等の欠点を有していた。
これに対して第8図に示すような防着板を成膜室内に
取り付ける方法は発ジンにより基体あるいは形成過程の
膜が汚染される前に発ジンの原因となる付着物の付いて
いない新しい防着板に交換するため装置の寿命を短くす
ることなう成膜の汚染を容易に防ぐことができる利点を
有している。
しかしながら第8図に示すように、防着板を交換する
際には、おのおのの防着板を1枚ずつ取り外すために、
成膜室11を大きく開放しなければならない。
以下第8図を参照し、防着板の設置例を説明する。
第8図は従来の典型的な成膜装置内の防着板の設置状
態を模式的に示す略図であって、図中1は成膜室11奥壁
面用防着板を示し、2は右側壁面用、3は左側壁面用、
4は天井壁面用、5は手前ターゲット壁面用、の防着板
である。6は成膜用基体(円板状基体)のホルダーであ
って奥壁面側へ12のシャフト部を回転させることによっ
て倒れこみ、水平状態になったところで奥壁面の開口ゲ
ートバルブ(図示せず)から搬入される円板状基体を保
持、再び立ち上って(第8図の状態)ターゲット16と向
い合う状態で基体に膜形成を行なう。7は基体ホルダー
6への膜堆積を最少に抑える為に放電空間中に固定する
補助防着板であり、8は成膜室11底面用の防着板であ
る。13は1枚々々の防着板を固定するナットである。
この方法では大気に成膜室11の内壁がさらされるので
成膜室11の内壁面に大気中に含まれる例えば窒素,酸
素,二酸化炭素,水等のガス吸着が起るため防着板の交
換後成膜室11内を減圧しても、再び成膜を開始するため
の所望の真空度に達するまでに長時間を要することもあ
った。
また、例えば成膜室11内に配されている金属,高分
子,セラミクス等のターゲットや蒸着原料等の成膜原料
の表面が酸化等の化学反応を受け、成膜原料の組成が変
化して、形成される膜の組成が変化してしまうことがあ
った。そのため防着板の交換後、所望の組成を有した膜
を形成するためには例えば組成の変化した、ターゲット
表面をスパッタリングによって除去、あるいは蒸着原料
の蒸発による除去等の処理を行なわねばならない場合が
あった。
そのため膜の組成の均一性の低下、成膜装置の稼動率
の低下をまねく大きな要因に防着板の交換は成ってい
た。
本発明の主たる目的は前記課題を解決する成膜装置及
び成膜方法を提供することである。
本発明の他の目的は装置の稼動率の高い成膜装置及び
成膜方法を提供することである。
本発明の他の目的は成膜室の汚染を防止した成膜装置
及び成膜方法を提供することである。
〔課題を解決するための手段〕
本発明は、基体に膜を形成するための減圧可能な成膜
室と、前記基体を成膜室に投入するための減圧可能な投
入室と、前記成膜室と投入室との間にあって、前記成膜
室と投入室との気密を保つ第1の開閉手段と、前記基体
を成膜室から取り出すための排出室と、前記成膜室と排
出室との間にあって、前記成膜室と排出室との気密を保
つ第2の開閉手段と、前記成膜室の内壁面上に付着物が
付着するのを防ぐ防着部材と、前記防着部材を交換する
ために防着部材を搬送する搬送手段とを備えた成膜装置
において、前記防着部材を交換するため前記投入室及び
排出室とは別個に設けられた減圧可能な真空室と、前記
成膜室と真空室との間にあって、前記成膜室と真空室と
の気密を保つ第3の開閉手段とを有し、前記搬送手段は
前記真空室と成膜室との間で、防着部材を基体とは異な
る搬送経路で搬送することを特徴とする成膜装置であ
り、 また、基体に膜を形成するための減圧可能な成膜室
と、前記基体を成膜室に投入するための減圧可能な投入
室と、前記成膜室と投入室との間にあって、前記成膜室
と投入室との気密を保つ第1の開閉手段と、前記基体を
成膜室から取り出すための排出室と、前記成膜室と排出
室との間にあって、前記成膜室と排出室との気密を保つ
第2の開閉手段と、前記成膜室の内壁面上に付着物が付
着するのを防ぐ防着部材と、前記防着部材を交換するた
めに防着部材を搬送する搬送手段とを備えた成膜装置を
用い、防着部材を交換して成膜を行なう成膜方法におい
て、前記成膜装置に、防着部材を交換するため前記投入
室及び排出室とは別個の減圧可能な真空室と、前記成膜
室と真空室との間にあって、前記成膜室と真空室との気
密を保つ第3の開閉手段とを設け、前記搬送手段によっ
て、前記真空室と成膜室との間で、防着部材を基体とは
異なる搬送経路で搬送することを特徴とする成膜方法で
ある。
以下本願発明について図面を用いてその実施態様につ
いて説明する。
まず第1図に本願発明の成膜装置の好適な例の模式図
を示す。第1図に示した成膜装置は基体233に膜を形成
するための成膜室302及び防着部材100の交換のための真
空室306を、成膜室と真空室の気密を保つための開閉手
段325を介して配置したものである。そして、ポンプP1,
P2に接続された排気系の排気バルブ211及び212を開閉す
ることによりおのおの室内は所望の圧力に減圧される。
防着部材100は例えばエレベータ,回転コロ,チャッ
ク等の搬送手段260により、真空室から成膜室へ、また
は成膜室から真空室へと搬送される。
開閉手段325は搬送を行なう時には開き、防着部材100
の搬送を妨げず、それ以外の時には閉じ、成膜室と真空
室とを空間的に隔離する。
以下、装置の構成と動作について詳述する。
防着部材100を大気圧に開放した真空室306内に位置
合せして配置する。
真空室306内を排気バルブ211を開け所望圧まで減圧
する。この時防着部材100表面に吸着した大気中のガス
の脱離をより短時間に行なうためのベーキング手段250
を真空室306内に設けてもよい。真空室306には、ベーキ
ングによる防着部材100の温度上昇により成膜室302内の
温度が好ましくない分布を起こさぬようにベーキング後
の防着部材100の冷却手段を合わせて設けてもよい。
開閉手段325を開け、公知の搬送手段260により防着
部材100を真空室306内より成膜室302内へ搬送し、成膜
室302内へ防着部材100を設置し、開閉手段325を閉じ
る。
減圧された成膜室302内に配された基体233上に所望
の材料から成る膜を形成するため後述するような成膜処
理を施す。
成膜室は単数でも、第2図に示すように複数であって
もよく、特に基体上に多層膜を形成する場合には形成す
る膜ごとに異なる成膜室を設けるのが好ましく、本発明
においては、成膜室が複数の場合にはおのおのの成膜室
の間を真空を破ることなく基体が搬送されるのが望まし
い。また、一回の成膜処理終了後に引き続き次の基体に
連続的に成膜処理を行うための基体の投入室300及び排
出室304が設けられている(第2図参照)。この時、前
記投入室300及び前記排出室304は成膜室内と同様の圧力
に減圧し得るように構成されている。また前記投入室は
基体を所望の基体温度へ加熱する手段を有してもよく、
また、基体および投入室内壁等をベーキングする手段を
有してもよい。
成膜処理により防着部材100内壁に付着した付着物
の厚さが付着物の剥離を起こす厚さとなる前に開閉手段
325を開け防着部材100を前記成膜室302内より前記真空
室306内へ搬送する。前記搬送は、1回の成膜処理が終
了する度ごとに行ってもよく、複数介の成膜処理が終了
してから行ってもよい。
前記防着部材100の搬送後、前記開閉手段325を閉
じ、前記真空室306内の圧力を例えばドライエアー等で
大気圧に開放し、真空室内の前記防着部材100を新たな
防着部材と交換する。
以下乃至の工程と同様にして新たな防着部材を成
膜室302内に設置する。
尚、上記した例においては防着部材100の交換を単数
の真空室306を用いて行うものであるが、本願は上記例
に限定されるものではなく、より成膜装置の稼動率を上
げ生産性の向上を計るためには、例えば第3図(a)に
示すように防着部材405を成膜室401内へ搬入するための
真空室402と防着部材405を成膜室401外へ搬送するため
の真空室412とを設けてもよい。また搬送手段はマニピ
ュレータ450,451,452であってもよい。
また、更に好ましくは成膜室内の雰囲気と真空室内の
雰囲気の混合を極力抑え、形成される膜の組成のバラツ
キをより抑え、装置の稼動率をさらに高め防着部材の交
換直後にも再び成膜処理を行うためには防着部材の搬送
の際の成膜室内の圧力と真空室内の圧力との差が小さこ
とが好ましく、より子呑ましくは前記圧力の差がないこ
とが望ましい。
同様に、隣接する成膜室の間あるいは投入室と成膜室
との間、あるいは成膜室と排出室との間で基体を搬送す
る装置を用いる場合にも、おのおのの室内の圧力の差が
小さいことが好ましく、より好ましくは前記圧力の差が
ないことが望ましい。
上述した防着部材の搬送及び基体の搬送を行う際に、
互いの室内の雰囲気の混合による成膜室内壁の汚染を極
力避けるた搬送を行う室内の圧力は低く減圧するのが望
ましく、好ましくは500Pa以下、より好ましくは2Pa以
下、最適には6×10-3Pa以下が望ましい。
本発明における前記成膜処理としては、例えばスパッ
タリング法,蒸着法,熱CVD法,光CVD法,プラズマCVD
法,イオンプレーティング法等による成膜処理が挙げら
れる。
本願発明の成膜装置及び成膜方法にて形成される膜の
例としてはFe,Ni,Co,Cr,Au,Ag,Cu,Al,Zn等の金属及びこ
れらの金属や炭化物,窒化物,珪化物,硼化物,酸化
物,硫化物,ハロゲン化物等の無機材料あるいはポリイ
ミド,ポリエチレン,フェノール樹脂等の有機材料が挙
げられる。
基体上に上記の材料から成る膜を形成する条件は膜の
材料及び成膜処理の方法により異なるが、成膜室内の圧
力範囲については、例えばスパッタリング法の場合好ま
しくは3×10-2Pa以上10Pa以下、より好ましくは5×10
-2Pa以上5Pa以下、最適には8×10-2Pa以上3Pa以下が望
ましい。
また、例えば熱CVD法の場合には1Pa以上3×104Pa以
下が好ましく、より好ましくは5Pa以上8×103Pa以下、
最適には10Pa以上1×103Pa以下の圧力範囲が望まし
い。
また、例えばイオンプレーティングの場合には、1×
10-3Pa以上10Pa以下が好ましく、より好ましくは5×10
-3Pa以上5Pa以下、最適には2×10-2Pa以上1Pa以下が望
ましい。
また、基体の温度範囲は30℃以上800℃以下が好まし
く、より好ましくは50℃以上600℃以下、最適には80℃
以上500℃以下が好ましい。
本発明において用いられる防着部材について、以下詳
述する。
第4図(a)及び第4図(b)に本発明に使用し得る
好適な防着部材の例を模式的に示す。
本発明に用いられる防着部材は従来用いられていた防
着板(第8図)とは異なり成膜室の内壁面を覆う部材は
全て一体に形成されているのが好ましい。それによって
成膜室の内壁面を覆う部材を別々に取りはずし、取り付
けていた従来の防着板に比べ一度で取りはずし、一度で
取り付けることができるので、本発明は交換の操作性の
向上が計れる。
また本発明の防着部材は成膜室内壁面に付着物が形成
されるのを防ぎ、基体上へ膜が形成されるのを防げない
よう基体側開口110、成膜原料の導入用の開口111を有し
ている。ここで防着部材はさらに防着部材中に例えば酸
化性雰囲気,還元性雰囲気,中性雰囲気等の雰囲気形成
用のガスを導入するための開口を設けてもよいし、この
雰囲気形成用ガスを導入するための導入管等のガス導入
手段105を通す開口106を設けてもよい。
加えて、成膜室内を減圧する際望ましくない圧力分布
が生じず、防着部材内の圧力をより低い排気抵抗で、よ
り均一な排気状態を維持するために防着部材には成膜室
の排気口付近に開口130を設けてもよい。ここで前記排
気口付近に開口130を設ける場合には付着物が形成され
易い排気口付近から微細なホコリが生じたとしても、そ
のホコリが防着部材内に進入するのを防止し、しかも排
気抵抗を低く抑えるよう、例えばルーバー状等の形状の
開口とするのがより望ましい。
また、防着部材を搬送する際に微細なホコリが生じ、
成膜室内を汚染するのを防止するためには防着部材の開
口に成膜処理を施す時だけ開き、それ以外の時には閉じ
るシャッター101を設けてもよい。このシャッター101は
例えば防着部材外の駆動系103により防着部材内のシャ
ッター開閉シャフト102に駆動力を伝達し、開閉され
る。
また、防着部材は成膜室内に防着部材を固定するため
に例えばフック,固定ピン受け,固定溝,固定板等の固
定手段120を有してもよい。
本発明における防着部材を形成する材料は、成膜処理
を施す際の成膜条件下で変形したり化学的に反応を起こ
さない材料であれば大概のものが使用される。好ましく
は上記した材料の中で熱膨張系数の小さな例えばMo,Ta,
W等の金属材料が付着物のハガレ、ホコリと発生をより
抑制するためには望ましい。
本発明においては前述した通り真空室を用いて防着部
材を変換し得るものであるが、前記真空室はあらかじめ
複数の防着部材を収容し得るものであってもよく、その
場合には新しい防着部材を成膜室内へ搬送するための搬
送手段まで移動させる手段と、使用後の防着部材を搬送
手段から移動させる手段とを有することが望ましい。
更に真空室は複数の室から成っていてもよく、その場
合には例えば防着部材の投入室、脱気処理室、冷却室、
成膜室内に搬送される前の防着部材の複数あるいは単数
を格納する格納室、成膜室と真空室との間で防着部材を
搬送する搬送手段を有する搬送室、使用済みの防着部材
を排出するための排出室等があってもよい。さらに使用
済みの防着部材の表面に付着した付着物を除去し再び防
着部材を使用できるようエッチング処理等を行う再生室
を設けた場合には閉じた系で防着部材を再生し繰り返し
防着部材を使用できるようにしてもよい。
以下、本発明を図面を参照しながら実施例により説明
するが、本発明は以下に示す実施例のみに何等限定され
るものではない。
実施例1 以下、第1図,第2図,第4図,第5図を参照し本発
明の成膜装置及び成膜方法の説明を行う。
第2図は本発明によるポリカーボネート基板上にチッ
化シリコン層、TbFeCo層、チッ化シリコン層の多層膜を
形成するインライン式磁気記録媒体成膜装置である。
尚、本実施例は多層膜を形成するために複数の成膜室を
有する装置の例を示すものであるが本発明は、成膜室が
単数のものであってもよい。
300は媒体の基体であるポリカーボネート(PC)基板
のホルダー(第5図)投入室であり、301は保護層であ
るチッ化シリコン層成膜室、302は記録層であるTbFeCo
層成膜室、303は301と同様保護層であるチッ化シリコン
成膜室、304は基板ホルダーの排出室である。また305は
成膜室301内に設置される防着箱(第4図(a))を投
入、排出及びベーキングする為の真空室であり、306,30
7も各々302,303に対する同様の真空室である。各室間に
はゲートバルブ320,321,322,323,324,325,326が設けら
れており両者の間の気密性を確保している。301,302,30
3の3つの成膜室はターゲット交換時を除いては常時ク
ライオポンプに接続された排気バルブを有する排気系に
て排気されており、他の炉は必要に応じて排気バルブを
開閉し排気および雰囲気ガスの導入によりリークされ
る。
第5図は、PC基板33およびそのホルダー30、そして基
板の内側および外側をマスキングするための内周マスク
31と外周マスク32を示したのである。
以下、具体的に本実施例の防着箱の構成を第4図を参
照して述べる。
第4図(b)は、本実施例による防着箱100の説明の
ための模式図である。これは一体化し、箱形として容易
に搬送できるようにしてあり、成膜の際におのおのの成
膜室301,302,303の中に置かれる。
図中101は成膜時に開閉するシャッターであり、シャ
ッター開閉は防着箱上面の開閉シャフト102とカップリ
ングした駆動シャフト103を成膜室外から回転させるこ
とで行なう。駆動シャフト103は成膜室内に設置されて
おり、防着板がエレベータ260で成膜室に搬入されると
開閉シャフト102とカップリングする。
また駆動シャフト103同様、Arガス供給巻105が成膜室
内に設置されており、防着板が成膜室に搬入されると、
防着板上面のガス供給管挿入口106から防着坂内に抽入
される。110は基体側開口、111はターゲット側開口、13
0は排気口からの成膜粒子の飛散防止用ルーバーであ
る。
また、120は防着板固定ピン受けで、防着板がエレベ
ータ260で搬入されると成膜室の両側面からこの固定ピ
ン受けに防着板支持固定ピン(図示せず)が挿入され
て、防着板を成膜室内の定位置に固定する。
続いて成膜の手順を第2図を参照しながら説明する。
まずPC基体33を装着した基体ホルダー30(第5図)を
第2図に示す投入室300に投入して、続いて前記投入室3
00内を排気し不図示のガス供給管よりアルゴンガスを導
入し、前記投入室300内の圧力を1.5Paに保った。
次に前記基体ホルダー30に不図示のRF電力供給端子を
押し当て13.56MHz,150Wの電力を印加し、前記基体33に
逆スパッタを1分間施し前記基体33表面に吸着したガス
の脱離処理を行った。
続いて投入室300内へのアルゴンガスの供給を停止し、
前記投入室300内の圧力が5×10-5Pa以下に減圧した
後、5×10-5Pa以下に減圧された成膜室301と前記投入
炉300との間のゲートバルブ320を開けて基体ホルダー30
0を前記成膜室301へ搬送した。
搬送終了後前記ゲートバルブ320を閉じ、防着箱内に
ガス供給手段よりアルゴンガスを導入し成膜室301内の
圧力を3×10-1Paとした。
次にスパッタリング用のターゲットに13.56MHz,500W
のRF電力を印加して放電を開始させ、放電状態が安定し
たところで駆動シャフト103よりシャッター101を開閉す
る駆動力をシャッター開閉シャフトへ伝達しシャッター
101を開き基体上に厚さ800ÅのSi3N4膜を形成した。
続いてシャッターを閉じ、RF電力の供給を停止し、成
膜室301内へのアルゴンガス導入を停止し、前記成膜室3
01内の圧力を5×10-5Pa以下に減圧した。
成膜室302内の圧力を前記成膜室301内の圧力と同様に
5×10-5Pa以下に減圧し、ゲートバルブ321を開け基体
ホルダー30を前記成膜室302内へ搬送し、前記ゲートバ
ルブ321を閉じた。
成膜室302内の防着箱100内にガス供給手段によりアル
ゴンガスを導入し成膜室内の圧力を2×10-1Paに保った
後、スパッタリング用のTbFeCoターゲットに13.56MHz,5
20WのRF電力を印加し、放電を起こし、前述したとおり
シャッターを開き、前記Si3N4を形成した基体上にTbFeC
o膜を800Å厚に形成し、シャッターを閉じ、RF電力供給
を停止した。
成膜室302内へのアルゴンガスの供給を停止し、前述
した基体の搬送手順と同様にして基体ホルダーを5×10
-5Pa以下に減圧された成膜室303内へ搬送した。
成膜室303内へ搬送した基体上に成膜室301内でのスパ
ッタ法と同様に800ÅのSi3N4膜を基体上に形成した。
成膜室303内へのアルゴンガスの供給を停止し、前述
した基体の搬送手順と同様にして基体ホルダーを排出炉
304内へ搬送し、ゲートバルブ323を閉じ、前記排出炉30
4内をドライエアーで大気圧までリークし、不図示の開
閉トビラを開け基体ホルダーを取り出した。
次に防着箱の交換手順を第1図を参照し説明する。
ドライエアーで大気圧にリークした真空室306内に防
着箱100を位置合せして配し、排気バルブ211を開き、真
空室内の圧力を1×10-3Pa以下の圧力とし、防着箱およ
び真空室の壁面に吸着したガスを脱離するためのベーキ
ング用ヒーター250により前記防着箱100の表面温度およ
び真空室の内壁温度を150℃に上昇させ、3時間ベーキ
ング処理を行った。
真空室外壁に巻いた冷却用の水冷管(不図示)に冷却
水を流し、防着箱を室温まで冷却した。
真空室内の圧力と成膜室内の圧力とを5×10-5Pa以下
に減圧し、開閉手段であるゲートバルブ325を開き、防
着箱の搬送手段であるエレベーター260により防着箱を
真空室より成膜室へ搬送した。
前記防着箱は成膜室内の固定部材である固定ピン(不
図示)により成膜室内に固定した。
成膜室内に配された基体233に前述したように成膜処
理を施した後、前述した防着箱の搬送、固定の逆の手順
で成膜室内の防着箱を真空室内へ搬送し、ゲートバルブ
を閉じ前記真空室内をドライエアーで大気圧へリーク
し、真空室内の防着箱を新たな清浄な表面を有する防着
箱と交換し、前述した防着手段のベーキング、搬送、固
定の手順で成膜室内の防着手段を交換した。
以上の説明においては、成膜室302および真空室306に
ついて述べたが、成膜室301および真空室305、並びに成
膜室303および真空室307における防着箱の交換手順も全
く同様である。
以上が本発明のインライン式スパッタ成膜装置を用い
た成膜及び防着箱交換手段であるが、この方法に依れば
従来の成膜室をリークしてのそれに必要であった長時間
の成膜室の脱気及びターゲットの表面酸化、吸湿層除去
の為のプレスパッタリングが不必要であり、防着箱交換
作業終了直後の成膜においても交換直前に成膜したディ
スクと同等の特性を有し付着物による膜の汚染もなく密
着性、屈折率、キュリー温度等の特性を有する十分に良
好な膜室を有したディスクの成膜が可能であった。
具体的には膜の汚染により敏感にその値が変化する屈
折率変化によるチッ化シリコン膜の膜質評価を行ったと
ころ第6図(a)に示すように、防着箱の交換直後から
2.49程度の高い屈折率を示しており、この屈折率を安定
して保っていた。
また、膜の汚染により敏感にその値が変化するTbFeCo
合金膜のキュリー温度変化による膜質評価を行なったと
ころ、第6図(b)に示すように防着箱交換直後から、
170℃程度の安定したキュリー温度を保っており、前述
したチッ化シリコン膜も前記TbFeCo合金膜も防着箱交換
による膜の汚染は認められなかった。
比較例1 成膜室を大気にリークして防着部材交換を行う他は実
施例1と同様に成膜を行った。
形成された膜中への成膜室内壁の付着物による汚染は
みられなかったが、交換後20時間の排気及びその後に通
常成膜パワーで2時間のプレスパッタリングを行なわな
ければチッ化シリコン膜では屈折率の低下、PC基板への
密着性の低下が起こり、TbFeCo膜ではキュリー温度の低
下が回復しなかった。
実施例2 第3図(a),(b)に示す成膜装置は減圧可能な成
膜室401と、防着部材405を成膜室401内へ搬送するため
の減圧可能な真空室402と、成膜室401内から防着部材を
搬送するための減圧可能な真空室412と、基体433を成膜
室401内の真空をやぶることなく成膜室401内へ投入する
ための減圧可能な投入室403と、基体を成膜室401内の真
空をやぶることなく成膜室401内から排出するための減
圧可能な排出室413と、おのおのの室の気密性を保つ開
閉手段であるゲートバルブ420〜423と、防着部材の搬送
及び固定を行うマニピュレーター450,451,452とを有し
ている。
基体433は基体加熱用ヒーター(不図示)により所望
の温度に加熱されるようになっており、RF電力を前記防
着部材405と前記基体433との間に印加し、プラズマを形
成することができる。雰囲気形成用のガス及びガス状の
成膜原料はガス供給手段441,442,443よりガス導入手段4
40を通して成膜室401内に導入される。
次に成膜の手順について述べる。
投入室403内と成膜室401内との圧力を10-5Paまで減圧
し、ゲートバルブ421を開け基体433を成膜室401内に投
入し、ゲートバルブ421を閉じ、成膜室401内のガス供給
手段443よりガス導入手段440を通してヘリウムを導入
し、成膜室内の圧力を0.8Paとした。
次に基体加熱用ヒーターより10cm四方のアルミニウム
板よりなる基体433を280℃に保持し、ガス供給手段441,
442よりシラン(SiH4)ガス、ジシラン(Si2H6)ガスを
50:1の流量比で導入し成膜室401内を0.01Paの圧力に維
持し、基体433と防着部材405との間に13.56MHz,60Wの電
力を印加し、プラズマを生起させ基体433上に8μmの
アモルファスシリコン膜を形成した。
成膜終了後RF電力の印加を停止し、成膜室401内に導
入されていた全てのガスの導入を停止し、成膜室401内
の圧力と排出室413内の圧力とを2×10-4Paまで減圧
し、ゲートバルブ423を開き基体433を排出室413内へ搬
送し、ゲートバルブ423を閉じ、排出室413内の圧力を大
気圧にリークし、アモルファスシリコン膜の形成された
基体を取り出した。
次いで、真空室412とあらかじめベーキング処理され
た防着部材が配された真空室402とを2×10-4Paまで減
圧し、ゲートバルブ422を開け、不図示のマニピュレー
ターにより防着部材405を真空室412内へと搬送し、ゲー
トバルブ422を閉じた後ゲートバルブ420を開け、不図示
のマニピュレーターにより交換用防着部材を成膜室401
内に搬送、固定し、ゲートバルブ420を閉じた。この手
順を繰り返して1回の成膜を行うごとに防着部材を交換
しながらアルミニウム板上に厚さ8μmのアモルファス
シリコン膜を連続的に形成した。本実施例の成膜装置は
防着部材の交換にかかる時間をより短縮でき、しかも成
膜室内壁を大気にさらすことによる汚染もなく、成膜室
内壁の付着物のハガレによる汚染もないので装置の稼動
率を高めると共に汚染による組成のバラツキのない均一
組成であり、内包物等による表面荒れもない膜を連続し
て大量に形成し得た。
実施例3 第7図に示す成膜装置は減圧可能な膜を形成するため
の基体833を配した成膜室801と、成膜室内壁に付着物が
付着するのを防止するための防着部材803と、防着部材
を交換するための真空室802と、成膜室と真空室の間の
開閉手段としてのゲートバルブ820と、防着部材搬送用
の回転コロ860を有している。
成膜室801内にガス状の成膜原料供給するガス供給手
段841,842,843と前記ガス状の成膜原料を導入するガス
導入手段840及び基体と防着部材との間に電力を印加す
る電源が成膜室801に接続されている。
第7図に示す装置を用いてPIN型アモルファスシリコ
ン光起電力素子を以下の手順で形成した。
ドライエアー大気圧にリークされた真空室802内に防
着部材803を位置合せして搬入し、真空室802内を2×10
-4Paまで減圧し、ベーキング用の加熱手段である500Wの
ハロゲンランプ850を2時間点灯し、ベーキングによる
脱気処理を行った。
次に成膜室801内を2×10-4Paまで減圧し、ゲートバ
ルブ820を開き、Al製の防着部材803を搬送手段である回
転コロ860により成膜室801内に搬送し、ゲートバルブ82
0を閉じる。ここで、防着部材803は成膜室801内に搬入
されることによりプラズマ生起用の電力供給ピン808と
電気的に接触し、放電電極としても機能する。
次に成膜室内にSiH4/B2H6(Arガスで80ppmに稀釈)/H
2を1:2:6の流量比でガス導入手段840を通して導入し、
成膜室内の圧力を7×10-3Paに維持し、防着部材803と
基体の間に13.56MHz,70Wの電力を印加し、基体833上に9
00Åのp型の水素化アモルファスシリコン層を形成し
た。
次いで成膜室801内の圧力と真空室802内の圧力を1×
10-3Paまで減圧し、防着部材803を前述した搬送手順と
は逆の手順で真空室802内へ搬送した。
前記防着部材803を新たな防着部材と交換し、前述し
た脱気処理、搬送を行い成膜室内に配した。
次いで成膜室にSiH4/H2を2:7の流量比で前述したよう
に導入し、7×10-3Pa,13.56MHz,70Wの条件で1.1μm厚
のI型の水素化アモルファスシリコン層を形成した。
次いで前述した手段で防着部材を新しい防着部材と交
換した後成膜室801内にSiH4/PH3(Heガスで100ppmに希
釈)/H2を2:3:5の流量比で導入し、7×10-3Pa,13.56MH
z,65Wの条件で1000Å厚のn型の水素化アモルファスシ
リコン層を形成した。
SiH4,PH3,H2のガス導入を停止した後(CH33In(He
希釈)と酸素ガスとを成膜室801内に導入し、70Pa,13.5
6MHz,50Wの条件でIn2O3透明電極を形成した。
こうして形成された光起電力素子は成膜室内壁の付着
物のハガレにより発生する微細なホコリの膜中への混入
もなく、大気及び成膜室内壁の付着物による汚染のない
均一な組成の膜を有するものであり高い感度と均一な光
電的特性を有していた。
〔発明の効果〕
本発明により稼動率の高い成膜装置及び成膜方法、ま
た成膜室の汚染を防止した成膜装置及び成膜方法が得ら
れた。
【図面の簡単な説明】
第1図は本発明の成膜装置の好適な例を示す模式図、 第2図は本発明の成膜装置の他の好適な例を示す模式
図、 第3図は本発明の成膜装置の他の好適な例を示す模式
図、 第4図(a),(b)は防着部材を示す模式図、 第5図は基体ホルダーの概略図、 第6図(a),(b)は得られた膜の特性を示す図、 第7図は本発明の成膜装置の他の好適な例を示す模式
図、 第8図は従来の成膜装置の成膜室への防着板の設置状態
を示す模式図である。 1〜5……防着板、6……基体ホルダー 7……補助防着板、8……防着板 11……成膜室、12……シャフト 13……ナット、16……ターゲット 30……ホルダー、31……内周マスク 32……外周マスク、33……PC基板 100……防着箱、101……シャッター 102……シャフト、103……駆動系 105……ガス導入手段、106……開口 110,111……開口、120……固定手段 130……開口 211,212……排気バルブ 233……基体、240……ターゲット 250……ベーキング手段、260……搬送手段 300……投入室、301〜303……成膜室 304……排出室、305〜307……真空室 320〜326……開閉手段、401……成膜室 402……真空室、403……投入室 405……防着部材、412……真空室 413……排出室、420〜423……開閉手段 433……基体、440……ガス導入手段 441〜443……ガス供給手段 450〜452……マニピュレータ 801……成膜室、802……真空室 803……防着部材、808……電力供給ピン 820……開閉手段、833……基体 840……ガス導入手段 841〜843……ガス供給手段 850……加熱手段、860……回転コロ P,P1,P2……ポンプ

Claims (8)

    (57)【特許請求の範囲】
  1. 【請求項1】基体に膜を形成するための減圧可能な成膜
    室と、前記基体を成膜室に投入するための減圧可能な投
    入室と、前記成膜室と投入室との間にあって、前記成膜
    室と投入室との気密を保つ第1の開閉手段と、前記基体
    を成膜室から取り出すための排出室と、前記成膜室と排
    出室との間にあって、前記成膜室と排出室との気密を保
    つ第2の開閉手段と、前記成膜室の内壁面上に付着物が
    付着するのを防ぐ防着部材と、前記防着部材を交換する
    ために防着部材を搬送する搬送手段とを備えた成膜装置
    において、前記防着部材を交換するため前記投入室及び
    排出室とは別個に設けられた減圧可能な真空室と、前記
    成膜室と真空室との間にあって、前記成膜室と真空室と
    の気密を保つ第3の開閉手段とを有し、前記搬送手段は
    前記真空室と成膜室との間で防着部材を基体とは異なる
    搬送経路で搬送することを特徴とする成膜装置。
  2. 【請求項2】前記真空室がベーキング手段を有すること
    を特徴とする請求項1に記載の成膜装置。
  3. 【請求項3】前記真空室が冷却手段を有することを特徴
    とする請求項1に記載の成膜装置。
  4. 【請求項4】前記成膜装置が複数の成膜室を有すること
    を特徴とする請求項1に記載の成膜装置。
  5. 【請求項5】前記防着部材が一体に形成されていること
    を特徴とする請求項1に記載の成膜装置。
  6. 【請求項6】前記防着部材は基体側に開口を有すること
    を特徴とする請求項1に記載の成膜装置。
  7. 【請求項7】前記防着部材を前記成膜室から搬送するた
    めの真空室と、前記防着部材を前記成膜室へ搬送するた
    めの真空室とを有することを特徴とする請求項1に記載
    の成膜装置。
  8. 【請求項8】基体に膜を形成するための減圧可能な成膜
    室と、前記基体を成膜室に投入するための減圧可能な投
    入室と、前記成膜室と投与室との間にあって、前記成膜
    室と投入室との気密を保つ第1の開閉手段と、前記基体
    を成膜室から取り出すための排出室と、前記成膜室と排
    出室との間にあって、前記成膜室と排出室との気密を保
    つ第2の開閉手段と、前記成膜室の内壁面上に付着物が
    付着するのを防ぐ防着部材と、前記防着部材を交換する
    ために防着部材を搬送する搬送手段とを備えた成膜装置
    を用い、防着部材を交換して成膜を行なう成膜方法にお
    いて、前記成膜装置に、防着部材を交換するため前記投
    入室及び排出室とは別個の減圧可能な真空室と、前記成
    膜室と真空室との間にあって、前記成膜室と真空室との
    気密を保つ第3の開閉手段とを設け、前記搬送手段によ
    って、前記真空室と成膜室との間で、防着部材を基体と
    は異なる搬送経路で搬送することを特徴とする成膜方
    法。
JP1091633A 1988-04-11 1989-04-11 成膜装置及び成膜方法 Expired - Fee Related JP2859632B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP1091633A JP2859632B2 (ja) 1988-04-14 1989-04-11 成膜装置及び成膜方法
US07/674,314 US5065698A (en) 1988-04-11 1991-03-25 Film forming apparatus capable of preventing adhesion of film deposits
US07/756,058 US5112185A (en) 1988-04-14 1991-09-06 Method for replacing a deposit shield in an evacuated film forming chamber

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP9040788 1988-04-14
JP63-90407 1988-04-14
JP1091633A JP2859632B2 (ja) 1988-04-14 1989-04-11 成膜装置及び成膜方法

Publications (2)

Publication Number Publication Date
JPH0250962A JPH0250962A (ja) 1990-02-20
JP2859632B2 true JP2859632B2 (ja) 1999-02-17

Family

ID=26431893

Family Applications (1)

Application Number Title Priority Date Filing Date
JP1091633A Expired - Fee Related JP2859632B2 (ja) 1988-04-11 1989-04-11 成膜装置及び成膜方法

Country Status (2)

Country Link
US (2) US5065698A (ja)
JP (1) JP2859632B2 (ja)

Families Citing this family (410)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6046425A (en) * 1991-05-31 2000-04-04 Hitachi, Ltd. Plasma processing apparatus having insulator disposed on inner surface of plasma generating chamber
US5401319A (en) * 1992-08-27 1995-03-28 Applied Materials, Inc. Lid and door for a vacuum chamber and pretreatment therefor
JP3566740B2 (ja) * 1992-09-30 2004-09-15 アプライド マテリアルズ インコーポレイテッド 全ウエハデポジション用装置
US5589224A (en) * 1992-09-30 1996-12-31 Applied Materials, Inc. Apparatus for full wafer deposition
US5316794A (en) * 1992-12-11 1994-05-31 Applied Materials, Inc. Method for servicing vacuum chamber using non-reactive gas-filled maintenance enclosure
JPH06188108A (ja) * 1992-12-21 1994-07-08 Canon Inc 薄膜抵抗器の製造方法、成膜装置用防着板及び成膜装置
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5382339A (en) * 1993-09-17 1995-01-17 Applied Materials, Inc. Shield and collimator pasting deposition chamber with a side pocket for pasting the bottom of the collimator
US5480052A (en) * 1993-10-22 1996-01-02 Applied Materials, Inc. Domed extension for process chamber electrode
TW359849B (en) * 1994-12-08 1999-06-01 Tokyo Electron Ltd Sputtering apparatus having an on board service module
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US6264812B1 (en) 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
US6254746B1 (en) 1996-05-09 2001-07-03 Applied Materials, Inc. Recessed coil for generating a plasma
KR100489918B1 (ko) * 1996-05-09 2005-08-04 어플라이드 머티어리얼스, 인코포레이티드 플라즈마발생및스퍼터링용코일
US6368469B1 (en) 1996-05-09 2002-04-09 Applied Materials, Inc. Coils for generating a plasma and for sputtering
US6254737B1 (en) 1996-10-08 2001-07-03 Applied Materials, Inc. Active shield for generating a plasma for sputtering
US6190513B1 (en) 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
US6514390B1 (en) 1996-10-17 2003-02-04 Applied Materials, Inc. Method to eliminate coil sputtering in an ICP source
US5961793A (en) * 1996-10-31 1999-10-05 Applied Materials, Inc. Method of reducing generation of particulate matter in a sputtering chamber
TW358964B (en) 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US6451179B1 (en) 1997-01-30 2002-09-17 Applied Materials, Inc. Method and apparatus for enhancing sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US6599399B2 (en) 1997-03-07 2003-07-29 Applied Materials, Inc. Sputtering method to generate ionized metal plasma using electron beams and magnetic field
US6103069A (en) * 1997-03-31 2000-08-15 Applied Materials, Inc. Chamber design with isolation valve to preserve vacuum during maintenance
US6103070A (en) * 1997-05-14 2000-08-15 Applied Materials, Inc. Powered shield source for high density plasma
US6210539B1 (en) 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US6579426B1 (en) 1997-05-16 2003-06-17 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6077402A (en) * 1997-05-16 2000-06-20 Applied Materials, Inc. Central coil design for ionized metal plasma deposition
US6652717B1 (en) 1997-05-16 2003-11-25 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6361661B2 (en) 1997-05-16 2002-03-26 Applies Materials, Inc. Hybrid coil design for ionized deposition
US6102629A (en) * 1997-06-19 2000-08-15 Mitsui High-Tec Inc. Apparatus for conveying spherical articles between atmospheres
JPH1180964A (ja) * 1997-07-07 1999-03-26 Canon Inc プラズマcvd法による堆積膜形成装置
US6235169B1 (en) 1997-08-07 2001-05-22 Applied Materials, Inc. Modulated power for ionized metal plasma deposition
US6375810B2 (en) 1997-08-07 2002-04-23 Applied Materials, Inc. Plasma vapor deposition with coil sputtering
US6345588B1 (en) 1997-08-07 2002-02-12 Applied Materials, Inc. Use of variable RF generator to control coil voltage distribution
US6022415A (en) * 1997-08-11 2000-02-08 Mitsui High-Tec Inc. Spherical article conveying atmosphere replacing device
JPH1159900A (ja) * 1997-08-22 1999-03-02 Mitsui High Tec Inc 粒状物の搬送雰囲気変換装置
US6565717B1 (en) 1997-09-15 2003-05-20 Applied Materials, Inc. Apparatus for sputtering ionized material in a medium to high density plasma
US6042700A (en) * 1997-09-15 2000-03-28 Applied Materials, Inc. Adjustment of deposition uniformity in an inductively coupled plasma source
US6023038A (en) * 1997-09-16 2000-02-08 Applied Materials, Inc. Resistive heating of powered coil to reduce transient heating/start up effects multiple loadlock system
US5914050A (en) * 1997-09-22 1999-06-22 Applied Materials, Inc. Purged lower liner
US6325858B1 (en) * 1997-11-03 2001-12-04 Asm America, Inc. Long life high temperature process chamber
US6280579B1 (en) 1997-12-19 2001-08-28 Applied Materials, Inc. Target misalignment detector
US6444257B1 (en) * 1998-08-11 2002-09-03 International Business Machines Corporation Metals recovery system
JP2000212749A (ja) 1999-01-22 2000-08-02 Ulvac Japan Ltd 薄膜形成装置、及び窒化タングステン薄膜製造方法
US7166165B2 (en) * 2000-04-06 2007-01-23 Asm America, Inc. Barrier coating for vitreous materials
US20030213560A1 (en) * 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US6825051B2 (en) * 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
DE10320985B4 (de) * 2003-05-09 2005-03-24 Interpane Entwicklungs- Und Beratungsgesellschaft Mbh & Co.Kg Vorrichtung zum Beschichten eines Substrats mit von der Vakuumkammer getrenntem Saugraum
US7306707B2 (en) * 2003-06-04 2007-12-11 Tokyo Electron Limited Adaptable processing element for a processing system and a method of making the same
US7001491B2 (en) * 2003-06-26 2006-02-21 Tokyo Electron Limited Vacuum-processing chamber-shield and multi-chamber pumping method
US6974781B2 (en) * 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
US7611322B2 (en) 2004-11-18 2009-11-03 Intevac, Inc. Processing thin wafers
US20060102078A1 (en) * 2004-11-18 2006-05-18 Intevac Inc. Wafer fab
DE102005037822A1 (de) * 2005-08-08 2007-02-15 Systec System- Und Anlagentechnik Gmbh & Co.Kg Vakuumbeschichtung mit Kondensatentfernung
US7531470B2 (en) * 2005-09-27 2009-05-12 Advantech Global, Ltd Method and apparatus for electronic device manufacture using shadow masks
US7874726B2 (en) * 2007-05-24 2011-01-25 Asm America, Inc. Thermocouple
US8034410B2 (en) 2007-07-17 2011-10-11 Asm International N.V. Protective inserts to line holes in parts for semiconductor process equipment
US20090052498A1 (en) * 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
US7807222B2 (en) * 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
US7993057B2 (en) * 2007-12-20 2011-08-09 Asm America, Inc. Redundant temperature sensor for semiconductor processing chambers
US7946762B2 (en) * 2008-06-17 2011-05-24 Asm America, Inc. Thermocouple
JP5123820B2 (ja) * 2008-10-27 2013-01-23 東京エレクトロン株式会社 基板処理装置の真空排気方法及び基板処理装置
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8262287B2 (en) * 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8382370B2 (en) * 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US9297705B2 (en) * 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US8100583B2 (en) * 2009-05-06 2012-01-24 Asm America, Inc. Thermocouple
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
NL1038081C2 (nl) 2010-07-04 2012-01-09 Hubertus Johannes Bernardus Schoeren Samenstel van een vloer, een aantal spuitmonden en een regelsysteem voor het regelen van een hoeveelheid vloeistof die door de spuitmonden spuit.
US8951350B2 (en) 2011-05-03 2015-02-10 United Technologies Corporation Coating methods and apparatus
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
WO2013039877A1 (en) * 2011-09-12 2013-03-21 First Solar, Inc. Vapor transport deposition system and method employing removable shields
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130153536A1 (en) * 2011-12-16 2013-06-20 Intermolecular, Inc. Combinatorial processing using a remote plasma source
US9099578B2 (en) 2012-06-04 2015-08-04 Nusola, Inc. Structure for creating ohmic contact in semiconductor devices and methods for manufacture
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple
WO2014145294A2 (en) * 2013-03-15 2014-09-18 Nusola Inc. Manufacturing equipment for photovoltaic devices and methods
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
WO2015072086A1 (ja) * 2013-11-18 2015-05-21 キヤノンアネルバ株式会社 基板処理装置および方法
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102412175B1 (ko) * 2018-06-20 2022-06-22 가부시키가이샤 알박 방착 부재 및 진공 처리 장치
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1306067A (ja) * 1969-02-24 1973-02-07
US3656454A (en) * 1970-11-23 1972-04-18 Air Reduction Vacuum coating apparatus
JPS58197262A (ja) * 1982-05-13 1983-11-16 Canon Inc 量産型真空成膜装置及び真空成膜法
US4696833A (en) * 1982-08-27 1987-09-29 Hewlett-Packard Company Method for applying a uniform coating to integrated circuit wafers by means of chemical deposition
JPS60221572A (ja) * 1984-03-24 1985-11-06 Anelva Corp 連続放電反応処理装置
JPS61119672A (ja) * 1984-11-14 1986-06-06 Ulvac Corp ロ−ドロツク室のベント方法
JPS61231166A (ja) * 1985-04-08 1986-10-15 Hitachi Ltd 複合超高真空装置
JPS62128518A (ja) * 1985-11-29 1987-06-10 Matsushita Electric Ind Co Ltd 気相成長装置
JPS62176986A (ja) * 1986-01-27 1987-08-03 Anelva Corp 薄膜処理装置
US4699805A (en) * 1986-07-03 1987-10-13 Motorola Inc. Process and apparatus for the low pressure chemical vapor deposition of thin films
JPS63157870A (ja) * 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
US4763602A (en) * 1987-02-25 1988-08-16 Glasstech Solar, Inc. Thin film deposition apparatus including a vacuum transport mechanism
DE3731444A1 (de) * 1987-09-18 1989-03-30 Leybold Ag Vorrichtung zum beschichten von substraten

Also Published As

Publication number Publication date
US5065698A (en) 1991-11-19
US5112185A (en) 1992-05-12
JPH0250962A (ja) 1990-02-20

Similar Documents

Publication Publication Date Title
JP2859632B2 (ja) 成膜装置及び成膜方法
KR100367340B1 (ko) 성막장치에 있어서의 기판유지구의 표면의 퇴적막의제거방법 및 성막장치 그리고 박막작성장치
US6228439B1 (en) Thin film deposition apparatus
JPS6386870A (ja) ウェ−ハ輸送装置
JPH10310870A (ja) プラズマcvd装置
JPH03120362A (ja) プラズマ処理装置およびプラズマ処理方法
JP5480290B2 (ja) スパッタリング装置、及び電子デバイスの製造方法
JP2008297584A (ja) 成膜装置
JPH11131232A (ja) トレイ搬送式成膜装置
JP3909608B2 (ja) 真空処理装置
WO2010123004A1 (ja) 真空蒸着システム及び真空蒸着方法
JP4473410B2 (ja) スパッタリング装置及び成膜方法
JP2603909B2 (ja) Cvd装置、マルチチャンバ方式cvd装置及びその基板処理方法
JP3024940B2 (ja) 基板処理方法及びcvd処理方法
JP2000273615A (ja) 成膜装置における基板保持具の表面の堆積膜の除去方法及び成膜装置
US5237756A (en) Method and apparatus for reducing particulate contamination
JP2001156158A (ja) 薄膜作成装置
JPH0786169A (ja) マルチチャンバー処理装置及びそのクリーニング方法
JP2963973B2 (ja) バッチ式コールドウォール処理装置及びそのクリーニング方法
JP2001185598A (ja) 基板処理装置
JP2000021797A (ja) 枚葉式熱処理装置
JP4540830B2 (ja) 基板加熱機構付シャッタを有する成膜装置及び成膜方法
JP2010016033A (ja) 半導体装置の製造方法及び基板処理装置
JP2002008226A (ja) 情報記録ディスク製造装置及び製造方法並びにプラズマアッシング方法
JPH0786172A (ja) 処理用ガスの供給方法

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees