JP2019219671A - 極端紫外線リソグラフィマスクブランク製造システムとそのための操作方法 - Google Patents

極端紫外線リソグラフィマスクブランク製造システムとそのための操作方法 Download PDF

Info

Publication number
JP2019219671A
JP2019219671A JP2019141985A JP2019141985A JP2019219671A JP 2019219671 A JP2019219671 A JP 2019219671A JP 2019141985 A JP2019141985 A JP 2019141985A JP 2019141985 A JP2019141985 A JP 2019141985A JP 2019219671 A JP2019219671 A JP 2019219671A
Authority
JP
Japan
Prior art keywords
mask blank
extreme ultraviolet
wafer
mask
present
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2019141985A
Other languages
English (en)
Inventor
ラルフ ホフマン
Hoffmann Ralf
ラルフ ホフマン
カラ ビースリー
Beasley Cara
カラ ビースリー
マジード エー フォウド
A Foad Majeed
マジード エー フォウド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2019219671A publication Critical patent/JP2019219671A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • H01J37/3405Magnetron sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3414Targets
    • H01J37/3417Arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3447Collimators, shutters, apertures

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

【課題】極端紫外線ブランクを製造するための物理蒸着システムを提供する。【解決手段】処理システムは、真空チャンバ110,112と、真空チャンバの周囲に取り付けられた複数の処理システムと、真空から出ることなく、複数の処理システムの間でウェハ136を移動させるための真空チャンバ内のウェハハンドリングシステム114,116を含む。極端紫外線ブランクを製造するための物理蒸着システム120,122は、モリブデン、モリブデン合金、又はそれらの組合せを含むターゲットを含む。【選択図】図1

Description

関連出願への相互参照
本出願は、2013年3月12日に出願された米国仮特許出願第61/778,402号の利益を主張し、その内容は参照により本明細書内に援用される。
本出願は、2013年12月23日に出願された同時出願の米国特許出願第14/139,307号に関連し、その内容は参照により本明細書内に援用される。
本出願は、2013年12月23日に出願された同時出願の米国特許出願第14/139,371号に関連し、その内容は参照により本明細書内に援用される。
本出願は、2013年12月23日に出願された同時出願の米国特許出願第14/139,457号に関連し、その内容は参照により本明細書内に援用される。
本出願は、2013年12月23日に出願された同時出願の米国特許出願第14/139,507号に関連し、その内容は参照により本明細書内に援用される。
本発明は、概して、極端紫外線リソグラフィブランク及びそのような極端紫外線リソグラフィブランクのための製造及びリソグラフィシステムに関する。
背景
極端紫外線リソグラフィ(EUV、軟X線投影リソグラフィとしても知られている)は、0.13ミクロン及びそれよりも小さい最小フィーチャーサイズの半導体デバイスの製造のための遠紫外線リソグラフィに代わる候補である。
しかしながら、概して5〜40ナノメートルの波長範囲内にある極端紫外光は、実質的に全ての材料に強く吸収される。そのため、極端紫外線システムは、光の透過によってではなく、反射によって動作する。一連のミラー、又はレンズ要素、及び反射要素、又は非反射吸収体マスクパターンでコーティングされたマスクブランクの使用を介して、パターニングされた化学光は、レジストがコーティングされた半導体ウェハ上へ反射される。
極端紫外線リソグラフィシステムのレンズ要素及びマスクブランクは、多層反射コーティング材料(例えば、モリブデンとシリコン)でコーティングされる。極端紫外線の狭帯域(例えば、13ナノメートルの紫外光に対して12〜14ナノメートルのバンドパス)内の実質的に単一の波長で光を強く反射する多層コーティングでコーティングされた基板を使用することによって、レンズ要素又はマスクブランク毎に約65%の反射値が得られている。
問題を引き起こす半導体加工技術の欠陥には、様々なクラスがある。不透明欠陥は、典型的には、多層コーティングの最上部又はマスクパターン上の粒子によって引き起こされ、光を反射すべきときに、光を吸収する。透明欠陥は、典型的には、多層コーティングの最上部の上のマスクパターン内のピンホールによって引き起こされ、光が吸収されるべきときに、光が反射される。位相欠陥は、典型的には、多層コーティングの下の傷及び表面変動によって引き起こされ、反射光の位相遷移を引き起こす。これら位相遷移は、半導体ウェハの表面上のレジスト内に露光されるパターンを歪ませる、又は変える光波干渉効果をもたらす。サブ0.13ミクロンの最小フィーチャーサイズ用に用いられるに違いないより短波長の照射のために、以前は重要ではなかった傷及び表面変動が、今では許容できなくなっている。
粒子欠陥の低減又は除去において進歩がなされてきて、マスク内の不透明欠陥及び透明欠陥の修復において研究がなされてきたが、位相欠陥の問題に対処するためには、今まで何もなされてきていない。遠紫外線リソグラフィに対しては、60度以下の位相遷移を維持するように、表面は処理される。極端紫外線リソグラフィのための同様の処理は、まだ開発されていない。
13ナノメートルの化学線波長に対して、多層コーティングから反射される光の中での180度の位相遷移は、下地表面内の深さがわずか3ナノメートルの傷に対して発生する可能性がある。この深さは、より短い波長ではより浅くなる。同様に、同じ波長で、100ナノメートルの距離上で1ナノメートルよりも急激な表面変動は、同様の位相遷移を引き起こす可能性がある。これら位相遷移は、半導体ウェハの表面に位相欠陥を引き起こし、半導体デバイスに修復不可能な損傷を与える可能性がある。
過去において、遠紫外線リソグラフィ用マスクブランクは、一般的にガラス製であったが、シリコン又は超低熱膨張材料が、極端紫外線リソグラフィ用の代替として提案されてきている。ブランクが、ガラス、シリコン、又は超低熱膨張材料であるかどうかにかかわらず、マスクブランクの表面は、化学機械研磨、磁性流体仕上げ、又はイオンビーム研磨などのプロセスによって可能な限り平滑にされる。このようなプロセスに残されている傷は、しばしば「スクラッチディグ」マークと呼ばれ、それらの深さと幅は、マスクブランクを研磨するために使用される研磨剤中の粒子の大きさに依存する。可視及び遠紫外線リソグラフィでは、これらの傷は、半導体ウェハ上のパターン内に位相欠陥を引き起こすには小さ過ぎる。しかしながら、極端紫外線リソグラフィに対しては、スクラッチディグマークは、位相欠陥として現れるので、重要な問題である。
EUVリソグラフィ用に要求される短い照明波長のため、使用されるパターンマスクは、現在のリソグラフィで使用される透過型マスクの代わりに反射型マスクでなければならない。反射型マスクは、モリブデンとシリコンの交互の薄い層の正確なスタックで構成され、ブラッグ屈折器又はミラーを作る。多層スタックの性質及び小さいフィーチャーサイズのため、多層スタックが堆積される基板の表面内の任意の欠陥は拡大され、最終製品に影響を与える。数ナノメートルのスケールの欠陥は、完成したマスク上に印刷可能な欠陥となって表れ、多層スタックの堆積前にマスクブランクの表面から除去する必要がある可能性がある。
光リソグラフィ内で使用される典型的なマスクは、ガラスブランクと、光の透過を遮断するパターニングされたクロム層からなる。EUVリソグラフィでは対照的に、マスクは、反射層と、パターニングされた吸収体層からなる。このアーキテクチャの変化は、大部分の材料でEUV光の吸光度が高いことに起因して必要となる。
反射体層は、モリブデンとシリコンの80以上の交互層のスタックである。このスタックの層の厚さと滑らかさの精度は、それぞれ、マスクの高い反射率ならびにラインエッジ粗さを達成するために重要である。
現在の技術は、ガラスの研磨及び洗浄プロセスを利用し、これによって滑らかな基板表面と、反射体層用のイオンビーム堆積を得る。
この処理フローは、厳しい欠陥規格を満たしていない。欠陥の主な原因は、研磨工程ならびにその後の洗浄によって残されたガラス基板内のピット及びバンプである。イオンビーム堆積プロセスは、多層スタック内に埋め込まれた、及び多層スタックの上の粒子を更に残す。
このように、これらの問題に対する答えを見つけ、これらの問題を解決するシステムを開発することは、ますます重要である。消費者の期待を成長させるとともに、増え続ける商業競争圧力を考慮すると、これらの問題に対する答えを見つけることが重要である。また、コストを削減し、効率とパフォーマンスを向上させ、競争圧力を満たすための必要性は、これらの問題に対する答えを見つけるための重要な必要性に更に大きな緊急性を追加する。
これらの問題に対する解決策は、長い間求められてきたが、先行開発は、何の解決策も教示又は示唆してこなかった。したがって、これらの問題に対する解決策は、長い間、当業者には手に入らないものであった。
概要
本発明の実施形態は、真空チャンバと、真空チャンバの周囲に取り付けられた複数の処理システムと、真空から出ることなく、複数の処理システムの間でウェハを移動させるための真空チャンバ内のウェハハンドリングシステムを含む処理システムを提供する。
本発明の実施形態は、モリブデン、モリブデン合金、又はそれらの組合せを含むターゲットを含む、極端紫外線ブランクを製造するための物理蒸着システムを提供する。
本発明の特定の実施形態は、上記のものに加えて、又は上記のものの代わりに、他の工程又は要素を有する。工程又は要素は、添付の図面を参照して以下の詳細な説明を読むことにより当業者に明らかになるであろう。
本発明の一実施形態に係る統合化された極端紫外線(EUV)マスクの製造システムである。 本発明の一実施形態に係る第1のマルチカソード源である。 本発明の一実施形態に係る第1のマルチカソード源の断面図である。 本発明の一実施形態に係る稼働中の第1のマルチカソード源の断面図である。 本発明の一実施形態に係る、形状が四角形であり、多層スタックを有するマスクブランクである。 本発明の一実施形態に係る、キャリア上の支持部内のマスクブランクである。 本発明の一実施形態に係る、キャリア上の支持部内のマスクブランクである。 本発明の一実施形態に係る、キャリア上の支持部内のマスクブランクである。 本発明の一実施形態に係る、キャリア上の支持部内のマスクブランクである。 本発明の一実施形態に係る、キャリア上の支持部内のマスクブランクである。 本発明の一実施形態に係る、キャリア上の支持部内のマスクブランクである。 超低欠陥のマスクブランクの製造方法である。
詳細な説明
以下の実施形態は、当業者が本発明を行い、使用することを可能にするために、十分に詳細に記載されている。他の実施形態が、本開示に基づいて明らかとなり、本発明の範囲から逸脱することなく、システム、プロセス、又は機械的な変更を行うことができることを理解すべきである。
以下の説明において、多数の特定の詳細が、本発明の完全な理解を提供するために与えられる。しかしながら、本発明は、これらの特定の詳細なしに実施できることは明らかであろう。本発明を不明瞭にすることを避けるために、いくつかの周知の回路、システム構成、及びプロセスステップは、詳細には開示されない。
システムの実施形態を示す図面は、半概略であり、縮尺通りではなく、特に、寸法のいくつかは、提案説明を明確にするためのものであり、描画図内で誇張して示されている。同様に、説明を容易にするため、図面内の図は、概して、同様の方向を示すが、図面内のこの描写は、ほとんどの部分に対して任意である。一般的に、本発明は、任意の向きで動作させることができる。
いくつかの構成を共通して有する複数の実施形態が開示され、記載されている場合は、それらの図説、記述、及び理解を明瞭かつ容易にするために、類似の構成は、同様の参照番号で記述される。
解説の目的のために、本明細書で使用する用語「水平」は、マスクブランクの平面又は表面に対して平行な平面として定義され、その向きには関係ない。用語「垂直」は、まさに定義されたような水平に対して垂直な方向を指す。用語(例えば、「上方」、「下方」、「底部」、「最上部」、(「側壁」内のような)「側」、「より高い」、「より低い」、「上部」、「上に」、及び「下に」)は、図面内に図示されるように、水平面に対して定義される。用語「上」は、要素間の直接的な接触があることを示す。
本明細書で使用する用語「処理」は、材料又はフォトレジストの堆積、記載された構造を形成するのに必要とされる材料又はフォトレジストのパターニング、露光、現像、エッチング、洗浄、及び/又は除去を含む。
本発明の実施形態は、ピットを充填し、欠陥を埋めるために、CVD、PVD、ALD、及び流動性CVDによって、シリコン、酸化ケイ素、及び互換性のある熱膨張係数の関連する膜を堆積するための様々な確立された技術を使用する。いったん堆積されると、膜表面は、更なる多層スタックの堆積用に十分平滑かつ平坦とすることができ、又はその後、CMP、アニーリング、又はイオンビーム研磨を含む多様な確立された平滑化又は研磨技術を更に用いて、平滑化することができる。
ここで、図1を参照すると、本発明の一実施形態に係る、統合化された極端紫外線(EUV)マスク製造システム100がここに図示される。統合化EUVマスク製造システム100は、キャリア上でウェハ又はブランクを処理する処理システムであり、内部でマスクブランク104がロードされるマスクブランクローディング・キャリアハンドリングシステム102を含む。
エアロック106は、ウェハハンドリング真空チャンバ108へのアクセスを提供する。図示の実施形態では、ウェハハンドリング真空チャンバ108は、2つの真空チャンバ(第1真空チャンバ110と第2真空チャンバ112)を含む。第1ウェハハンドリングシステム114は、第1真空チャンバ110内にあり、第2ウェハハンドリングシステム116は、第2真空チャンバ112内にある。
ウェハハンドリング真空チャンバ108は、様々な他のシステムの取り付け用に、その周囲に複数のポートを有する。第1真空チャンバ110は、脱ガスシステム118、第1物理蒸着システム120、第2物理蒸着システム122、及び前洗浄システム124を有する。
第2真空チャンバ112は、それに接続された第1マルチカソード源126、流動性化学蒸着(FCVD)システム128、補修(キュア)システム130、及び第2マルチカソード源132を有する。FCVDシステム128は、基板、ブランク、又はウェハ136上に平坦化層を堆積させることができ、補修チャンバは、平坦化層を補修することができる。第2のマルチカソード源132は、反射材料の多層スタックを堆積させることができ、他のシステムは、キャッピング層を堆積させることができる。平坦化層、多層スタック、及びキャッピング層の全ては、ウェハ136の一部となる。
第1ウェハハンドリングシステム114は、エアロック106及び第1真空チャンバ110の周囲の1以上の様々なシステム間で、連続真空内でスリットバルブを介して、ウェハ(例えば、ウェハ134)を移動させることができる。第2ウェハハンドリングシステム116は、連続的な真空内にウェハを維持しながら、第2真空チャンバ112の周囲に、ウェハ(例えば、ウェハ136)を移動させることができる。第1ウェハハンドリングシステム114及び第2ウェハハンドリングシステム116は、第1真空チャンバ110及び第2真空チャンバ114の周縁部の周りのシステムのうちの1つ又は全てを通して選択的にウェハ136を移動させることができ、これによってウェハ136がエアロック106を介して除去されるまで真空から出ることなく、様々なプロセスが実行されることを可能にする。
ここで図2を参照すると、本発明の一実施形態に係る第1のマルチカソード源126がここに図示される。第1のマルチカソード源126は、上部アダプタ204によってキャップされた円筒状の本体部202を有するベース構造200を含む。
上部アダプタ204は、多くのカソード源(例えば、カソード源206、208、210、212、214)のための、上部アダプタ204の周囲に位置する設備を有する。
ここで図3を参照すると、本発明の一実施形態に係る第1のマルチカソード源126の断面がここに図示される。第1のマルチカソード源126は、ベース構造200、円筒状の本体部202、及び上部アダプタ204を有する。
ベース構造200内には、ウェハ(例えば、ウェハ136)を上に固定することができる回転台300がある。回転台300の上方には、カバーリング302の上方に中間リング304を備えたカバーリング302がある。円錐シールド306が、中間リング304の上方にあり、円錐アダプタ308によって囲まれる。
ウェハ136上に物理的蒸着法(PVD)によって材料を堆積させるための堆積領域310は、シュラウド314が固定された回転シールド312によって囲まれる。シュラウド314の上方には、多数のターゲットのうちの1つ(例えば、ターゲット316)、堆積材料の供給源、及びカソード318がある。
代替の一実施形態では、多数の個々のシュラウド314は、個々のソース(源)にそれぞれ取り付けられ、回転シールド312が回転するとき、静止したままでいる。
ここで図4を参照すると、本発明の一実施形態に係る稼働中の第1のマルチカソード源126の断面がここに図示される。第1のマルチカソード源126の断面は、ターゲット316からウェハ402上の材料の堆積のための位置に移動された図示の回転台300と共にオフアングルの円錐状堆積パターン400を示す。
稼働時には、ウェハ136を有する回転台300は、図3のシュラウド314内の開口の図にある位置に上昇する。第1のマルチカソード源126の設計に応じて、上部アダプタ204に取り付けられた複数のシュラウド314が存在可能であるので、各々のソースは、それ自身のシュラウドを有するか、又は回転シールド312と共に回転する1つのシュラウド又はシュラウド無しで単一の大型回転シールドを有する。
その後、回転シールド312は、適切なカソード318及びターゲット316が、回転台300上のウェハ136上にある角度で材料を堆積させるために配置されるまで、様々なカソードの間で回転させられる。
台300を回転させることにより、ウェハ136は、その表面上にターゲット材料の均一な堆積を受けることになる。
ここで図5を参照すると、本発明の一実施形態に係る、形状が四角形であり、多層スタック502を有するマスクブランク500がここに図示される。
ここで図6を参照すると、本発明の一実施形態に係る、キャリア600上の支持された位置内のマスクブランク500がここに図示される。マスクブランク500は、上向きの多層スタック502を有し、支持ピン602上のキャリア600上に支持され、保持ピン604によって横方向に適所に保持される。楔形サポート606もまた、マスクブランク500の下端で使用することができる。
ここで図7を参照すると、本発明の一実施形態に係る、キャリア700上の支持された位置内のマスクブランク500がここに図示される。マスクブランク500は、上向きの多層スタック502を有し、支持ピン702上のキャリア700上に支持され、保持ピン704によって横方向に適所に保持される。楔形サポート706もまた、マスクブランク500の下端で使用することができる。
ここで図8を参照すると、本発明の一実施形態に係る、キャリア800上の支持された位置内のマスクブランク500がここに図示される。マスクブランク500は、上向きの多層スタック502を有し、支持ピン802上のキャリア800上に支持され、保持ピン804によって横方向に適所に保持される。キャリア800は、支持ピン802の厚さ及びマスクブランク500の厚さよりも僅かに厚い。エッジ除外カバーマスク806は、マスクブランク500のエッジを覆い、これによって多層スタック502のエッジ領域内における材料の堆積を防止する。楔形サポート808もまた、マスクブランク500の下端で使用することができる。
ここで図9を参照すると、本発明の一実施形態に係る、キャリア900上の支持された位置内のマスクブランク500がここに図示される。マスクブランク500は、下向きの多層スタック502を有し、支持ピン902上のキャリア900上に支持され、保持ピン904によって横方向に適所に保持される。キャリア900の底部側は、下からの堆積を可能にするための開口部906を有する。
ここで図10を参照すると、本発明の一実施形態に係る、キャリア1000上の支持された位置内のマスクブランク500がここに図示される。マスクブランク500は、下向きの多層スタック502を有し、支持ピン1002上のキャリア1000上に支持され、保持ピン1004によって横方向に適所に保持される。キャリア1000の底部側は、下からの堆積を可能にするための開口部1006を有する。
ここで図11を参照すると、本発明の一実施形態に係る、キャリア1100上の支持された位置内のマスクブランク500がここに図示される。マスクブランク500は、下向きの多層スタック502を有し、支持ピン1102上のキャリア1100上に支持され、保持ピン1104によって横方向に適所に保持される。キャリアの底部側は、下からの堆積を可能にするための開口部1106を有する。
ここで図12を参照すると、超低欠陥を有する図5のEUVマスクブランク500を製造するための方法1200がここに図示される。方法1200は、図1のEUVマスク製造システム100内の真空にマスクブランクを供給させることから始まる。
マスクブランクは、ステップ1202で脱気され、予備洗浄される。平坦化が、ステップ1204で起こる。平坦化層は、ステップ1206で、CVD法により堆積され、補修される。多層堆積がステップ1208でPVDによって行われ、キャッピング層がステップ1210で塗布される。脱ガス、前洗浄、平坦化、多層堆積、及びキャップ層のアプリケーションは、真空からマスクブランクを除去することなく、EUVマスク製造システム100内ですべて実行される。
図1の統合化EUVマスク製造システム100は、任意のタイプのリソグラフィブランク(例えば、マスクブランク及びミラーブランク)ならびにリソグラフィによる半導体製造プロセス用のマスクを製造するために使用することができる。
本発明の実施形態は、EUVマスクブランクに必要な層構造を堆積させるための統合化されたツールの概念を提供する。これらは、ガラスブランク上の欠陥(数〜数十nmサイズ範囲内のピット、傷、及び粒子)を平坦化するための層の平滑化、ブラッグ反射器用のモリブデンとシリコンの多層スタックならびに(モリブデン/シリコンスタックを酸化から保護するのに使用される)ルテニウムキャッピング層の堆積を含む。
これらの工程を1つのプロセスツールに統合することにより、ハンドリングの工程数を制限することによって、より良好なインタフェース制御ならびにより良好な欠陥性能を達成することが可能であることが見出された。
マスクブランクのハンドリングが、複数の処理工程を通して最小となるように、基板がキャリア上に配置される。これは、基板上のハンドリングに関連した粒子の可能性を低減させる。
クラスタツールの使用はまた、乾式洗浄プロセスの統合が基板の清浄度を向上させ、こうして真空を破ることなしに層スタックの密着性を向上させることを可能にする。
統合化極端紫外線(EUV)マスク製造システム内へ基板をロードした後、マスクブランクは、まず流動性CVDプロセス内(例えば、AMATエテルナ膜内)の平坦化層でコーティングされ、これによって基板表面上のピット及び傷を埋め、ならびに任意の残っている小さな粒子を平坦化する。
次に、基板を多層堆積用の堆積チャンバに移動させる。チャンバは、複数のターゲットを統合化し、これによって基板を搬送する必要なく、スタック全体を1つのチャンバ内で堆積させることができる。
得られたシステムは、分かりやすく、費用対効果が高く、複雑でなく、汎用性が高く、既知の技術を適応させることによって、驚くべきことに、自明なことではなく、実現することができるので、EUVマスクブランクを効率的かつ経済的に製造するのに直ちに適している。
本発明の実施形態は、EUVマスクブランク用の原子レベルで平坦で、低欠陥で、平滑な表面を提供する。しかしながら、本発明の実施形態は、他の種類の(例えば、ミラー用の)ブランクを製造するためにも使用することができる。ガラス基板上に、本発明の実施形態は、EUVミラーを形成するために使用することができる。更に、本発明の実施形態は、UV、DUV、電子ビーム、可視光、赤外線、イオンビーム、X線、及び半導体リソグラフィの他のタイプで使用される、原子レベルで平坦で、低欠陥で、平滑な、他の表面構造に適用することができる。本発明の実施形態はまた、ウェハスケールからデバイスレベルまで、更には大面積ディスプレイ及び太陽電池用途までを範囲とすることができる様々なサイズの構造内で使用することができる。
本発明のもう一つの重要な側面は、コストを削減し、システムを簡素化し、パフォーマンスを向上させるという歴史的傾向を有益に支持し、提供することである。
本発明のこれらの及び他の有益な側面は、その結果、技術の状態を少なくとも次のレベルに更に進める。
本発明は、特定の最良の態様に関連して説明されてきたが、多くの代替、修正、及び変形が前述の説明に照らして当業者には明らかとなるであろうことが理解されるべきである。したがって、付属の特許請求の範囲内に入るそのような代替、修正、及び変形のすべてを包含することが意図される。本明細書に記載又は添付の図面に図示されるすべての事項は、例示的かつ非限定的な意味で解釈されるべきである。

Claims (1)

  1. 真空チャンバと、
    真空チャンバの周囲に取り付けられた複数の処理システムと、
    真空から出ることなく、複数の処理システムの間でウェハを移動させるための真空チャンバ内のウェハハンドリングシステムを含む処理システム。
JP2019141985A 2013-03-12 2019-08-01 極端紫外線リソグラフィマスクブランク製造システムとそのための操作方法 Pending JP2019219671A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361778402P 2013-03-12 2013-03-12
US61/778,402 2013-03-12
US14/139,415 2013-12-23
US14/139,415 US20140272684A1 (en) 2013-03-12 2013-12-23 Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2016501751A Division JP6625520B2 (ja) 2013-03-12 2014-03-12 極端紫外線リソグラフィマスクブランク製造システムとそのための操作方法

Publications (1)

Publication Number Publication Date
JP2019219671A true JP2019219671A (ja) 2019-12-26

Family

ID=51528511

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2016501751A Active JP6625520B2 (ja) 2013-03-12 2014-03-12 極端紫外線リソグラフィマスクブランク製造システムとそのための操作方法
JP2019141985A Pending JP2019219671A (ja) 2013-03-12 2019-08-01 極端紫外線リソグラフィマスクブランク製造システムとそのための操作方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2016501751A Active JP6625520B2 (ja) 2013-03-12 2014-03-12 極端紫外線リソグラフィマスクブランク製造システムとそのための操作方法

Country Status (7)

Country Link
US (2) US20140272684A1 (ja)
JP (2) JP6625520B2 (ja)
KR (2) KR102246809B1 (ja)
CN (1) CN105144343B (ja)
SG (2) SG10201707081YA (ja)
TW (1) TWI623054B (ja)
WO (1) WO2014165300A1 (ja)

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9863036B2 (en) * 2014-04-25 2018-01-09 Plasma-Therm Nes Llc Wafer stage for symmetric wafer processing
US10468238B2 (en) 2015-08-21 2019-11-05 Applied Materials, Inc. Methods and apparatus for co-sputtering multiple targets
WO2017035008A1 (en) * 2015-08-21 2017-03-02 Applied Materials, Inc. Method and apparatus for co-sputtering multiple targets
TWI724971B (zh) * 2016-06-28 2021-04-11 美商應用材料股份有限公司 包括間隔上臂與交錯腕部的雙機器人以及包括該者之系統及方法
TWI763686B (zh) * 2016-07-27 2022-05-11 美商應用材料股份有限公司 具有合金吸收劑的極紫外線遮罩坯料、製造極紫外線遮罩坯料的方法以及極紫外線遮罩坯料生產系統
TWI811037B (zh) 2016-07-27 2023-08-01 美商應用材料股份有限公司 具多層吸收劑的極紫外遮罩坯料及製造方法
US11011357B2 (en) * 2017-02-21 2021-05-18 Applied Materials, Inc. Methods and apparatus for multi-cathode substrate processing
US10763091B2 (en) * 2017-08-18 2020-09-01 Applied Materials, Inc. Physical vapor deposition chamber particle reduction apparatus and methods
US10504705B2 (en) * 2017-09-15 2019-12-10 Applied Materials, Inc. Physical vapor deposition chamber with static magnet assembly and methods of sputtering
KR102374206B1 (ko) 2017-12-05 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
TWI773904B (zh) * 2018-06-19 2022-08-11 美商應用材料股份有限公司 具有多陰極的沉積系統
TWI821300B (zh) * 2018-06-19 2023-11-11 美商應用材料股份有限公司 具有護罩座的沉積系統
US11275300B2 (en) 2018-07-06 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask blank defect reduction
TWI805795B (zh) * 2018-07-20 2023-06-21 美商應用材料股份有限公司 基板定位設備與方法
TW202026770A (zh) 2018-10-26 2020-07-16 美商應用材料股份有限公司 用於極紫外線掩模吸收劑的ta-cu合金材料
US11194244B2 (en) 2018-12-21 2021-12-07 Applied Materials, Inc. Extreme ultraviolet mask absorber and processes for manufacture
TWI788618B (zh) * 2019-01-25 2023-01-01 美商應用材料股份有限公司 物理氣相沉積靶材組件
TWI828843B (zh) 2019-01-31 2024-01-11 美商應用材料股份有限公司 極紫外線(euv)遮罩素材及其製造方法
US11249390B2 (en) 2019-01-31 2022-02-15 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202035792A (zh) 2019-01-31 2020-10-01 美商應用材料股份有限公司 極紫外光遮罩吸收體材料
TWI818151B (zh) 2019-03-01 2023-10-11 美商應用材料股份有限公司 物理氣相沉積腔室及其操作方法
US11639544B2 (en) 2019-03-01 2023-05-02 Applied Materials, Inc. Physical vapor deposition system and processes
TW202043905A (zh) 2019-03-01 2020-12-01 美商應用材料股份有限公司 物理氣相沉積系統與處理
TW202104628A (zh) 2019-04-19 2021-02-01 美商應用材料股份有限公司 用於控制pvd沉積均勻性的系統及方法
US11327394B2 (en) 2019-04-19 2022-05-10 Applied Materials Inc. Graded interface in bragg reflector
US11557473B2 (en) 2019-04-19 2023-01-17 Applied Materials, Inc. System and method to control PVD deposition uniformity
TW202104667A (zh) 2019-05-22 2021-02-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
TWI836073B (zh) 2019-05-22 2024-03-21 美商應用材料股份有限公司 極紫外光遮罩坯體及其製造方法
TW202104666A (zh) 2019-05-22 2021-02-01 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
TWI836072B (zh) 2019-05-22 2024-03-21 美商應用材料股份有限公司 具有嵌入吸收層之極紫外光遮罩
US11275304B2 (en) 2019-05-22 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask absorber matertals
US11850742B2 (en) 2019-06-07 2023-12-26 Applied Materials, Inc. Dual robot including splayed end effectors and systems and methods including same
US11385536B2 (en) 2019-08-08 2022-07-12 Applied Materials, Inc. EUV mask blanks and methods of manufacture
US11387071B2 (en) * 2019-10-06 2022-07-12 Applied Materials, Inc. Multi-source ion beam etch system
TW202122909A (zh) * 2019-10-25 2021-06-16 美商應用材料股份有限公司 減少極紫外遮罩毛坯缺陷之方法
TW202124749A (zh) * 2019-10-25 2021-07-01 美商應用材料股份有限公司 極紫外遮罩毛坯之缺陷減少的方法
US11630385B2 (en) 2020-01-24 2023-04-18 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202131087A (zh) 2020-01-27 2021-08-16 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
TWI817073B (zh) 2020-01-27 2023-10-01 美商應用材料股份有限公司 極紫外光遮罩坯體硬遮罩材料
TW202129401A (zh) 2020-01-27 2021-08-01 美商應用材料股份有限公司 極紫外線遮罩坯體硬遮罩材料
TW202141165A (zh) 2020-03-27 2021-11-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
TWI836207B (zh) 2020-04-17 2024-03-21 美商應用材料股份有限公司 極紫外光遮罩吸收材料
US11300871B2 (en) 2020-04-29 2022-04-12 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202202641A (zh) 2020-07-13 2022-01-16 美商應用材料股份有限公司 極紫外線遮罩吸收劑材料
JP7420027B2 (ja) 2020-09-10 2024-01-23 信越化学工業株式会社 Euvマスクブランク用多層反射膜付き基板、その製造方法及びeuvマスクブランク
JP2022045936A (ja) * 2020-09-10 2022-03-23 信越化学工業株式会社 Euvマスクブランク用多層反射膜付き基板、その製造方法及びeuvマスクブランク
US11609490B2 (en) 2020-10-06 2023-03-21 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11545347B2 (en) 2020-11-05 2023-01-03 Applied Materials, Inc. Internally divisible process chamber using a shutter disk assembly
US11513437B2 (en) 2021-01-11 2022-11-29 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11592738B2 (en) 2021-01-28 2023-02-28 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11815803B2 (en) 2021-08-30 2023-11-14 Applied Materials, Inc. Multilayer extreme ultraviolet reflector materials
WO2023032721A1 (ja) * 2021-08-30 2023-03-09 Agc株式会社 基板保持装置、及び導電膜付き基板の製造方法
US11782337B2 (en) 2021-09-09 2023-10-10 Applied Materials, Inc. Multilayer extreme ultraviolet reflectors

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020015855A1 (en) * 2000-06-16 2002-02-07 Talex Sajoto System and method for depositing high dielectric constant materials and compatible conductive materials
JP2002090977A (ja) * 2000-09-12 2002-03-27 Hoya Corp 位相シフトマスクブランク、フォトマスクブランク、並びにそれらの製造装置及び製造方法
JP2003084419A (ja) * 2001-09-07 2003-03-19 Seiko Epson Corp マスクデータの生成方法、マスクおよび記録媒体、ならびに半導体装置の製造方法
JP2003160854A (ja) * 2001-11-26 2003-06-06 Anelva Corp スパッタリング装置におけるパーティクル発生防止方法、スパッタリング方法、スパッタリング装置及び被覆用部材
JP2003315977A (ja) * 2002-04-25 2003-11-06 Hoya Corp リソグラフィーマスクブランクの製造方法及び製造装置
US20040151988A1 (en) * 2003-02-05 2004-08-05 Silverman Peter J. EUV mask blank defect mitigation
JP2005504885A (ja) * 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
JP2006093454A (ja) * 2004-09-24 2006-04-06 Hoya Corp 反射型マスクブランク、反射型マスク及び多層膜反射鏡
JP2009115957A (ja) * 2007-11-05 2009-05-28 Hoya Corp マスクブランク及び転写用マスクの製造方法
JP2009531254A (ja) * 2006-03-29 2009-09-03 旭硝子株式会社 ガラス基板表面の平滑化方法、および、該方法により得られるeuvリソグラフィ用反射型マスクブランク用の基板
JP2009539269A (ja) * 2006-05-30 2009-11-12 アプライド マテリアルズ インコーポレイテッド 誘電性ギャップ充填のためのプロセスチャンバ
JP2010077452A (ja) * 2007-10-04 2010-04-08 Canon Anelva Corp 高周波スパッタリング装置
JP2010126789A (ja) * 2008-11-28 2010-06-10 Shibaura Mechatronics Corp スパッタ成膜装置
JP2011181810A (ja) * 2010-03-03 2011-09-15 Asahi Glass Co Ltd Euvリソグラフィ用反射型マスクブランクスの製造方法
JP2011192693A (ja) * 2010-03-12 2011-09-29 Hoya Corp 多層反射膜付基板、反射型マスクブランク及びそれらの製造方法

Family Cites Families (127)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5843529B2 (ja) 1980-07-03 1983-09-27 寛 菅沼 支線用打込みアンカの打設方法
JPS57143826A (en) 1981-02-28 1982-09-06 Dainippon Printing Co Ltd Formation of resist pattern on gapped semiconductor substrate
JPS61101450A (ja) 1984-10-24 1986-05-20 新技術開発事業団 非晶質強磁性酸化物
US4842675A (en) * 1986-07-07 1989-06-27 Texas Instruments Incorporated Integrated circuit isolation process
GB8921666D0 (en) * 1989-09-26 1989-11-08 Peatgrange Ivd Limited Ion vapour deposition apparatus and method
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
JPH05335215A (ja) 1991-03-06 1993-12-17 Hitachi Ltd 反射ミラー、その作製方法及び縮小投影露光装置
DE69406963T2 (de) 1993-03-15 1998-05-20 Tsuyoshi Masumoto Hochharte Dünnschicht, sowie Verfahren zu deren Herstellung
JP3281173B2 (ja) 1993-03-15 2002-05-13 健 増本 高硬度薄膜及びその製造方法
JPH07106224A (ja) 1993-10-01 1995-04-21 Hitachi Ltd パターン形成方法
JPH07106234A (ja) 1993-10-07 1995-04-21 Mitsubishi Electric Corp レジストパターンの形成方法
US6033480A (en) * 1994-02-23 2000-03-07 Applied Materials, Inc. Wafer edge deposition elimination
US5645646A (en) * 1994-02-25 1997-07-08 Applied Materials, Inc. Susceptor for deposition apparatus
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6096100A (en) 1997-12-12 2000-08-01 Texas Instruments Incorporated Method for processing wafers and cleaning wafer-handling implements
US5944857A (en) * 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US6010916A (en) 1997-12-05 2000-01-04 Advanced Micro Devices, Inc. Method for improving semiconductor wafer processing
US6140216A (en) 1998-04-14 2000-10-31 Advanced Micro Devices, Inc. Post etch silicide formation using dielectric etchback after global planarization
US6142641A (en) 1998-06-18 2000-11-07 Ultratech Stepper, Inc. Four-mirror extreme ultraviolet (EUV) lithography projection system
US6749814B1 (en) 1999-03-03 2004-06-15 Symyx Technologies, Inc. Chemical processing microsystems comprising parallel flow microreactors and methods for using same
JP2000331939A (ja) 1999-05-17 2000-11-30 Applied Materials Inc 成膜装置
US6228512B1 (en) 1999-05-26 2001-05-08 The Regents Of The University Of California MoRu/Be multilayers for extreme ultraviolet applications
AU5597000A (en) 1999-06-07 2000-12-28 Regents Of The University Of California, The Coatings on reflective mask substrates
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
JP2002090978A (ja) * 2000-09-12 2002-03-27 Hoya Corp 位相シフトマスクブランクの製造方法、及び位相シフトマスクブランクの製造装置
US6673524B2 (en) 2000-11-17 2004-01-06 Kouros Ghandehari Attenuating extreme ultraviolet (EUV) phase-shifting mask fabrication method
JP3939132B2 (ja) 2000-11-22 2007-07-04 Hoya株式会社 多層膜付き基板、露光用反射型マスクブランク、露光用反射型マスクおよびその製造方法、並びに半導体の製造方法
CN1258616C (zh) 2001-02-07 2006-06-07 旭硝子株式会社 溅射装置及溅射成膜方法
US6656643B2 (en) 2001-02-20 2003-12-02 Chartered Semiconductor Manufacturing Ltd. Method of extreme ultraviolet mask engineering
US6840616B2 (en) 2001-03-29 2005-01-11 Scott Summers Air folder adjuster apparatus and method
US6610447B2 (en) 2001-03-30 2003-08-26 Intel Corporation Extreme ultraviolet mask with improved absorber
US20030008148A1 (en) 2001-07-03 2003-01-09 Sasa Bajt Optimized capping layers for EUV multilayers
JP4009102B2 (ja) 2001-12-19 2007-11-14 独立行政法人科学技術振興機構 半導体特性を示すアモルファス鉄シリサイド膜とその作製方法
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US20030164998A1 (en) * 2002-03-01 2003-09-04 The Regents Of The University Of California Ion-assisted deposition techniques for the planarization of topological defects
US6734117B2 (en) 2002-03-12 2004-05-11 Nikon Corporation Periodic clamping method and apparatus to reduce thermal stress in a wafer
EP2317384B1 (en) 2002-04-11 2016-11-09 Hoya Corporation Reflective mask blank, reflective mask and methods of producing the mask blank and the mask
US6835503B2 (en) 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
US6806006B2 (en) 2002-07-15 2004-10-19 International Business Machines Corporation Integrated cooling substrate for extreme ultraviolet reticle
US20040018733A1 (en) 2002-07-23 2004-01-29 Hak Baek Jae Method of planarizing a surface of a semiconductor wafer
US7129010B2 (en) * 2002-08-02 2006-10-31 Schott Ag Substrates for in particular microlithography
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US20040091618A1 (en) 2002-11-08 2004-05-13 Park Han-Su Photoresist depositon apparatus and method for forming photoresist film using the same
CN1501442A (zh) 2002-11-12 2004-06-02 阿泰技术有限公社 光刻胶沉积设备以及使用该设备形成光刻胶薄膜的方法
JP2004172272A (ja) 2002-11-19 2004-06-17 Nikon Corp Euv露光装置及びeuv露光方法
DE10302342A1 (de) 2003-01-17 2004-08-05 Schott Glas Substrat für die EUV-Mikrolithographie und Herstellverfahren hierfür
US20040159538A1 (en) * 2003-02-13 2004-08-19 Hans Becker Photo mask blank, photo mask, method and apparatus for manufacturing of a photo mask blank
JP3683261B2 (ja) 2003-03-03 2005-08-17 Hoya株式会社 擬似欠陥を有する反射型マスクブランクス及びその製造方法、擬似欠陥を有する反射型マスク及びその製造方法、並びに擬似欠陥を有する反射型マスクブランクス又は反射型マスクの製造用基板
US7026076B2 (en) 2003-03-03 2006-04-11 Freescale Semiconductor, Inc. Method of patterning photoresist on a wafer using a reflective mask with a multi-layer ARC
DE10317792A1 (de) 2003-04-16 2004-11-11 Schott Glas Maskenrohling zur Verwendung in der EUV-Lithographie und Verfahren zu dessen Herstellung
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
SG115693A1 (en) 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
WO2004106986A2 (en) 2003-05-29 2004-12-09 Applied Materials Inc. Maskless fabrication of waveguide mirrors
KR100520222B1 (ko) 2003-06-23 2005-10-11 삼성전자주식회사 반도체 소자에서의 듀얼 게이트 산화막 구조 및 그에 따른형성방법
US7326502B2 (en) 2003-09-18 2008-02-05 Intel Corporation Multilayer coatings for EUV mask substrates
KR100680405B1 (ko) 2003-11-19 2007-02-08 주식회사 하이닉스반도체 Euv용 포토레지스트 조성물 및 이를 이용한포토레지스트 패턴 형성 방법
US7005227B2 (en) 2004-01-21 2006-02-28 Intel Corporation One component EUV photoresist
US7193228B2 (en) 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
JP4716193B2 (ja) 2004-03-15 2011-07-06 宇部興産株式会社 β−ジケトナトを配位子とする金属錯体
JP4542807B2 (ja) 2004-03-31 2010-09-15 東京エレクトロン株式会社 成膜方法および成膜装置、ならびにゲート絶縁膜の形成方法
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US7198872B2 (en) 2004-05-25 2007-04-03 International Business Machines Corporation Light scattering EUVL mask
US20060024589A1 (en) 2004-07-28 2006-02-02 Siegfried Schwarzl Passivation of multi-layer mirror for extreme ultraviolet lithography
KR20070054651A (ko) 2004-09-17 2007-05-29 아사히 가라스 가부시키가이샤 Euv 리소그래피용 반사형 마스크 블랭크스 및 그 제조방법
WO2006040613A1 (en) * 2004-10-13 2006-04-20 Xenocs Method of deposition with reduction of contaminants in an ion assist beam and associated apparatus
JP2006177740A (ja) 2004-12-22 2006-07-06 Nikon Corp 多層膜反射鏡及びeuv露光装置
US8293430B2 (en) * 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7336416B2 (en) 2005-04-27 2008-02-26 Asml Netherlands B.V. Spectral purity filter for multi-layer mirror, lithographic apparatus including such multi-layer mirror, method for enlarging the ratio of desired radiation and undesired radiation, and device manufacturing method
US20060275547A1 (en) 2005-06-01 2006-12-07 Lee Chung J Vapor Phase Deposition System and Method
TWI295816B (en) * 2005-07-19 2008-04-11 Applied Materials Inc Hybrid pvd-cvd system
US7432201B2 (en) 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
JP4703354B2 (ja) 2005-10-14 2011-06-15 Hoya株式会社 多層反射膜付き基板、その製造方法、反射型マスクブランクおよび反射型マスク
DE102005052000B3 (de) * 2005-10-31 2007-07-05 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
US7678511B2 (en) 2006-01-12 2010-03-16 Asahi Glass Company, Limited Reflective-type mask blank for EUV lithography
US7736820B2 (en) 2006-05-05 2010-06-15 Asml Netherlands B.V. Anti-reflection coating for an EUV mask
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
JP4801550B2 (ja) 2006-09-26 2011-10-26 富士通株式会社 レジスト組成物、レジストパターンの形成方法、及び半導体装置の製造方法
US7892719B2 (en) 2006-11-03 2011-02-22 Intel Corporation Photonic crystal EUV photoresists
WO2008065821A1 (fr) 2006-11-27 2008-06-05 Nikon Corporation Elément optique, unité d'exposition associée et procédé de production du dispositif
JP2008135090A (ja) 2006-11-27 2008-06-12 Canon Inc レジスト、これを用いた光ディスク用スタンパの製造方法、及び光ディスク用スタンパ
US20090278233A1 (en) 2007-07-26 2009-11-12 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
WO2009031232A1 (ja) * 2007-09-07 2009-03-12 Canon Anelva Corporation スパッタリング方法および装置
JP5039495B2 (ja) 2007-10-04 2012-10-03 ルネサスエレクトロニクス株式会社 マスクブランク検査方法、反射型露光マスクの製造方法、反射型露光方法および半導体集積回路の製造方法
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20090176367A1 (en) 2008-01-08 2009-07-09 Heidi Baks OPTIMIZED SiCN CAPPING LAYER
JP5369640B2 (ja) 2008-02-19 2013-12-18 旭硝子株式会社 Euvl用光学部材、およびその平滑化方法
KR20090103847A (ko) 2008-03-28 2009-10-01 캐논 가부시끼가이샤 노광 장치 및 디바이스 제조 방법
WO2009123172A1 (ja) 2008-03-31 2009-10-08 Hoya株式会社 フォトマスクブランク、フォトマスクおよびフォトマスクブランクの製造方法
NL1036673A1 (nl) * 2008-04-09 2009-10-12 Asml Holding Nv Robot Position Calibration Tool (RPCT).
US8232212B2 (en) * 2008-07-11 2012-07-31 Applied Materials, Inc. Within-sequence metrology based process tuning for adaptive self-aligned double patterning
DE102008042212A1 (de) 2008-09-19 2010-04-01 Carl Zeiss Smt Ag Reflektives optisches Element und Verfahren zu seiner Herstellung
US8105954B2 (en) 2008-10-20 2012-01-31 aiwan Semiconductor Manufacturing Company, Ltd. System and method of vapor deposition
JP2010122305A (ja) 2008-11-17 2010-06-03 Sony Corp 露光装置及び露光方法並びに微細加工装置及び微細加工方法
JP2010170011A (ja) 2009-01-26 2010-08-05 Hoya Corp フォトマスクの修正方法
JP2011053566A (ja) 2009-09-03 2011-03-17 Sony Corp 現像液、エッチング液および微細加工体の製造方法
CN102019266A (zh) 2009-09-17 2011-04-20 中芯国际集成电路制造(上海)有限公司 涂层材料的涂布方法
CN102782531B (zh) 2009-12-15 2014-12-17 卡尔蔡司Smt有限责任公司 用于极紫外光刻的反射光学元件
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
JP2011222958A (ja) 2010-03-25 2011-11-04 Komatsu Ltd ミラーおよび極端紫外光生成装置
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
KR101944655B1 (ko) 2010-04-02 2019-01-31 가부시키가이샤 니콘 조명 광학계, 광학 장치, 노광 방법 및 디바이스 제조 방법
US8526104B2 (en) 2010-04-30 2013-09-03 Corning Incorporated Plasma ion assisted deposition of Mo/Si multilayer EUV coatings
US20120009765A1 (en) * 2010-07-12 2012-01-12 Applied Materials, Inc. Compartmentalized chamber
CN103026296B (zh) 2010-07-27 2014-12-10 旭硝子株式会社 Euv光刻用带反射层的基板和euv光刻用反射型掩模底版
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
KR20120042169A (ko) * 2010-10-22 2012-05-03 삼성모바일디스플레이주식회사 액정 표시 장치 및 그 제조 방법
JP6013720B2 (ja) * 2010-11-22 2016-10-25 芝浦メカトロニクス株式会社 反射型マスクの製造方法、および反射型マスクの製造装置
US8475977B2 (en) * 2010-12-02 2013-07-02 Intermolecular, Inc Protective cap for extreme ultraviolet lithography masks
NL2007768A (en) 2010-12-14 2012-06-18 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US8562794B2 (en) * 2010-12-14 2013-10-22 Asahi Glass Company, Limited Process for producing reflective mask blank for EUV lithography and process for producing substrate with functional film for the mask blank
KR101904560B1 (ko) * 2011-03-07 2018-10-04 에이지씨 가부시키가이샤 다층 기판, 다층 기판의 제조 방법, 다층 기판의 품질 관리 방법
DE112012001262T5 (de) 2011-03-14 2014-01-02 Fuji Electric Co., Ltd. Oxidsubstrat und Verfahren zu dessen Herstellung
JP2012248664A (ja) 2011-05-27 2012-12-13 Hitachi Cable Ltd 気相成長装置及び気相成長方法、並びにエピタキシャルウェハ
KR101909567B1 (ko) 2011-07-08 2018-10-18 에이에스엠엘 네델란즈 비.브이. 리소그래피 패터닝 공정 및 상기 공정에 사용하기 위한 레지스트
NL2009487A (en) 2011-10-14 2013-04-16 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
KR101840846B1 (ko) * 2012-02-15 2018-03-21 삼성전자주식회사 관통전극을 갖는 반도체 소자 및 그 제조방법
DE102012203633A1 (de) 2012-03-08 2013-09-12 Carl Zeiss Smt Gmbh Spiegel für den EUV-Wellenlängenbereich, Herstellungsverfahren für einen solchen Spiegel und Projektionsbelichtungsanlage mit einem solchen Spiegel
US8735252B2 (en) 2012-06-07 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US8969932B2 (en) 2012-12-12 2015-03-03 Globalfoundries Inc. Methods of forming a finfet semiconductor device with undoped fins
US9051649B2 (en) 2013-03-11 2015-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film deposition apparatus and method with improved heater cooling efficiency
US9417515B2 (en) 2013-03-14 2016-08-16 Applied Materials, Inc. Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
US9612521B2 (en) 2013-03-12 2017-04-04 Applied Materials, Inc. Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020015855A1 (en) * 2000-06-16 2002-02-07 Talex Sajoto System and method for depositing high dielectric constant materials and compatible conductive materials
JP2002090977A (ja) * 2000-09-12 2002-03-27 Hoya Corp 位相シフトマスクブランク、フォトマスクブランク、並びにそれらの製造装置及び製造方法
JP2005504885A (ja) * 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
JP2003084419A (ja) * 2001-09-07 2003-03-19 Seiko Epson Corp マスクデータの生成方法、マスクおよび記録媒体、ならびに半導体装置の製造方法
JP2003160854A (ja) * 2001-11-26 2003-06-06 Anelva Corp スパッタリング装置におけるパーティクル発生防止方法、スパッタリング方法、スパッタリング装置及び被覆用部材
JP2003315977A (ja) * 2002-04-25 2003-11-06 Hoya Corp リソグラフィーマスクブランクの製造方法及び製造装置
US20040151988A1 (en) * 2003-02-05 2004-08-05 Silverman Peter J. EUV mask blank defect mitigation
JP2006093454A (ja) * 2004-09-24 2006-04-06 Hoya Corp 反射型マスクブランク、反射型マスク及び多層膜反射鏡
JP2009531254A (ja) * 2006-03-29 2009-09-03 旭硝子株式会社 ガラス基板表面の平滑化方法、および、該方法により得られるeuvリソグラフィ用反射型マスクブランク用の基板
JP2009539269A (ja) * 2006-05-30 2009-11-12 アプライド マテリアルズ インコーポレイテッド 誘電性ギャップ充填のためのプロセスチャンバ
JP2010077452A (ja) * 2007-10-04 2010-04-08 Canon Anelva Corp 高周波スパッタリング装置
JP2009115957A (ja) * 2007-11-05 2009-05-28 Hoya Corp マスクブランク及び転写用マスクの製造方法
JP2010126789A (ja) * 2008-11-28 2010-06-10 Shibaura Mechatronics Corp スパッタ成膜装置
JP2011181810A (ja) * 2010-03-03 2011-09-15 Asahi Glass Co Ltd Euvリソグラフィ用反射型マスクブランクスの製造方法
JP2011192693A (ja) * 2010-03-12 2011-09-29 Hoya Corp 多層反射膜付基板、反射型マスクブランク及びそれらの製造方法

Also Published As

Publication number Publication date
SG11201506470UA (en) 2015-09-29
JP2016519778A (ja) 2016-07-07
US20170115555A1 (en) 2017-04-27
WO2014165300A1 (en) 2014-10-09
TWI623054B (zh) 2018-05-01
KR20150127165A (ko) 2015-11-16
SG10201707081YA (en) 2017-10-30
KR102246809B1 (ko) 2021-04-29
KR102401043B1 (ko) 2022-05-20
CN105144343A (zh) 2015-12-09
US10788744B2 (en) 2020-09-29
KR20210048604A (ko) 2021-05-03
CN105144343B (zh) 2018-08-24
TW201442136A (zh) 2014-11-01
US20140272684A1 (en) 2014-09-18
JP6625520B2 (ja) 2019-12-25

Similar Documents

Publication Publication Date Title
JP6625520B2 (ja) 極端紫外線リソグラフィマスクブランク製造システムとそのための操作方法
JP7285682B2 (ja) 平坦化された極端紫外線リソグラフィブランク及びそのための製造及びリソグラフィシステム
US10012897B2 (en) Planarized extreme ultraviolet lithography blank with absorber and manufacturing system therefor
EP3167473B1 (en) Extreme ultraviolet mask blank system and optical train for euv lithography system
JP6420310B2 (ja) 超平滑層紫外線リソグラフィミラー及びブランク、及びそのための製造及びリソグラフィシステム
JP6599846B2 (ja) アモルファス層極端紫外線リソグラフィブランク及びそのための製造・リソグラフィシステム
JP7454049B2 (ja) マルチカソード堆積システム及び方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190829

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190829

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200626

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200714

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20201013

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20201214

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20210323