JP6599846B2 - アモルファス層極端紫外線リソグラフィブランク及びそのための製造・リソグラフィシステム - Google Patents

アモルファス層極端紫外線リソグラフィブランク及びそのための製造・リソグラフィシステム Download PDF

Info

Publication number
JP6599846B2
JP6599846B2 JP2016501750A JP2016501750A JP6599846B2 JP 6599846 B2 JP6599846 B2 JP 6599846B2 JP 2016501750 A JP2016501750 A JP 2016501750A JP 2016501750 A JP2016501750 A JP 2016501750A JP 6599846 B2 JP6599846 B2 JP 6599846B2
Authority
JP
Japan
Prior art keywords
metal layer
amorphous metal
layer
extreme ultraviolet
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016501750A
Other languages
English (en)
Other versions
JP2016519329A (ja
Inventor
ラルフ ホフマン
ケビン モラエス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2016519329A publication Critical patent/JP2016519329A/ja
Application granted granted Critical
Publication of JP6599846B2 publication Critical patent/JP6599846B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/16Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/16Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon
    • C23C14/165Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon by cathodic sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/221Ion beam deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/352Sputtering by application of a magnetic field, e.g. magnetron sputtering using more than one target
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/541Heating or cooling of the substrates
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Environmental & Geological Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physical Vapour Deposition (AREA)

Description

関連出願への相互参照
本出願は、2013年3月12日に出願された米国仮特許出願第61/778,351号の利益を主張し、その内容は参照により本明細書内に援用される。
本出願は、2013年12月23日に出願された同時出願の米国特許出願第14/139,307号に関連し、その内容は参照により本明細書内に援用される。
本出願は、2013年12月23日に出願された同時出願の米国特許出願第14/139,415号に関連し、その内容は参照により本明細書内に援用される。
本出願は、2013年12月23日に出願された同時出願の米国特許出願第14/139,457号に関連し、その内容は参照により本明細書内に援用される。
本出願は、2013年12月23日に出願された同時出願の米国特許出願第14/139,507号に関連し、その内容は参照により本明細書内に援用される。
本発明は、概して、極端紫外線リソグラフィブランク及びそのような極端紫外線リソグラフィブランクのための製造及びリソグラフィシステムに関する。
背景
極端紫外線リソグラフィ(EUV、軟X線投影リソグラフィとしても知られている)は、0.13ミクロン及びそれよりも小さい最小フィーチャーサイズの半導体デバイスの製造のための遠紫外線リソグラフィに代わる候補である。
しかしながら、概して5〜40ナノメートルの波長範囲内にある極端紫外光は、実質的に全ての材料に強く吸収される。そのため、極端紫外線システムは、光の透過によってではなく、反射によって動作する。一連のミラー、又はレンズ要素、及び反射要素、又は非反射吸収体マスクパターンでコーティングされたマスクブランクの使用を介して、パターニングされた化学光は、レジストがコーティングされた半導体ウェハ上へ反射される。
極端紫外線リソグラフィシステムのレンズ要素及びマスクブランクは、多層反射コーティング材料(例えば、モリブデンとシリコン)でコーティングされる。極端紫外線の狭帯域(例えば、13ナノメートルの紫外光に対して12〜14ナノメートルのバンドパス)内の実質的に単一の波長で光を強く反射する多層コーティングでコーティングされた基板を使用することによって、レンズ要素又はマスクブランク毎に約65%の反射値が得られている。
問題を引き起こす半導体加工技術の欠陥には、様々なクラスがある。不透明欠陥は、典型的には、多層コーティングの最上部又はマスクパターン上の粒子によって引き起こされ、光を反射すべきときに、光を吸収する。透明欠陥は、典型的には、多層コーティングの最上部の上のマスクパターン内のピンホールによって引き起こされ、光が吸収されるべきときに、光が反射される。位相欠陥は、典型的には、多層コーティングの下の傷及び表面変動によって引き起こされ、反射光の位相遷移を引き起こす。これら位相遷移は、半導体ウェハの表面上のレジスト内に露光されるパターンを歪ませる、又は変える光波干渉効果をもたらす。サブ0.13ミクロンの最小フィーチャーサイズ用に用いられるに違いないより短波長の照射のために、以前は重要ではなかった傷及び表面変動が、今では許容できなくなっている。
粒子欠陥の低減又は除去において進歩がなされてきて、マスク内の不透明欠陥及び透明欠陥の修復において研究がなされてきたが、位相欠陥の問題に対処するためには、今まで何もなされてきていない。遠紫外線リソグラフィに対しては、60度以下の位相遷移を維持するように、表面は処理される。極端紫外線リソグラフィのための同様の処理は、まだ開発されていない。
13ナノメートルの化学線波長に対して、多層コーティングから反射される光の中での180度の位相遷移は、下地表面内の深さがわずか3ナノメートルの傷に対して発生する可能性がある。この深さは、より短い波長ではより浅くなる。同様に、同じ波長で、100ナノメートルの距離上で1ナノメートルよりも急激な表面変動は、同様の位相遷移を引き起こす可能性がある。これら位相遷移は、半導体ウェハの表面に位相欠陥を引き起こし、半導体デバイスに修復不可能な損傷を与える可能性がある。
過去において、遠紫外線リソグラフィ用マスクブランクは、一般的にガラス製であったが、シリコン又は超低熱膨張材料が、極端紫外線リソグラフィ用の代替として提案されてきている。ブランクが、ガラス、ケイ素(シリコン)、又は超低熱膨張材料であるかどうかにかかわらず、マスクブランクの表面は、化学機械研磨、磁性流体仕上げ、又はイオンビーム研磨などのプロセスによって可能な限り平滑にされる。このようなプロセスに残されている傷は、しばしば「スクラッチディグ」マークと呼ばれ、それらの深さと幅は、マスクブランクを研磨するために使用される研磨剤中の粒子の大きさに依存する。可視及び遠紫外線リソグラフィでは、これらの傷は、半導体ウェハ上のパターン内に位相欠陥を引き起こすには小さ過ぎる。しかしながら、極端紫外線リソグラフィに対しては、スクラッチディグマークは、位相欠陥として現れるので、重要な問題である。
EUVリソグラフィ用に要求される短い照明波長のため、使用されるパターンマスクは、現在のリソグラフィで使用される透過型マスクの代わりに反射型マスクでなければならない。反射型マスクは、モリブデンとシリコンの交互の薄い層の正確なスタックで構成され、ブラッグ屈折器又はミラーを作る。多層スタックの性質及び小さいフィーチャーサイズのため、多層スタックが堆積される基板の表面内の任意の欠陥は拡大され、最終製品に影響を与える。数ナノメートルのスケールの欠陥は、完成したマスク上に印刷可能な欠陥となって表れ、多層スタックの堆積前にマスクブランクの表面から除去する必要がある可能性がある。
マスクブランクは、EUVリソグラフィの採用にとって重要なアイテムである。主なハードルは、マスクブランクの欠陥及び反射率に関連する。マスクは、その上に堆積されたモリブデン(Mo)とシリコン(Si)の80の交互層のブラッグ反射器を有する。この層スタックの粗さが重要であり、印刷されたウェハ上でのライン端部の粗さに関連する。
コストを削減し、効率性及びパフォーマンスを向上させ、競争圧力を満たすためのニーズが、これらの問題に対する答えを見つけるための重要な必要性に更に大きな緊急性を追加する。
これらの問題に対する解決策は、長い間求められてきたが、先行開発は、何の解決策も教示又は示唆してこなかった。したがって、これらの問題に対する解決策は、長い間、当業者には手に入らないものであった。
概要
本発明の一実施形態は、真空中に基板を配置するための真空チャンバと、真空から基板を除去することなく、多層スタックを堆積させるための堆積システムと、アモルファス金属層として堆積される多層スタックの上で層を処理するための処理システムを含む統合化極端紫外線ブランク生産システムを提供する。
本発明の一実施形態は、極端紫外線ブランクを製造するための物理的気相堆積チャンバであって、ホウ素と合金化されたモリブデンを含むターゲットを含むチャンバを提供する。
本発明の一実施形態は、極端紫外線光源と、極端紫外線光源からの光を導くためのミラーと、アモルファス金属層を有する多層スタックを有する極端紫外線マスクブランクを配置するためのレチクルステージと、ウェハを配置するためのウェハステージを含む極端紫外線リソグラフィシステムを提供する。
本発明の一実施形態は、基板と、アモルファス金属層を有する多層スタックと、多層スタック上のキャッピング層とを含む極端紫外線ブランクを提供する。
本発明の特定の実施形態は、上記のものに加えて、又は上記のものの代わりに、他の工程又は要素を有する。工程又は要素は、添付の図面を参照して以下の詳細な説明を読むことにより当業者に明らかになるであろう。
統合化された極端紫外線(EUV)マスクの製造システムである。 本発明の一実施形態に係るEUVマスクブランクである。 製造の中間段階でのEUVマスクブランクである。 製造の中間段階でのEUVマスクブランクである。 EUVリソグラフィシステムの光学トレインである。 EUVリソグラフィシステムである。
詳細な説明
以下の実施形態は、当業者が本発明を行い、使用することを可能にするために、十分に詳細に記載されている。他の実施形態が、本開示に基づいて明らかとなり、本発明の範囲から逸脱することなく、システム、プロセス、又は機械的な変更を行うことができることを理解すべきである。
以下の説明において、多数の特定の詳細が、本発明の完全な理解を提供するために与えられる。しかしながら、本発明は、これらの特定の詳細なしに実施できることは明らかであろう。本発明を不明瞭にすることを避けるために、いくつかの周知の回路、システム構成、及びプロセスステップは、詳細には開示されない。
システムの実施形態を示す図面は、半概略であり、縮尺通りではなく、特に、寸法のいくつかは、提案説明を明確にするためのものであり、描画図内で誇張して示されている。同様に、説明を容易にするため、図面内の図は、概して、同様の方向を示すが、図面内のこの描写は、ほとんどの部分に対して任意である。一般的に、本発明は、任意の向きで動作させることができる。
いくつかの構成を共通して有する複数の実施形態が開示され、記載されている場合は、それらの図説、記述、及び理解を明瞭かつ容易にするために、類似の構成は、同様の参照番号で記述される。
解説の目的のために、本明細書で使用する用語「水平」は、マスクブランクの平面又は表面に対して平行な平面として定義され、その向きには関係ない。用語「垂直」は、まさに定義されたような水平に対して垂直な方向を指す。用語(例えば、「上方」、「下方」、「底部」、「最上部」、(「側壁」内のような)「側」、「より高い」、「より低い」、「上部」、「上に」、及び「下に」)は、図面内に図示されるように、水平面に対して定義される。用語「上」は、要素間の直接的な接触があることを示す。
本明細書で使用する用語「処理」は、材料又はフォトレジストの堆積、記載された構造を形成するのに必要とされる材料又はフォトレジストのパターニング、露光、現像、エッチング、洗浄、及び/又は除去を含む。
本発明の実施形態は、ピットを充填し、欠陥を埋めるために、CVD、PVD、ALD、及び流動性CVDによって、シリコン、酸化ケイ素、及び互換性のある熱膨張係数の関連する膜を堆積するための様々な確立された技術を使用する。いったん堆積されると、膜表面は、更なる多層スタックの堆積用に十分平滑かつ平坦とすることができ、又はその後、CMP、アニーリング、又はイオンビーム研磨を含む多様な確立された平滑化又は研磨技術を更に用いて、平滑化することができる。
ここで、図1を参照すると、統合化された極端紫外線(EUV)マスク製造システム100がここに図示される。統合化EUVマスク製造システム100は、内部でマスクブランク104がロードされるマスクブランクローディング・キャリアハンドリングシステム102を含む。エアロック106は、ウェハハンドリング真空チャンバ108へのアクセスを提供する。図示の実施形態では、ウェハハンドリング真空チャンバ108は、2つの真空チャンバ(第1真空チャンバ110と第2真空チャンバ112)を含む。第1ウェハハンドリングシステム114は、第1真空チャンバ110内にあり、第2ウェハハンドリングシステム116は、第2真空チャンバ112内にある。
ウェハハンドリング真空チャンバ108は、様々な他のシステムの取り付け用に、その周囲に複数のポートを有する。第1真空チャンバ110は、脱ガスシステム118、第1物理蒸着システム120、第2物理蒸着システム122、及び前洗浄システム124を有する。
第2真空チャンバ112は、それに接続された第1マルチカソード源126、流動性化学蒸着(FCVD)システム128、補修(キュア)システム130、及び第2マルチカソード源132を有する。
第1ウェハハンドリングシステム114は、エアロック106及び第1真空チャンバ110の周囲の様々なシステム間で、連続真空内でスリットバルブを介して、ウェハ(例えば、ウェハ134)を移動させることができる。第2ウェハハンドリングシステム116は、連続的な真空内にウェハを維持しながら、第2真空チャンバ112の周囲に、ウェハ(例えば、ウェハ136)を移動させることができる。
ここで図2を参照すると、本発明の一実施形態に係るEUVマスクブランク200がここに図示される。EUVマスクブランク200は、ガラス、シリコン、又は他の超低熱膨張材料からできた超低熱膨張ガラス基板202である。超低熱膨張材料は、溶融シリカ、溶融石英、フッ化カルシウム、炭化ケイ素、酸化ケイ素−酸化チタン合金、又はこれらの材料の範囲内の熱膨張係数を有する他の材料を含む。
超低膨張基板202の上面は、研磨剤を用いた化学的機械研磨(CMP)から生じる欠陥203(例えば、バンプ、ピット、及び粒子)を有する。このようなプロセス内で残された傷は、しばしば「ピット」及び/又は「スクラッチディグ」マークと呼ばれ、それらの深さと幅は、EUVマスクブランク200を研磨するために使用される研磨剤中の粒子のサイズに依存する。
EUVマスクブランク200内の欠陥は、平坦化層204の堆積によって除去できることが発見された。平坦化層204又は流動性膜は、流動性CVD膜を堆積させる、又は超低膨張基板202の上に、CVD、PVD、又は同様のプロセスによって、シリコン、酸化ケイ素、又は関連する膜を堆積させることによって形成することができる。この工程は、超低膨張基板202上にある粒子及び他の欠陥を埋める。
流動性CVD膜の場合には、EUVマスクブランク200用に超低膨張基板202上に許容できる平滑で平坦な表面を達成するために、更なる処理は必要とされなくてもよい。シリコン、酸化ケイ素、又は関連する膜に対しては、堆積後の平滑化が必要となる場合がある。この平滑化は、CMP、化学研磨、イオンビーム研磨、又はアニーリングを含むがこれらに限定されない種々の研磨方法により行うことができる。これらの平滑化技術はまた、更なる平滑化が必要とされる場合に、流動性CVD膜に適用することもできる。
多層スタック206は、ブラッグ反射器を形成するように、平坦化層204の上方に形成される。EUVで使用される光学系の透過性及び照明波長に起因して、反射光学系が使用され、多層スタック206は、高Z材料と低Z材料(例えば、モリブデンとシリコン)の交互の層から作ることができ、これらは反射器を形成する。
キャッピング層208は、多層スタック206の上方に形成される。キャッピング層は、ルテニウム(Ru)又はその非酸化化合物などの材料とすることができ、これによって多層スタック206を酸化、及びマスク処理中にEUVマスクブランク200を曝露させる可能性のある任意の化学エッチャントから保護するのを助ける。他の材料(例えば、窒化チタン、炭化ホウ素、窒化ケイ素、酸化ルテニウム、及び炭化ケイ素)もまた、キャッピング層208内で使用することができる。
吸収体層210は、キャッピング層208の上に配置される。吸収体層210は、EUV光の特定の周波数(約13.5nm)に対して高い吸収係数を有する材料でできており、クロム、タンタル、又はそれらの窒化物などの材料とすることができる。
反射防止コーティング(ARC)212は、吸収体層210上に堆積される。ARC212は、酸窒化タンタル又はタンタルホウ素酸化物などの材料とすることができる。
裏面チャッキング層214は、静電チャック(図示せず)上に又は静電チャックによって基板をチャッキングするために、超低膨張基板202の裏面上に形成される。
ここで、図3を参照すると、製造の中間段階でEUVマスクブランク200がここに図示される。
多層スタック206の堆積中に、金属層300は、合金化させることができる。合金化された堆積302は、金属層300が多結晶層として堆積されるのを防止できることが発見された。その代わりに、金属層300は、アモルファス金属層300として維持することができる。
例えば、合金化された堆積302は、第1のマルチカソード源126がホウ素合金化モリブデンターゲットであり、第2のマルチカソード源132がシリコンターゲットである、図1の第2の真空チャンバ112内で実行することができる。第1のマルチカソード源126及び第2のマルチカソード源132は、基板202を受けるように適合された回転台に対して傾斜している。ホウ素の合金化は、モリブデンをアモルファス状態に維持する。金属層300内にアモルファス層を維持することによって、多層スタック206の全体的な粗さは、アモルファス状態のモリブデンがない場合よりもフレアのより少ないより良好なEUV反射率を有することにより改善される。
別の方法では、ガス304(例えば、窒素)をスパッタガスに添加し、これによって堆積されたモリブデンの構造を破壊し、また金属層300中にアモルファス相を形成することができることが発見された。
ここで図4を参照すると、製造の中間段階におけるEUVマスクブランク200がここに図示される。EUVマスクブランク200は、処理システム(例えば、冷却通路406を有する静電チャック404)上に保持される。静電チャック404は、基板202を受けるように適合された回転台である。
イオンビーム、プラズマ、又はマグネトロンスパッタリングツール132は、基板上に多層スタックを堆積させるために使用される。シリコン層は、アモルファス層として堆積され、一方、モリブデン層は、多結晶である。この形態は、モリブデン層のより高い表面粗さを生じさせ、それはその後、スタックを通って伝播する。膜スタックの要求される平滑性を達成するために、イオンビーム研磨が時々用いられ、これによって粗さを減少させる。これは、生産性の要件を満たしていない面倒なプロセスフローである。
B−合金化は、CoFe層が多結晶層として堆積するのを防止できることが磁気RAM(MRAM)に示されている。B−合金化層はまた、より低い粗さを示す。
同じ概念が、合金化モリブデンターゲットを用いることによって、モリブデン堆積に適用できることが見出された。モリブデン層内にアモルファス相を維持することによって、多層スタックの全体の粗さが向上し、より少ないフレアを有するより良好なEUV反射率をもたらす。そのような合金化材料の例は、ホウ素と炭素である。別の可能性は、スパッタガスに少量の窒素を添加し、これによって堆積されたモリブデンの構造を破壊することである。
モリブデン層内の粒成長を抑制するために使用することができることが見出されている別の技術は、基板を低い温度に冷却することである。これは、堆積前に基板を予冷し、水又はガス冷却を使用して、堆積プロセス中に基板を積極的に冷却することによって達成することができる。
モリブデン層内にアモルファス相を維持することによって、多層スタックの全体的な粗さは改善され、より少ないフレアを有するより良好なEUV反射率につながる。そのような合金化の例は、ホウ素である。別の可能性は、スパッタガスに少量の窒素を添加し、これによって堆積されたモリブデン層上の構造を破壊することである。
モリブデン層内の粒成長を抑制するために使用することができる別の技術は、基板を低い温度に冷却することである。これは、堆積前に基板を予冷し、堆積プロセス中に基板を積極的に冷却することによって達成することができる。
得られた方法及びシステムは、分かりやすく、費用対効果が高く、複雑でなく、汎用性が高く、効果的であり、既知の技術を適応させることによって、驚くべきことに、自明なことではなく、実現することができるので、EUVマスクブランクを効率的かつ経済的に製造するのに直ちに適している。
この方法の利点は、基板とは独立しているので、それは、多様な基板及び様々な品質の基板上で使用することができることである。それは、EUVブランクに必要な特性を有するが、研磨後に原子レベルで平坦で滑らかな表面を有していないガラス基板を使用することを可能にする潜在力を有する。この独立性は、異なる基板サプライヤーを使用することを可能にし、サプライヤーによる基板の準備及び研磨への予想外の変更の影響を最小限に抑えることができる。
本発明の実施形態は、EUVマスクブランク用の原子レベルで平坦で、低欠陥で、平滑な表面を提供する。しかしながら、本発明の実施形態は、他の種類の(例えば、ミラー用の)ブランクを製造するためにも使用することができる。本発明の実施形態は、ガラス基板上にEUVミラーを形成するために使用することができる。更に、本発明の実施形態は、UV、DUV、電子ビーム、可視光、赤外線、イオンビーム、X線、及び半導体リソグラフィの他のタイプで使用される、原子レベルで平坦で、低欠陥で、平滑な、他の表面構造に適用することができる。本発明の実施形態はまた、ウェハスケールからデバイスレベルまで、更には大面積ディスプレイ及び太陽電池用途までを範囲とすることができる様々なサイズの構造内で使用することができる。
本発明のもう一つの重要な側面は、コストを削減し、システムを簡素化し、パフォーマンスを向上させるという歴史的傾向を有益に支持し、提供することである。
本発明のこれらの及び他の有益な側面は、その結果、技術の状態を少なくとも次のレベルに更に進める。
アモルファス相は、多層スタック206の堆積中に超低膨張基板202を冷却することにより、金属層400内に形成可能であることが発見された。多層スタック206の冷却は、モリブデン層中で粒成長を抑制する。この粒成長は、超低膨張基板202を予冷し、水を使用して堆積プロセス中に超低膨張基板202を積極的に冷却することによって抑制される。
ここで、図5を参照すると、EUVリソグラフィシステム用の光学トレイン500がここに図示される。光学トレイン500は、EUV光を生成し、それをコレクタ504内に収集するためのプラズマ源502を有する。コレクタ504は、照明システム506の一部であるフィールドファセットミラー508に光を提供し、照明システム506は、瞳ファセットミラー510を更に含む。照明システム506は、(図1のマスクブランク104の完全に処理されたバージョンである)レチクル512にEUV光を提供し、レチクル512は、投影光学系514を介してウェハ516上にEUV光を反射する。
ここで、図6を参照すると、EUVリソグラフィシステム600がここに図示される。EUVリソグラフィシステム600は、光学トレイン500の付属物として、EUV光源領域602、レチクルステージ604、及びウェハステージ606を含む。レチクルステージ604は、図1のマスクブランク104の完全に処理されたバージョンである図5のレチクル512を支持する。
EUVミラー508及びEUVマスクブランク104は、EUVリソグラフィシステム600がダイ及びウェハ上の超微細化集積回路の製造に直面する障害の多くを克服可能にすることが見出された。
本発明は、特定の最良の態様に関連して説明されてきたが、多くの代替、修正、及び変形が前述の説明に照らして当業者には明らかとなるであろうことが理解されるべきである。したがって、付属の特許請求の範囲内に入るそのような代替、修正、及び変形のすべてを包含することが意図される。本明細書に記載又は添付の図面に図示されるすべての事項は、例示的かつ非限定的な意味で解釈されるべきである。

Claims (26)

  1. 真空中に基板を配置するためのウェハハンドリング真空チャンバと、
    第1真空チャンバ及び第2真空チャンバであって、前記第2真空チャンバは、前記真空から前記基板を取り出すことなく、極端紫外線を反射する多層スタックを堆積させるための堆積システムである第1真空チャンバ及び第2真空チャンバと、
    前記多層スタックの上で層を処理し、アモルファス金属層を形成するための処理システムとを含む統合化極端紫外線ブランク生産システム。
  2. 前記処理システムは、前記アモルファス金属層の合金化された堆積を含む、請求項1記載のシステム。
  3. 前記処理システムは、前記アモルファス金属層の結晶構造を破壊するためにガスを供給する、請求項1記載のシステム。
  4. 前記処理システムは、前記アモルファス金属層の粒成長を抑制するために前記多層スタックを冷却する、請求項1記載のシステム。
  5. 前記堆積システムは、前記多層スタックをスパッタリングするためのマグネトロンを含む、請求項1記載のシステム。
  6. 極端紫外線ミラーを形成するために追加の層を堆積させるための第2の堆積システムを含む、請求項1記載のシステム。
  7. 基板と、
    前記基板上のアモルファス金属層を有する多層スタックであって、極端紫外線を反射する多層スタックと、
    前記多層スタック上のキャッピング層と、
    極端紫外線を吸収する吸収体層であって、前記キャッピング層上にある吸収体層とを含み、
    前記アモルファス金属層は、合金化されたアモルファス金属層である極端紫外線ブランク。
  8. 前記アモルファス金属層は、ホウ素、窒素、又は炭素と合金化される、請求項7記載のブランク。
  9. 前記アモルファス金属層は、アモルファスモリブデンである、請求項7記載のブランク。
  10. 前記アモルファス金属層は、破壊された結晶構造を有する、請求項7記載のブランク。
  11. 前記アモルファス金属層は、抑制された粒成長を有する、請求項7記載のブランク。
  12. 前記多層スタックは、極端紫外線マスクブランクを形成する、請求項7記載のブランク。
  13. 前記多層スタックは、極端紫外線ミラーを形成する、請求項7記載のブランク。
  14. 前記基板は、超低熱膨張材料である、請求項7記載のブランク。
  15. 前記基板は、ガラスである、請求項7記載のブランク。
  16. 極端紫外線ブランクを作る方法であって、
    基板を提供する工程と、
    前記基板上にアモルファス金属層を有する多層スタックを形成する工程であって、前記多層スタックは極端紫外線を反射するものである工程と、
    前記多層スタック上にキャッピング層を形成する工程と、
    極端紫外線を吸収する吸収体層であって前記キャッピング層上にある吸収体層を形成する工程を含み、
    前記アモルファス金属層を有する前記多層スタックを形成する工程は、合金化されたアモルファス金属層を形成する方法。
  17. 前記アモルファス金属層を有する前記多層スタックを形成する工程は、合金を有する金属をスパッタリングすることによって前記アモルファス金属層を堆積する、請求項16記載の方法。
  18. 前記アモルファス金属層を有する前記多層スタックを形成する工程は、前記基板を冷却しながらスパッタリングすることによって前記アモルファス金属層を堆積する、請求項16記載の方法。
  19. 前記アモルファス金属層を有する前記多層スタックを形成する工程は、ホウ素、窒素、又は炭素と合金化された前記アモルファス金属層を形成する、請求項16記載の方法。
  20. 前記アモルファス金属層を有する前記多層スタックを形成する工程は、アモルファスモリブデンの前記アモルファス金属層を形成する、請求項16記載の方法。
  21. 前記アモルファス金属層を有する前記多層スタックを形成する工程は、破壊された結晶構造の前記アモルファス金属層を形成する、請求項16記載の方法。
  22. 前記アモルファス金属層を有する前記多層スタックを形成する工程は、抑制された粒成長を有する前記アモルファス金属層を形成する、請求項16記載の方法。
  23. 前記多層スタックを形成する工程は、極端紫外線マスクブランクを形成する、請求項16記載の方法。
  24. 前記多層スタックを形成する工程は、極端紫外線ミラーを形成する、請求項16記載の方法。
  25. 前記基板を提供する工程は、超低熱膨張材料の基板を提供する、請求項16記載の方法。
  26. 前記基板を提供する工程は、ガラスの基板を提供する、請求項16記載の方法。
JP2016501750A 2013-03-12 2014-03-12 アモルファス層極端紫外線リソグラフィブランク及びそのための製造・リソグラフィシステム Active JP6599846B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361778351P 2013-03-12 2013-03-12
US61/778,351 2013-03-12
US14/139,371 US9612521B2 (en) 2013-03-12 2013-12-23 Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US14/139,371 2013-12-23
PCT/US2014/025116 WO2014165298A1 (en) 2013-03-12 2014-03-12 Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor

Publications (2)

Publication Number Publication Date
JP2016519329A JP2016519329A (ja) 2016-06-30
JP6599846B2 true JP6599846B2 (ja) 2019-10-30

Family

ID=51525875

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016501750A Active JP6599846B2 (ja) 2013-03-12 2014-03-12 アモルファス層極端紫外線リソグラフィブランク及びそのための製造・リソグラフィシステム

Country Status (7)

Country Link
US (2) US9612521B2 (ja)
JP (1) JP6599846B2 (ja)
KR (1) KR102207245B1 (ja)
CN (1) CN105009255B (ja)
SG (2) SG11201506468PA (ja)
TW (1) TWI609231B (ja)
WO (1) WO2014165298A1 (ja)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
WO2015095808A1 (en) * 2013-12-22 2015-06-25 Applied Materials, Inc. Extreme ultraviolet lithography system having chuck assembly and method of manufacturing thereof
US9690016B2 (en) 2014-07-11 2017-06-27 Applied Materials, Inc. Extreme ultraviolet reflective element with amorphous layers and method of manufacturing thereof
US9581890B2 (en) 2014-07-11 2017-02-28 Applied Materials, Inc. Extreme ultraviolet reflective element with multilayer stack and method of manufacturing thereof
US9739913B2 (en) 2014-07-11 2017-08-22 Applied Materials, Inc. Extreme ultraviolet capping layer and method of manufacturing and lithography thereof
US10459352B2 (en) 2015-08-31 2019-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Mask cleaning
US10256132B2 (en) * 2016-04-28 2019-04-09 Varian Semiconductor Equipment Associates, Inc. Reticle processing system
US11143951B2 (en) * 2018-04-30 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle for an EUV lithography mask and a method of manufacturing thereof
TWI821300B (zh) * 2018-06-19 2023-11-11 美商應用材料股份有限公司 具有護罩座的沉積系統
JP7288782B2 (ja) * 2019-03-27 2023-06-08 Hoya株式会社 多層反射膜付き基板、反射型マスクブランク及び反射型マスク、並びに半導体装置の製造方法
JP7401356B2 (ja) 2019-03-27 2023-12-19 Hoya株式会社 多層反射膜付き基板、反射型マスクブランク及び反射型マスク、並びに半導体装置の製造方法
KR20220065763A (ko) 2019-09-26 2022-05-20 호야 가부시키가이샤 다층 반사막 부착 기판, 반사형 마스크 블랭크, 반사형 마스크 및 반도체 장치의 제조 방법
US11442356B2 (en) 2020-05-11 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask with an amorphous capping layer

Family Cites Families (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8921666D0 (en) 1989-09-26 1989-11-08 Peatgrange Ivd Limited Ion vapour deposition apparatus and method
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
JP2850580B2 (ja) * 1991-07-16 1999-01-27 日本電気株式会社 薄膜の製造方法
JPH06112009A (ja) * 1992-09-28 1994-04-22 Ulvac Japan Ltd 高抵抗膜および高抵抗膜の製造方法
JP3281173B2 (ja) * 1993-03-15 2002-05-13 健 増本 高硬度薄膜及びその製造方法
US5645646A (en) 1994-02-25 1997-07-08 Applied Materials, Inc. Susceptor for deposition apparatus
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6096100A (en) 1997-12-12 2000-08-01 Texas Instruments Incorporated Method for processing wafers and cleaning wafer-handling implements
US6010916A (en) 1997-12-05 2000-01-04 Advanced Micro Devices, Inc. Method for improving semiconductor wafer processing
US6142641A (en) 1998-06-18 2000-11-07 Ultratech Stepper, Inc. Four-mirror extreme ultraviolet (EUV) lithography projection system
US6749814B1 (en) 1999-03-03 2004-06-15 Symyx Technologies, Inc. Chemical processing microsystems comprising parallel flow microreactors and methods for using same
US6319635B1 (en) * 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
WO2002020864A2 (en) 2000-06-16 2002-03-14 Applied Materials, Inc. System and method for depositing high dielectric constant materials and compatible conductive materials
JP2002090978A (ja) 2000-09-12 2002-03-27 Hoya Corp 位相シフトマスクブランクの製造方法、及び位相シフトマスクブランクの製造装置
US6673524B2 (en) * 2000-11-17 2004-01-06 Kouros Ghandehari Attenuating extreme ultraviolet (EUV) phase-shifting mask fabrication method
JP3939132B2 (ja) 2000-11-22 2007-07-04 Hoya株式会社 多層膜付き基板、露光用反射型マスクブランク、露光用反射型マスクおよびその製造方法、並びに半導体の製造方法
US6656643B2 (en) 2001-02-20 2003-12-02 Chartered Semiconductor Manufacturing Ltd. Method of extreme ultraviolet mask engineering
CN1545568A (zh) * 2001-02-20 2004-11-10 霍尼韦尔国际公司 特定拓扑结构的溅射靶
US6840616B2 (en) 2001-03-29 2005-01-11 Scott Summers Air folder adjuster apparatus and method
US6396900B1 (en) * 2001-05-01 2002-05-28 The Regents Of The University Of California Multilayer films with sharp, stable interfaces for use in EUV and soft X-ray application
US20030008148A1 (en) 2001-07-03 2003-01-09 Sasa Bajt Optimized capping layers for EUV multilayers
JP2003133205A (ja) * 2001-10-24 2003-05-09 Oki Electric Ind Co Ltd 反射型マスク、反射型マスク製造方法及び反射型マスク洗浄方法
JP4009102B2 (ja) * 2001-12-19 2007-11-14 独立行政法人科学技術振興機構 半導体特性を示すアモルファス鉄シリサイド膜とその作製方法
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6734117B2 (en) 2002-03-12 2004-05-11 Nikon Corporation Periodic clamping method and apparatus to reduce thermal stress in a wafer
KR20070086692A (ko) * 2002-04-11 2007-08-27 호야 가부시키가이샤 반사형 마스크 블랭크, 반사형 마스크 및 이들의 제조방법
US6835503B2 (en) 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
US6806006B2 (en) 2002-07-15 2004-10-19 International Business Machines Corporation Integrated cooling substrate for extreme ultraviolet reticle
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US20040091618A1 (en) 2002-11-08 2004-05-13 Park Han-Su Photoresist depositon apparatus and method for forming photoresist film using the same
DE10302342A1 (de) 2003-01-17 2004-08-05 Schott Glas Substrat für die EUV-Mikrolithographie und Herstellverfahren hierfür
US6908713B2 (en) 2003-02-05 2005-06-21 Intel Corporation EUV mask blank defect mitigation
JP3683261B2 (ja) * 2003-03-03 2005-08-17 Hoya株式会社 擬似欠陥を有する反射型マスクブランクス及びその製造方法、擬似欠陥を有する反射型マスク及びその製造方法、並びに擬似欠陥を有する反射型マスクブランクス又は反射型マスクの製造用基板
US7026076B2 (en) * 2003-03-03 2006-04-11 Freescale Semiconductor, Inc. Method of patterning photoresist on a wafer using a reflective mask with a multi-layer ARC
DE10317792A1 (de) * 2003-04-16 2004-11-11 Schott Glas Maskenrohling zur Verwendung in der EUV-Lithographie und Verfahren zu dessen Herstellung
SG115693A1 (en) 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
US7001788B2 (en) 2003-05-29 2006-02-21 Applied Materials, Inc. Maskless fabrication of waveguide mirrors
KR100520222B1 (ko) 2003-06-23 2005-10-11 삼성전자주식회사 반도체 소자에서의 듀얼 게이트 산화막 구조 및 그에 따른형성방법
US7326502B2 (en) 2003-09-18 2008-02-05 Intel Corporation Multilayer coatings for EUV mask substrates
KR100680405B1 (ko) 2003-11-19 2007-02-08 주식회사 하이닉스반도체 Euv용 포토레지스트 조성물 및 이를 이용한포토레지스트 패턴 형성 방법
US7005227B2 (en) 2004-01-21 2006-02-28 Intel Corporation One component EUV photoresist
US7193228B2 (en) * 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
JP4542807B2 (ja) 2004-03-31 2010-09-15 東京エレクトロン株式会社 成膜方法および成膜装置、ならびにゲート絶縁膜の形成方法
US7198872B2 (en) 2004-05-25 2007-04-03 International Business Machines Corporation Light scattering EUVL mask
WO2006030627A1 (ja) * 2004-09-17 2006-03-23 Asahi Glass Company, Limited Euvリソグラフィ用反射型マスクブランクスおよびその製造方法
US8293430B2 (en) 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7336416B2 (en) * 2005-04-27 2008-02-26 Asml Netherlands B.V. Spectral purity filter for multi-layer mirror, lithographic apparatus including such multi-layer mirror, method for enlarging the ratio of desired radiation and undesired radiation, and device manufacturing method
US20060275547A1 (en) 2005-06-01 2006-12-07 Lee Chung J Vapor Phase Deposition System and Method
TWI295816B (en) * 2005-07-19 2008-04-11 Applied Materials Inc Hybrid pvd-cvd system
US7432201B2 (en) * 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
JP4703354B2 (ja) * 2005-10-14 2011-06-15 Hoya株式会社 多層反射膜付き基板、その製造方法、反射型マスクブランクおよび反射型マスク
DE102005052000B3 (de) 2005-10-31 2007-07-05 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
US7678511B2 (en) 2006-01-12 2010-03-16 Asahi Glass Company, Limited Reflective-type mask blank for EUV lithography
US7712333B2 (en) 2006-03-29 2010-05-11 Asahi Glass Company, Limited Method for smoothing a surface of a glass substrate for a reflective mask blank used in EUV lithography
US7736820B2 (en) 2006-05-05 2010-06-15 Asml Netherlands B.V. Anti-reflection coating for an EUV mask
KR20070114025A (ko) * 2006-05-25 2007-11-29 주식회사 에스앤에스텍 블랭크 마스크 및 블랭크 마스크 제조 방법
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
JP4801550B2 (ja) 2006-09-26 2011-10-26 富士通株式会社 レジスト組成物、レジストパターンの形成方法、及び半導体装置の製造方法
US7892719B2 (en) 2006-11-03 2011-02-22 Intel Corporation Photonic crystal EUV photoresists
WO2008065821A1 (fr) * 2006-11-27 2008-06-05 Nikon Corporation Elément optique, unité d'exposition associée et procédé de production du dispositif
WO2008084680A1 (ja) * 2006-12-27 2008-07-17 Asahi Glass Company, Limited Euvリソグラフィ用反射型マスクブランク
TWI427334B (zh) * 2007-02-05 2014-02-21 Zeiss Carl Smt Gmbh Euv蝕刻裝置反射光學元件
US20090278233A1 (en) 2007-07-26 2009-11-12 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
WO2009031232A1 (ja) 2007-09-07 2009-03-12 Canon Anelva Corporation スパッタリング方法および装置
JP5039495B2 (ja) 2007-10-04 2012-10-03 ルネサスエレクトロニクス株式会社 マスクブランク検査方法、反射型露光マスクの製造方法、反射型露光方法および半導体集積回路の製造方法
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US20090176367A1 (en) 2008-01-08 2009-07-09 Heidi Baks OPTIMIZED SiCN CAPPING LAYER
KR101726553B1 (ko) * 2008-03-31 2017-04-12 호야 가부시키가이샤 포토마스크 블랭크, 포토마스크 및 포토마스크 블랭크의 제조 방법
DE102008042212A1 (de) * 2008-09-19 2010-04-01 Carl Zeiss Smt Ag Reflektives optisches Element und Verfahren zu seiner Herstellung
WO2011073157A1 (en) * 2009-12-15 2011-06-23 Carl Zeiss Smt Gmbh Reflective optical element for euv lithography
JP5803919B2 (ja) * 2010-07-27 2015-11-04 旭硝子株式会社 Euvリソグラフィ用反射層付基板、およびeuvリソグラフィ用反射型マスクブランク
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
KR20120042169A (ko) 2010-10-22 2012-05-03 삼성모바일디스플레이주식회사 액정 표시 장치 및 그 제조 방법
JP6013720B2 (ja) 2010-11-22 2016-10-25 芝浦メカトロニクス株式会社 反射型マスクの製造方法、および反射型マスクの製造装置
US8475977B2 (en) 2010-12-02 2013-07-02 Intermolecular, Inc Protective cap for extreme ultraviolet lithography masks
US8562794B2 (en) 2010-12-14 2013-10-22 Asahi Glass Company, Limited Process for producing reflective mask blank for EUV lithography and process for producing substrate with functional film for the mask blank
NL2007768A (en) 2010-12-14 2012-06-18 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
WO2012124506A1 (ja) 2011-03-14 2012-09-20 富士電機株式会社 酸化物基板およびその製造方法
NL2009487A (en) 2011-10-14 2013-04-16 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US8691476B2 (en) * 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
US9051649B2 (en) 2013-03-11 2015-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film deposition apparatus and method with improved heater cooling efficiency

Also Published As

Publication number Publication date
US20140268081A1 (en) 2014-09-18
CN105009255A (zh) 2015-10-28
US9612521B2 (en) 2017-04-04
US20170168383A1 (en) 2017-06-15
TWI609231B (zh) 2017-12-21
CN105009255B (zh) 2017-11-03
TW201447471A (zh) 2014-12-16
JP2016519329A (ja) 2016-06-30
KR102207245B1 (ko) 2021-01-25
SG10201707331UA (en) 2017-10-30
WO2014165298A1 (en) 2014-10-09
SG11201506468PA (en) 2015-09-29
KR20150130371A (ko) 2015-11-23

Similar Documents

Publication Publication Date Title
JP6599846B2 (ja) アモルファス層極端紫外線リソグラフィブランク及びそのための製造・リソグラフィシステム
JP7285682B2 (ja) 平坦化された極端紫外線リソグラフィブランク及びそのための製造及びリソグラフィシステム
JP6420310B2 (ja) 超平滑層紫外線リソグラフィミラー及びブランク、及びそのための製造及びリソグラフィシステム
US11493841B2 (en) Glass ceramic for ultraviolet lithography and method of manufacturing thereof
TWI623054B (zh) 極限紫外線微影遮罩坯料製造系統及用於該製造系統之操作方法
JP2017525998A (ja) 吸収体を有する、平坦化された極紫外線リソグラフィブランク及びその製造システム

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170303

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20171213

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180109

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180406

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180607

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180704

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180807

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20181106

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190107

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190207

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190305

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190605

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190802

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190830

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190917

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20191003

R150 Certificate of patent or registration of utility model

Ref document number: 6599846

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250