JP2014507073A - 遠隔励起式のフッ素および水蒸気エッチング - Google Patents

遠隔励起式のフッ素および水蒸気エッチング Download PDF

Info

Publication number
JP2014507073A
JP2014507073A JP2013554467A JP2013554467A JP2014507073A JP 2014507073 A JP2014507073 A JP 2014507073A JP 2013554467 A JP2013554467 A JP 2013554467A JP 2013554467 A JP2013554467 A JP 2013554467A JP 2014507073 A JP2014507073 A JP 2014507073A
Authority
JP
Japan
Prior art keywords
region
substrate processing
plasma
silicon oxide
fluorine
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013554467A
Other languages
English (en)
Other versions
JP2014507073A5 (ja
JP5844390B2 (ja
Inventor
チンチュン チャン,
アンチョアン ワン,
ニティン ケー. イングル,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2014507073A publication Critical patent/JP2014507073A/ja
Publication of JP2014507073A5 publication Critical patent/JP2014507073A5/ja
Application granted granted Critical
Publication of JP5844390B2 publication Critical patent/JP5844390B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • H01L28/91Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions made by depositing layers, e.g. by depositing alternating conductive and insulating layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

パターン付きの不均質な構造上の露出された酸化ケイ素をエッチングする方法が記載されており、この方法は、フッ素含有前駆体から形成される遠隔プラズマエッチングを含む。遠隔プラズマからのプラズマ廃水が基板処理領域内へ流れ込み、そこでプラズマ廃水は水蒸気と混ざり合う。こうして混ざり合うことに起因する化学反応によって反応物質が生じ、この反応物質は、パターン付きの不均質な構造をエッチングして、実施形態では、ほとんど変形しない薄い残留構造を生じさせる。これらの方法を使用して、シリコン、ポリシリコン、窒化ケイ素、チタン、または窒化チタンをほとんどまたはまったく除去することなく、酸化ケイ素を共形にトリミングすることができる。例示的な実施形態では、本明細書に記載のエッチング処理は、薄い円筒形の導電構造の周りのモールド酸化物を除去するが、円筒形の構造をあまり変形させないことが分かった。

Description

関連出願の相互参照
本願は、2011年9月14日出願の「REMOTELY−EXCITED FLUORINE AND WATER VAPOR ETCH」という名称の米国特許出願第13/232,079号のPCT出願であり、2011年2月22日出願の「REMOTELY−EXCITED−FLUORINE AND WATER ETCH」という名称の米国仮特許出願第61/445,295号に関し、その利益を主張する。両願は、あらゆる目的で全体として参照により本明細書に組み込まれている。
集積回路は、基板表面上に複雑なパターン付きの材料層を作る処理によって可能になる。基板上にパターン付きの材料を作るには、露出された材料を除去するための制御された方法が必要である。フォトレジスト内のパターンを下層内へ転写すること、層を薄くすること、または表面上にすでに存在する特徴の横方向の寸法を薄くすることを含む様々な目的で、化学エッチングが使用される。多くの場合、ある材料を別の材料より速くエッチングするエッチング処理を有することが望ましく、それによってたとえば、パターン転写処理の進行を助ける。そのようなエッチング処理は、第1の材料に対して選択的であると考えられる。材料、回路、および処理の多様性の結果、様々な材料に対して選択性を有するエッチング処理が開発されてきた。
湿式HFエッチングは、他の誘電体および半導体に比べて酸化ケイ素を優先的に除去する。しかし、湿式処理では、いくつかの抑制されたトレンチに浸透することができず、残りの材料を変形させることがある。局所的なプラズマ(基板処理領域内のプラズマ)内で行われるドライエッチングは、より抑制されたトレンチに浸透することができ、損傷を受けやすい残りの構造はほとんど変形しない。しかし、局所的なプラズマは、放電する際に電気アークを生じることによって基板を損傷する恐れがある。
Siconi(商標)エッチングは、H、NF、およびNHのプラズマ副生成物への基板の同時露出を伴う遠隔プラズマ支援型のドライエッチング処理である。水素およびフッ素種の遠隔プラズマ励起により、プラズマ損傷のない基板処理を行うことができる。Siconi(商標)エッチングはほぼ共形であり、酸化ケイ素層に対して選択的であるが、シリコンは、そのシリコンがアモルファスであるか、結晶性であるか、それとも多結晶であるかにかかわらず、容易にエッチングされない。窒化ケイ素は通常、シリコンと酸化ケイ素との間の速度でエッチングされるが、窒化ケイ素に優先する酸化ケイ素の選択性は通常、シリコンに優先する酸化ケイ素の選択性ほど明白でない。この選択性は、浅いトレンチ隔離(STI)および層間誘電体(ILD)の凹部形成などの適用分野に対して利点を提供する。Siconi(商標)処理は、基板材料が除去されるときに基板の表面上に成長する固体の副生成物を生じさせる。その後、固体の副生成物は、基板の温度が上昇したときに昇華を介して除去される。固体の副生成物が生じた結果、Siconi(商標)エッチング処理は、損傷を受けやすい残りの構造も変形させる可能性がある。
パターン付きの基板上の損傷を受けやすい構造を崩すことなく酸化ケイ素を選択的に除去する方法が必要とされている。
パターン付きの不均質な構造上の露出された酸化ケイ素をエッチングする方法が記載されており、この方法は、フッ素含有前駆体から形成される遠隔プラズマエッチングを含む。遠隔プラズマからのプラズマ廃水が基板処理領域内へ流れ込み、そこでプラズマ廃水は水蒸気と混ざり合う。こうして混ざり合うことに起因する化学反応によって反応物質が生じ、この反応物質は、パターン付きの不均質な構造をエッチングして、実施形態では、ほとんど変形しない薄い残留構造を生じさせる。これらの方法を使用して、シリコン、ポリシリコン、窒化ケイ素、チタン、または窒化チタンをほとんどまたはまったく除去することなく、酸化ケイ素を共形にトリミングすることができる。例示的な実施形態では、本明細書に記載のエッチング処理は、薄い円筒形の導電構造の周りのモールド酸化物を除去するが、円筒形の構造をあまり変形させないことが分かった。
本発明の実施形態は、基板処理チャンバの基板処理領域内でパターン付きの基板をエッチングする方法を含む。パターン付きの基板は、露出された酸化ケイ素領域を有する。これらの方法は、遠隔プラズマ領域内で遠隔プラズマを形成しながら、基板処理領域に流動的に結合された遠隔プラズマ領域内へフッ素含有前駆体を流し込み、プラズマ廃水を生じさせることを含む。これらの方法は、最初に遠隔プラズマ領域に水蒸気を通さずに、基板処理領域内へ水蒸気を流し込むことをさらに含む。これらの方法は、基板処理領域内へプラズマ廃水を流し込むことによって、露出された酸化ケイ素領域をエッチングすることをさらに含む。
追加の実施形態および特徴について、一部は以下の説明で述べるが、一部は本明細書の検討時に当業者には明らかになり、または開示の実施形態の実施から学習することができる。開示の実施形態のこれらの特徴および利点は、本明細書に記載する手段、組合せ、および方法を用いて実現および達成することができる。
開示の実施形態の本質および利点のさらなる理解は、本明細書の残り部分および図面を参照することによってなされ得る。
開示の実施形態による酸化ケイ素の選択的エッチング処理の流れ図である。 開示の実施形態による酸化ケイ素の選択的エッチング処理に関する基板温度およびチャンバ圧力に対するエッチング速度の依存性の図である。 開示の実施形態による酸化ケイ素の選択的エッチング処理に関する基板温度およびチャンバ圧力に対するエッチング速度の依存性の図である。 開示の実施形態による酸化ケイ素の選択的エッチング処理に関する窒化ケイ素およびシリコンと比較した酸化ケイ素の選択性の図である。 本発明の実施形態による基板処理チャンバを示す図である。 本発明の実施形態による基板処理チャンバのシャワーヘッドを示す図である。 本発明の実施形態による基板処理システムを示す図である。
添付の図では、類似の構成要素および/または特徴は、同じ参照ラベルを有する可能性がある。さらに、同じタイプの様々な構成要素は、ダッシュ記号付きの参照ラベルおよび類似の構成要素を区別する第2のラベルに従って区別することができる。本明細書で第1の参照ラベルだけが使用される場合、その説明は、第2の参照ラベルにかかわらず、同じ第1の参照ラベルを有する類似の構成要素の任意の1つに適用することができる。
パターン付きの不均質な構造上の露出された酸化ケイ素をエッチングする方法が記載されており、この方法は、フッ素含有前駆体から形成される遠隔プラズマエッチングを含む。遠隔プラズマからのプラズマ廃水が基板処理領域内へ流れ込み、そこでプラズマ廃水は水蒸気と混ざり合う。こうして混ざり合うことに起因する化学反応によって反応物質が生じ、この反応物質は、パターン付きの不均質な構造をエッチングして、実施形態では、ほとんど変形しない薄い残留構造を生じさせる。これらの方法を使用して、シリコン、ポリシリコン、窒化ケイ素、チタン、または窒化チタンをほとんどまたはまったく除去することなく、酸化ケイ素を共形にトリミングすることができる。例示的な実施形態では、本明細書に記載のエッチング処理は、薄い円筒形の導電構造の周りのモールド酸化物を除去するが、円筒形の構造をあまり変形させないことが分かった。
選択的な遠隔の気相エッチング処理では、ともに遠隔プラズマシステム(RPS)を通って反応領域内へ流れ込むアンモニア(NH)の水素源および3フッ化窒素(NF)のフッ素源を使用してきた。アンモニアおよび3フッ化窒素の流量は通常、2つのプロセスガスの成分を効率的に利用するため、水素の原子流量がフッ素の原子流量の約2倍になるように選択される。水素およびフッ素が存在することで、比較的低い基板温度で(NHSiFの固体の副生成物を形成することができる。固体の副生成物は、基板の温度を昇華温度より高く上昇させることによって除去される。遠隔の気相エッチング処理では、たとえばシリコンより酸化物膜をはるかに急速に除去する。しかし、窒化ケイ素と比較すると、従来の選択的な遠隔の気相エッチング処理の選択性は不十分なことがある。本発明者らは、遠隔プラズマ内でフッ素含有前駆体を励起させ、遠隔プラズマシステムを通過しなかった水蒸気とプラズマ廃水を混ぜ合わせることによって、窒化ケイ素に優先する酸化ケイ素の選択性を高めることができることを発見した。
本発明をよりよく理解および認識するために、開示の実施形態による酸化ケイ素の選択的エッチング処理100の流れ図である図1を次に参照されたい。第1の動作の前に、パターン付きの基板内に間隙が形成される。間隙は、窒化チタンカラムの堆積を案内するために使用されるモールド酸化ケイ素で充填される。窒化チタンカラムは、間隙の中心付近に形成され、モールド酸化ケイ素によって取り囲まれる。実施形態では基板を処理領域内へ送出する(動作110)前に、酸化ケイ素と窒化チタンはどちらも露出された領域を有する。
処理領域とは別個のプラズマ領域内への3フッ化窒素の流れが開始される(動作120)。3フッ化窒素を強化または交換するために、他のフッ素源を使用することができる。通常、フッ素含有前駆体がプラズマ領域内へ流れ込み、このフッ素含有前駆体は、原子フッ素、2原子フッ素、3フッ化窒素、4フッ化炭素、フッ化水素、および2フッ化キセノンからなる群から選択される少なくとも1つの前駆体を含む。本明細書では、別個のプラズマ領域を遠隔プラズマ領域と呼ぶことができ、処理チャンバとは異なるモジュール内、または処理チャンバ内の一区画内に位置することができる。次いで、遠隔プラズマ領域内で形成されるプラズマ廃水を基板処理領域内へ流し込む(動作125)。この時点で、気相エッチングには、酸化ケイ素に対する選択性がほとんどないはずであり、有用性は制限されているはずである。しかし、水蒸気を同時に基板処理領域内へ流し込み(動作130)、プラズマ廃水と反応させる。水蒸気は、遠隔プラズマ領域を通過しておらず、したがってプラズマ廃水との相互作用だけによって励起される。
パターン付きの基板は、モールド酸化ケイ素が窒化チタンカラムの周りから除去されるように選択的にエッチングされる(動作135)。窒化チタンカラムの周りの除去された材料は、堀の形状で連続するトレンチを形成する。この反応性の化学種は、基板処理領域から除去され、次いで基板は、処理領域から取り出される(動作145)。
ダイナミックランダムアクセスメモリ(DRAM)のアーキテクチャは、円筒形の導体、たとえば例示的な処理の流れでは窒化チタンカラムに基づいている。このアーキテクチャは、1円筒形状ストレージノード(OCS)と呼ばれてきた。異なる実施形態では、この導電性の円筒は、70nm未満、50nm未満、または40nm未満の直径を有することができる。特に導電性の円筒の直径が小さい場合、その形状は、円筒の形状から大幅にずれることがある。導電カラムは、無数の他の形状に加えて、円筒形、正方形、方形、六角形、または八角形とすることができる。導電カラムは、チタン、窒化チタン、ポリシリコン、タングステン、銅などの様々な導電性材料を含むことができる。DRAMは、容量性ストレージに基づいており、ストレージセルごとのキャパシタンスがより大きいことから利益を得る。キャパシタンスは、円筒(すなわち、カラム)の表面積を選択することだけでなく、後に堆積されるはずの反対側の電極からカラムを分離すること(たとえば、誘電体、次いで金属を堆積するシーケンスによる)によって制御される。この例の窒化チタンのような導電カラムは、モールド酸化ケイ素が除去された後に実質上垂直のままである必要がある。本明細書に提示する選択的な酸化ケイ素エッチングは、このタイプのタスクを実現するのに特に適している。
モールド酸化物を除去するには湿式エッチングが使用されてきたが、互いの方へ傾いた導電カラムを生じさせることが分かった。エッチング前の導電カラムの形状から様々な他のずれが起こる可能性もあり、これらのずれを全体として反りと呼ぶ。たとえば、先端部および基部がエッチング前の位置の比較的近くに留まっている一方、導電カラムの中心は外側へ反ることがある。すべての変形は、DRAMキャパシタセルに対する薄い誘電体層および反対側の電極をさらに堆積させる能力を妨げる可能性がある。フッ素のみを伴う気相エッチング(遠隔または局所的)は、モールド酸化物を除去し、かつパターン付きの基板の他の部分を本質的に崩さないように残すのに必要な選択性をもたない。遠隔のNF/NHエッチングは、所望の選択性の一部、特にシリコンに対する酸化ケイ素の選択性を提供する。しかし、これらの遠隔エッチングは、昇華を介して除去しなければならない固体の残留物を生じさせる。1円筒形状ストレージノード(OCS)のDRAMのようなアーキテクチャは、固体の残留物が生じた結果として変形することが分かった。本明細書に記載の気相エッチングは、固体の残留物を生じさせないが、それでもなお遠隔のNF/NHエッチングのような高い選択性を提供する。
完全に正しいかどうか分からない理論的な機構に特許請求の範囲を束縛しようとするものではないが、可能な機構に関するある程度の議論は有益であろう。遠隔プラズマ領域内へフッ素含有前駆体を供給することによって、ラジカルフッ素前駆体が作られる。本出願人らは、ある濃度のフッ素イオンおよび原子が作られ、基板処理領域内へ供給されると想定する。水蒸気(HO)はフッ素と反応して、HF などの反応性の低い種を作ることができる。この種は、やはり酸化ケイ素を容易に除去するが、シリコンおよび窒化ケイ素をパターン付きの基板表面から容易に除去することはない。この選択性と、固体の副生成物がないこととを組み合わせることで、これらのエッチング処理は、残りの損傷を受けやすい構造内で変形をほとんど誘発することなく、損傷を受けやすい酸化ケイ素以外の材料からモールドおよび他の酸化ケイ素支持体構造を除去するのにうまく適したものになる。
導電カラム(この例では窒化チタン)は、導電カラムの周囲からモールド酸化ケイ素が除去されると物理的な支持体を失う。場合によっては、本明細書に提示する気相エッチングはまた、導電カラムのある程度の許容できる反り(たとえば、傾き)をもたらすこともあるが、これは通常、垂直から数度の範囲内に入るはずである。本出願人らは、基板処理領域内へアルコールを導入することで、導電カラムの変形をもたらす力を低減させる可能性があることをさらに見出した。実施形態では、アルコールには、メタノール、エタノール、およびイソプロピルアルコールの1つまたは複数が含まれる。アルコールは、水蒸気と同様の方法で導入され、すなわちアルコールは、遠隔プラズマを通過することなく基板処理領域に入る。実施形態では、アルコールは水蒸気と混ざり合い、ともに基板処理領域内へ流れ込む。
図2A〜2Bは、開示の実施形態による異なる温度および圧力で行われる酸化ケイ素の遠隔励起式のフッ素および水蒸気エッチングのエッチング速度の図である。このデータは、基板の温度が0℃から15℃まで増大するにつれて、エッチング速度が徐々に低減することを示す。実施形態では、本明細書に記載の遠隔励起式のフッ素および水蒸気エッチング中の基板の温度は、異なる実施形態で約10℃以下、約5℃以下、または約0℃以下である。このデータは、処理圧力に応じてエッチング速度が増大することをさらに示す。図2Bでは、ひし形は、約10トルの処理圧力でエッチングされた酸化ケイ素の量を表し、正方形は、約5トルの処理圧力による測定を表す。開示の実施形態では、基板処理領域内の圧力は、約50トル以下で約5トルまたは10トル以上である。この上限といずれかの下限を組み合わせて、本発明の追加の実施形態を形成することができる。
図3は、開示の実施形態による酸化ケイ素の選択的エッチング処理に関する窒化ケイ素およびシリコンと比較した酸化ケイ素の選択性の図である。低い基板温度(0℃、左)および「高い」基板温度(10℃、右)に対する選択性をグラフで示す。どちらの場合も、窒化ケイ素に対する酸化ケイ素のエッチング選択性は約40:1以上であり、シリコンに対する酸化ケイ素の選択性は約100:1以上である。
追加の水蒸気および遠隔励起されたフッ素のエッチング処理パラメータは、例示的な処理チャンバおよびシステムについて説明する中で開示する。
例示的な処理システム
本発明の実施形態を実施できる処理チャンバは、カリフォルニア州サンタクララのApplied Materials,Inc.から入手可能なCENTURA(登録商標)およびPRODUCER(登録商標)というシステムなどの処理プラットホーム内に含むことができる。本発明の例示的な方法で使用できる基板処理チャンバの例は、Lubomirskyらによる2006年5月30日出願の「PROCESS CHAMBER FOR DIELECTRIC GAPFILL」という名称の本願の譲受人に譲渡された米国仮特許出願第60/803,499号に図示および記載されているものを含むことができる。同願の内容全体は、あらゆる目的で参照により本明細書に組み込まれている。追加の例示的なシステムは、米国特許第6,387,207号および第6,830,624号に図示および記載されているものを含むことができる。これらの特許もまた、あらゆる目的で参照により本明細書に組み込まれている。
図4Aは、開示の実施形態による基板処理チャンバ400である。遠隔プラズマシステム(RPS410)は、フッ素含有前駆体を処理することができ、次いでフッ素含有前駆体は、ガス入り口アセンブリ411を通って進む。ガス入り口アセンブリ411内に、2つの異なるガス供給チャネルを見ることができる。第1のチャネル412は、遠隔プラズマシステムRPS410を通過するガスを運び、第2のチャネル413は、RPS410を迂回する。実施形態では、どちらのチャネルもフッ素含有前駆体に使用することができる。他方では、第1のチャネル402をプロセスガスに使用することができ、第2のチャネル413を処理ガスに使用することができる。リッド421(たとえば、導電性の上部部分)および穿孔された区画(シャワーヘッド453)が示されており、絶縁リング424を間に有し、それによってシャワーヘッド453に対してリッド421にAC電位を印加することができる。AC電位は、チャンバプラズマ領域420内でプラズマに達する。プロセスガスは、第1のチャネル412を通ってチャンバプラズマ領域420内へ進むことができ、チャンバプラズマ領域420内のプラズマによって単独で、またはRPS410と組み合わせて励起させることができる。プロセスガス(フッ素含有前駆体)が第2のチャネル413を通って流れる場合、チャンバプラズマ領域420のみが励起に使用される。本明細書では、チャンバプラズマ領域420および/またはRPS410の組合せを遠隔プラズマシステムと呼ぶことができる。穿孔された区画(シャワーヘッドとも呼ばれる)453は、チャンバプラズマ領域420とシャワーヘッド453より下の基板処理領域470とを分離する。シャワーヘッド453により、チャンバプラズマ領域420内に存在するプラズマは、基板処理領域470内のガスを直接励起できなくなり、それでもなお励起種は、チャンバプラズマ領域420から基板処理領域470内へ進むことができる。
シャワーヘッド453は、チャンバプラズマ領域420と基板処理領域470との間に位置決めされ、RPS410および/またはチャンバプラズマ領域420内に生じたプラズマ廃水(前駆体または他のガスの励起された誘導体)は、板の厚さを横切る複数の貫通孔456を通過することができる。シャワーヘッド453はまた、1つまたは複数の中空体積451を有し、中空体積451は、蒸気または気体の形の前駆体(シリコン含有前駆体など)で充填することができ、小さい孔455を通って基板処理領域470内へ入ることができるが、チャンバプラズマ領域420内へ直接入ることはできない。この開示の実施形態では、シャワーヘッド453は、貫通孔456の最も小さい直径450の長さより厚い。チャンバプラズマ領域420から基板処理領域470へ浸透する励起種の相当な濃度を維持するために、シャワーヘッド453を途中まで貫通する貫通孔456の直径のより大きい部分を形成することによって、貫通孔の最も小さい直径450の長さ426を制限することができる。開示の実施形態では、貫通孔456の最も小さい直径450の長さは、貫通孔456の最も小さい直径と同程度の大きさ、またはそれ未満とすることができる。
図示の実施形態では、シャワーヘッド453は、チャンバプラズマ領域420におけるプラズマによる励起時に、酸素、水素、および/もしくは窒素を含有するプロセスガス、ならびに/またはそのようなプロセスガスのプラズマ廃水を分散させることができる(貫通孔456を介する)。実施形態では、第1のチャネル412を通ってRPS410および/またはチャンバプラズマ領域420内へ導入されるプロセスガスは、フッ素(たとえば、CF、NF、またはXeF)を含有することができる。プロセスガスはまた、ヘリウム、アルゴン、窒素(N)などのキャリアガスを含むことができる。プラズマ廃水は、プロセスガスのイオン化誘導体または中性誘導体を含むことができ、本明細書では、導入されるプロセスガスの原子成分を指して、ラジカルフッ素前駆体と呼ぶこともできる。
実施形態では、貫通孔456の数は、約60〜約2000とすることができる。貫通孔456は、様々な形状を有することができるが、円形にするのが最も容易である。開示の実施形態では、貫通孔456の最も小さい直径450は、約0.5mm〜約20mmまたは約1mm〜約6mmとすることができる。また、貫通孔の断面形状の選択には自由裁量の範囲があり、円錐形、円筒形、またはこれらの2つの形状の組合せとすることができる。異なる実施形態では、基板処理領域470内へガスを導入するために使用される小さい孔455の数は、約100〜約5000または約500〜約2000とすることができる。小さい孔455の直径は、約0.1mm〜約2mmとすることができる。
図4Bは、開示の実施形態による処理チャンバで使用するためのシャワーヘッド453の底面図である。シャワーヘッド453は、図4Aに示すシャワーヘッドに相当する。シャワーヘッド453の底部上により大きい内径(ID)を有し、上部により小さいIDを有する貫通孔456を示す。小さい孔455が、シャワーヘッドの表面全体にわたって、貫通孔456の中でも実質上均一に分散され、本明細書に記載の他の実施形態より均一な混合を提供するのを助ける。
貫通孔456を通ってシャワーヘッド453に到達したフッ素含有プラズマ廃水が、中空体積451から小さい孔455を通って到達した湿気と混ざり合うとき、基板処理領域470内でペデスタル(図示せず)によって例示的なパターン付きの基板を支持することができる。本発明の実施形態では、硬化などの他の処理のためにプラズマを支持するように基板処理領域470を装備することができるが、パターン付きの基板のエッチング中にはプラズマは存在しない。
シャワーヘッド453より上のチャンバプラズマ領域420内、またはシャワーヘッド453より下の基板処理領域470内のいずれかで、プラズマを着火することができる。チャンバプラズマ領域420内にはプラズマが存在し、フッ素含有前駆体の流入からラジカルフッ素前駆体を生じさせる。通常は高周波(RF)範囲内のAC電圧が、処理チャンバの導電性の上部部分421とシャワーヘッド453との間に印加され、堆積中にチャンバプラズマ領域420内でプラズマを着火する。RF電源が13.56MHzの高いRF周波数を生成するが、他の周波数を単独で、または13.56MHzの周波数と組み合わせて生成することもできる。
膜を硬化させるため、または基板処理領域470と境界をなす内面を洗浄するために、基板処理領域470内の底部のプラズマを点けるとき、上部のプラズマは、低い出力またはゼロの出力のままとすることができる。基板処理領域470内のプラズマは、シャワーヘッド453とチャンバのペデスタルまたは底部との間にAC電圧を印加することによって着火される。プラズマが存在する間に、基板処理領域470内へ洗浄ガスを導入することができる。
ペデスタルは、熱交換チャネルを有することができ、熱交換チャネルを通って熱交換流体が流れ、基板の温度を制御する。この構成により、比較的低い温度(室温〜約120℃)を維持するように、基板温度を冷却または加熱することができる。熱交換流体は、エチレングリコールおよび水を含むことができる。比較的高い温度(約120℃〜約1100℃)を実現するために、平行な同心円の形で完全に2回転するように構成された単ループ式の埋め込みヒータ要素を使用して、ペデスタルのウエハ支持体プラッタ(好ましくは、アルミニウム、セラミック、またはこれらの組合せ)を抵抗加熱することもできる。ヒータ要素の外側部分は、支持体プラッタの周囲付近を通ることができ、内側部分は、半径が小さい方の同心円の経路上を通ることができる。ヒータ要素への配線は、ペデスタルの軸を通過する。
基板処理システムは、システムコントローラによって制御される。例示的な実施形態では、システムコントローラは、ハードディスクドライブ、フロッピーディスクドライブ、およびプロセッサを含む。プロセッサは、シングルボードコンピュータ(SBC)、アナログおよびデジタル入出力ボード、インターフェースボード、ならびにステッパモータコントローラボードを含む。CVDシステムの様々な部分は、ボード、カードケージ、およびコネクタの寸法およびタイプを規定するVersa Modular European(VME)標準に準拠する。VME標準はまた、バス構造について、16ビットデータバスおよび24ビットアドレスバスを有するものと規定する。
システムコントローラは、エッチングチャンバの活動のすべてを制御する。システムコントローラは、コンピュータ可読媒体内に記憶されたコンピュータプログラムであるシステム制御ソフトウェアを実行する。この媒体は、ハードディスクドライブであることが好ましいが、媒体はまた、他の種類のメモリとすることもできる。コンピュータプログラムは、特定の処理のタイミング、ガスの混合、チャンバ圧力、チャンバ温度、RF電力レベル、サセプタ位置、および他のパラメータを指示する命令セットを含む。たとえばフロッピーディスクまたは他の別の適当なドライブを含む他の記憶装置上に記憶されている他のコンピュータプログラムを使用して、システムコントローラに命令することもできる。
システムコントローラによって実行されるコンピュータプログラム製品を使用して、基板上に膜スタックを堆積させる処理またはチャンバを洗浄する処理を実施することができる。コンピュータプログラムコードは、任意の従来のコンピュータ可読プログラミング言語、たとえば68000アセンブリ言語、C、C++、Pascal、Fortranなどで書くことができる。従来のテキストエディタを使用して、適したプログラムコードが単一のファイルまたは複数のファイル内へ入力され、コンピュータのメモリシステムなどのコンピュータ可用媒体内で記憶または実施される。入力されたコードテキストが高級言語である場合、コードはコンパイルされ、その結果得られるコンパイラコードは、次いで、事前コンパイル型のMicrosoft Windows(登録商標)のライブラリルーチンのオブジェクトコードとリンクされる。リンクされたコンパイル済みのオブジェクトコードを実行するには、システムユーザがオブジェクトコードを呼び出すと、コンピュータシステムはコードをメモリ内にロードする。CPUは次いで、コードを読み取って実行し、プログラム内で識別されたタスクを実行する。
ユーザとコントローラとの間のインターフェースは、フラットパネル式の接触感知型モニタを介して行われる。好ましい実施形態では、2つのモニタが使用され、一方は、操作者のために洗浄室の壁に取り付けられ、他方は、サービス技師のために壁の後ろに取り付けられる。2つのモニタは、同じ情報を同時に表示することができ、その場合、一度に一方のみが入力を受け付ける。特定のスクリーンまたは機能を選択するには、操作者は、接触感知型モニタの指定の領域に接触する。接触した領域ではその強調色が変化し、または新しいメニューもしくはスクリーンが表示され、操作者と接触感知型モニタとの間の通信を確認する。接触感知型モニタの代わりに、または接触感知型モニタに加えて、キーボード、マウス、または他のポインティングもしくは通信デバイスなどの他のデバイスを使用して、ユーザがシステムコントローラと通信できるようにすることもできる。
チャンバプラズマ領域またはRPS内の領域を、遠隔プラズマ領域と呼ぶことができる。実施形態では、遠隔プラズマ領域内にラジカル前駆体(たとえば、ラジカルフッ素前駆体)が生じ、基板処理領域内へ進んで水蒸気と混ざり合う。実施形態では、水蒸気は、ラジカルフッ素前駆体のみによって励起される。実施形態では、ラジカルフッ素前駆体が水蒸気への主な励起を提供するように、本質的に遠隔プラズマ領域のみにプラズマ出力を印加することができる。
チャンバプラズマ領域を用いる実施形態では、励起されたプラズマ廃水は、基板処理領域のうち、堆積領域から分割された部分内で生成される。本明細書では基板処理領域とも呼ばれる堆積領域は、プラズマ廃水が水蒸気と混合および反応し、パターン付きの基板(たとえば、半導体ウエハ)をエッチングする領域である。励起されたプラズマ廃水はまた、不活性ガス(例示的な場合、アルゴン)を伴うことがある。実施形態では、水蒸気はプラズマを通過しないで基板のプラズマ領域に入る。本明細書では、基板処理領域について、パターン付きの基板のエッチング中に「プラズマがない」と説明することができる。「プラズマがない」とは、その領域がプラズマを欠いていることを必ずしも意味するというわけではない。プラズマ領域内に生じるイオン化種および自由電子は、区画(シャワーヘッド)内の孔(開孔)を通過するが、水蒸気は、プラズマ領域に印加されるプラズマ出力によって実質上励起されない。チャンバプラズマ領域内のプラズマの境界は画定するのが困難であり、シャワーヘッド内の開孔を通って基板処理領域に侵入することがある。誘導結合されたプラズマの場合、基板処理領域内で直接、わずかな量のイオン化をもたらすことがある。さらに、基板処理領域内で低強度のプラズマが生じることがあるが、形成される膜の所望の特徴をなくすことはない。本明細書では、励起されたプラズマ廃水の生成中にプラズマがチャンバプラズマ領域(またはその目的で、遠隔プラズマ領域)よりはるかに低強度のイオン密度を有する原因はすべて、「プラズマがない」という範囲から逸脱しない。
異なる実施形態では、3フッ化窒素(または別のフッ素含有前駆体)を約25sccm〜約200sccm、約50sccm〜約150sccm、または約75sccm〜約125sccmの流量でチャンバプラズマ領域420内へ流し込むことができる。異なる実施形態では、水蒸気を約25sccm〜約200sccm、約50sccm〜約150sccm、または約75sccm〜約125sccmの流量で基板処理領域470内へ流し込むことができる。異なる実施形態では、エタノール(または別のアルコール)を約100sccm以下、約50sccm以下、または約25sccm以下の流量で基板処理領域470内へ流し込むことができる。
チャンバ内への水蒸気、フッ素含有前駆体、およびアルコールの流量を組み合わせると、体積で混合ガス全体の0.05%〜約20%を占めることができ、残りはキャリアガスである。実施形態では、フッ素含有前駆体は遠隔プラズマ領域内へ流れ込むが、プラズマ廃水は同じ体積流量比を有する。フッ素含有前駆体の場合、最初に遠隔プラズマ領域内へのパージまたはキャリアガスを起動してから、フッ素含有ガスのフッ素含有前駆体を起動して遠隔プラズマ領域内の圧力を安定させることができる。
プラズマ出力は、様々な周波数または複数の周波数の組合せとすることができる。例示的な処理システムでは、プラズマは、シャワーヘッド453に対してリッド421に供給されるRF電力によって提供される。異なる実施形態では、RF電力は、約100W〜約2000W、約200W〜約1500W、または約500W〜約1000Wとすることができる。異なる実施形態では、例示的な処理システム内で印加されるRF周波数は、約200kHz未満の低RF周波数、約10MHz〜約15MHzの高RF周波数、または約1GHz以上のマイクロ波周波数とすることができる。
基板処理領域470内への水蒸気、任意のキャリアガス、およびプラズマ廃水の流入中、基板処理領域470は、様々な圧力で維持できる。異なる実施形態では、圧力は、約500ミリトル〜約30トル、約1トル〜約20トル、または約5トル〜約15トルで維持することができる。
1つまたは複数の実施形態では、基板処理チャンバ400は、カリフォルニア州サンタクララに拠点をおくApplied Materials,Inc.から入手可能なProducer(商標)GT、Centura(商標)AP、およびEndura(商標)のプラットホームを含む様々な多処理プラットホーム内へ組み込むことができる。そのような処理プラットホームは、真空を破ることなくいくつかの処理動作を実行することが可能である。本発明の実施形態を実施できる処理チャンバは、他のタイプのチャンバの中でも、誘電体エッチングチャンバまたは様々な化学気相堆積チャンバを含むことができる。
堆積システムの実施形態は、集積回路チップを作るより大きな製造システム内へ組み込むことができる。図5は、開示の実施形態による堆積チャンバ、焼成チャンバ、および硬化チャンバからなる1つのそのようなシステム500を示す。この図では、1対のFOUP(前方開口型統一ポッド)502が基板(たとえば、直径300mmのウエハ)を供給し、これらの基板は、ロボットアーム504によって受け取られ、低圧保持領域506内に配置されてから、基板処理チャンバ508a〜fの1つの中へ配置される。保持領域506から基板処理チャンバ508a〜fへ基板ウエハを輸送し、また元へ戻すために、第2のロボットアーム510を使用することができる。各基板処理チャンバ508a〜fは、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、前洗浄、ガス抜き、配向、および他の基板処理に加えて、本明細書に記載のドライエッチング処理を含む複数の基板処理動作を実行するように整えることができる。
基板処理チャンバ508a〜fは、基板ウエハ上で流動性の高い誘電体膜を堆積、アニール、硬化、および/またはエッチングするための1つまたは複数のシステム構成要素を含むことができる。一構成では、2対の処理チャンバ(たとえば、508c〜dおよび508e〜f)を使用して、基板上に誘電体材料を堆積させることができ、第3の対の処理チャンバ(たとえば、508a〜b)を使用して、堆積させた誘電体をエッチングすることができる。別の構成では、3対のチャンバ(たとえば、508a〜f)すべてを、基板上で誘電体膜をエッチングするように構成することができる。異なる実施形態に示す製造システムから分離されたチャンバ(複数可)上で、記載の処理のいずれか1つまたは複数を実施することができる。
本明細書に記載の処理方策を実施するのに必要なモータ、バルブ、流量コントローラ、電源、および他の機能を制御するために、システムコントローラ557が使用される。基板処理チャンバ508a〜fの1つまたはすべてへガスを導入するために、ガス取扱いシステム555をシステムコントローラ557によって制御することもできる。システムコントローラ557は、ガス取扱いシステム555および/または基板処理チャンバ508a〜f内の可動機械アセンブリの位置を判定および調整するために、光センサからのフィードバックに依拠することができる。機械アセンブリは、システムコントローラ557の制御下でモータによって動かされるロボット、スロットルバルブ、およびサセプタを含むことができる。
例示的な実施形態では、システムコントローラ557は、ハードディスクドライブ(メモリ)、USBポート、フロッピーディスクドライブ、およびプロセッサを含む。システムコントローラ557は、アナログおよびデジタル入出力ボード、インターフェースボード、ならびにステッパモータコントローラボードを含む。処理チャンバ400を含むマルチチャンバ処理システム500の様々な部分は、システムコントローラ557によって制御される。システムコントローラは、ハードディスク、フロッピーディスク、またはフラッシュメモリサムドライブなどのコンピュータ可読媒体上に記憶されたコンピュータプログラムの形でシステム制御ソフトウェアを実行する。他のタイプのメモリを使用することもできる。コンピュータプログラムは、特定の処理のタイミング、ガスの混合、チャンバ圧力、チャンバ温度、RF電力レベル、サセプタ位置、および他のパラメータを指示する命令セットを含む。
コントローラによって実行されるコンピュータプログラム製品を使用して、基板上で膜をエッチングし、堆積し、もしくは他の方法で処理する処理またはチャンバを洗浄する処理を実施することができる。コンピュータプログラムコードは、任意の従来のコンピュータ可読プログラミング言語、たとえば68000アセンブリ言語、C、C++、Pascal、Fortranなどで書くことができる。従来のテキストエディタを使用して、適したプログラムコードが単一のファイルまたは複数のファイル内へ入力され、コンピュータのメモリシステムなどのコンピュータ可用媒体内で記憶または実施される。入力されたコードテキストが高級言語である場合、コードはコンパイルされ、その結果得られるコンパイラコードは、次いで、事前コンパイル型のMicrosoft Windows(登録商標)のライブラリルーチンのオブジェクトコードとリンクされる。リンクされたコンパイル済みのオブジェクトコードを実行するには、システムユーザはオブジェクトコードを呼び出し、コンピュータシステムはコードをメモリ内にロードする。CPUは次いで、コードを読み取って実行し、プログラム内で識別されたタスクを実行する。
ユーザとコントローラとの間のインターフェースは、接触感知型モニタを介して行うことができ、マウスおよびキーボードを含むこともできる。一実施形態では、2つのモニタが使用され、一方は、操作者のために洗浄室の壁に取り付けられ、他方は、サービス技師のために壁の後ろに取り付けられる。2つのモニタは、同じ情報を同時に表示することができ、その場合、一度に一方のみが入力を受け付けるように構成される。特定のスクリーンまたは機能を選択するには、操作者は、指またはマウスで表示スクリーン上の指定の領域に接触する。接触した領域ではその強調色が変化し、または新しいメニューもしくはスクリーンが表示され、操作者の選択を確認する。
本明細書では、「基板」とは、その上に層が形成されるか否かにかかわらず、支持体基板とすることができる。パターン付きの基板は、絶縁体、または様々なドーピング濃度およびプロファイルの半導体とすることができ、たとえば、集積回路の製造で使用されるタイプの半導体基板とすることができる。パターン付きの基板の露出された「酸化ケイ素」は主にSiOであるが、ある濃度の窒素、水素、炭素などの他の元素成分を含むことができる。いくつかの実施形態では、本明細書に開示の方法を使用してエッチングされた酸化ケイ素膜は本質的に、シリコンおよび酸素からなる。「前駆体」という用語は、表面から材料を除去するための反応または表面上へ材料を堆積させるための反応に関与する任意のプロセスガスを指すために使用される。「プラズマ廃水」は、チャンバプラズマ領域から出て基板処理領域に入るガスを表す。プラズマ廃水は「励起状態」であり、ガス分子の少なくとも一部は、振動によって励起、解離、および/またはイオン化された状態である。「ラジカル前駆体」は、表面から材料を除去するための反応または表面上に材料を堆積させるための反応に関与するプラズマ廃水(プラズマを出て励起状態にあるガス)を表すために使用される。「ラジカルフッ素前駆体」とは、フッ素を含有するラジカル前駆体であるが、他の元素成分を含有することもできる。「不活性ガス」という語句は、エッチングされたとき、または膜内へ組み込まれたときに、化学結合を形成しない任意のガスを指す。例示的な不活性ガスには希ガスが含まれるが、(通常)微量が膜内に閉じ込められたときに化学結合が形成されない限り、他のガスを含むこともできる。
本明細書全体にわたって、「間隙」および「トレンチ」という用語を使用したが、エッチングされた形状寸法が大きな水平のアスペクト比を有することを示唆するものではない。表面の上から見ると、トレンチは、円形、楕円形、多角形、方形、または様々な他の形状に見えることがある。トレンチは、材料の島(たとえば、実質上円筒形のTiNの柱)の周りに位置する堀の形状とすることができる。「ビア」という用語は、垂直方向の電気的接続を形成するために金属で充填されるかどうかにかかわらず、低アスペクト比のトレンチ(上から見た場合)を指すために使用される。本明細書では、共形のエッチング処理とは、表面上の材料を表面と同じ形状で概ね均一に除去することを指し、すなわちエッチングされた層の表面とエッチング前の表面は概ね平行である。エッチングされたインターフェースは100%共形でない可能性が高く、したがって「概ね」という用語は許容できる公差を考慮に入れていることが、当業者には理解されよう。
いくつかの実施形態を開示したが、開示の実施形態の精神から逸脱することなく、様々な修正、代替構造、および均等技術を使用できることが、当業者には理解されよう。さらに、本発明を不必要に曖昧にするのを回避するために、複数の周知の処理および要素については説明していない。したがって、上記の説明は、本発明の範囲を限定するものと解釈されるべきではない。
値の範囲が提供される場合、その範囲の上限と下限との間に介在するそれぞれの値は、文脈上別途明示しない限り下限の単位の10分の1まで、明確に開示されることが理解される。記載の範囲内の任意の記載の値または介在する値と、その記載の範囲内の任意の他の記載の値または介在する値との間のより小さいそれぞれの範囲が包含される。これらのより小さい範囲の上限および下限は、範囲内で独立して包含または除外することができ、また、より小さい範囲内に限度のいずれかを含む範囲、どちらも含まない範囲、またはどちらも含む範囲はそれぞれ、記載の範囲内の任意の明確に除外された限界に応じて、本発明の範囲内に包含される。記載の範囲が限界の一方または両方を含む場合、これらの含まれる限度のいずれかまたは両方を除外する範囲も含まれる。
本明細書および添付の特許請求の範囲では、単数形の「a」、「an」、および「the」は、文脈上別途明示しない限り、複数の指示対象を含む。したがって、たとえば「プロセス(a process)」への言及は、複数のそのようなプロセスを含み、「誘電体材料(the dielectric material)」への言及は、当業者に知られている1つまたは複数の誘電体材料およびその均等物への言及を含み、以下同様である。
また、本明細書および以下の特許請求の範囲で使用する「含む(comprise)」、「含む(comprising)」、「含む(include)」、「含む(including)」、および「含む(includes)」は、記載の特徴、整数、構成要素、またはステップの存在を指定するものであるが、1つまたは複数の他の特徴、整数、構成要素、ステップ、動作、または群の存在または追加を排除するものではない。

Claims (14)

  1. 露出された酸化ケイ素領域を有するパターン付きの基板を基板処理チャンバの基板処理領域内でエッチングする方法であって、
    遠隔プラズマ領域内で遠隔プラズマを形成しながら、前記基板処理領域に流動的に結合された前記遠隔プラズマ領域内へフッ素含有前駆体を流し込み、プラズマ廃水を生じさせることと、
    最初に前記遠隔プラズマ領域に水蒸気を通さずに、前記基板処理領域内へ前記水蒸気を流し込むことと、
    前記基板処理領域内へ前記プラズマ廃水を流し込むことによって、前記露出された酸化ケイ素領域をエッチングすることとを含む方法。
  2. 前記露出された酸化ケイ素領域をエッチングする動作の前に、前記露出された酸化ケイ素領域が、導電性材料を含む導電性カラムを取り囲んでいる、請求項1に記載の方法。
  3. 前記露出された酸化ケイ素領域をエッチングする動作の後に、前記露出された酸化ケイ素領域の除去の結果、前記導電性カラムが実質上外側へ反らない、請求項2に記載の方法。
  4. 前記導電性材料がチタンを含む、請求項2に記載の方法。
  5. 前記導電性材料が窒化チタンを含む、請求項4に記載の方法。
  6. 前記導電性カラムが円筒形の形状である、請求項2に記載の方法。
  7. 前記導電性カラムの直径が約70nm以下である、請求項6に記載の方法。
  8. 前記基板処理領域内へ水蒸気を流し込む動作が、最初に前記遠隔プラズマ領域にアルコールも通さずに、前記基板処理領域内へ前記アルコールを流し込むことをさらに含む、請求項1に記載の方法。
  9. 前記基板処理領域にプラズマがない、請求項1に記載の方法。
  10. 前記水蒸気が、前記基板処理領域の外側に形成されたいかなる遠隔プラズマでも励起されない、請求項1に記載の方法。
  11. 前記アルコールが、前記基板処理領域の外側に形成されたいかなる遠隔プラズマでも励起されない、請求項8に記載の方法。
  12. 前記アルコールが、メタノール、エタノール、およびイソプロピルアルコールからなる群から選択される、請求項8に記載の方法。
  13. 前記フッ素含有前駆体が、原子フッ素、2原子フッ素、3フッ化窒素、4フッ化炭素、フッ化水素、および2フッ化キセノンからなる群から選択される前駆体を含む、請求項1に記載の方法。
  14. 前記フッ素含有前駆体および前記プラズマ廃水が、本質的に水素を欠いている、請求項1に記載の方法。
JP2013554467A 2011-02-22 2012-01-31 遠隔励起式のフッ素および水蒸気エッチング Active JP5844390B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161445295P 2011-02-22 2011-02-22
US61/445,295 2011-02-22
US13/232,079 2011-09-14
US13/232,079 US8771539B2 (en) 2011-02-22 2011-09-14 Remotely-excited fluorine and water vapor etch
PCT/US2012/023356 WO2012115750A2 (en) 2011-02-22 2012-01-31 Remotely-excited fluorine and water vapor etch

Publications (3)

Publication Number Publication Date
JP2014507073A true JP2014507073A (ja) 2014-03-20
JP2014507073A5 JP2014507073A5 (ja) 2015-04-30
JP5844390B2 JP5844390B2 (ja) 2016-01-13

Family

ID=46651894

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013554467A Active JP5844390B2 (ja) 2011-02-22 2012-01-31 遠隔励起式のフッ素および水蒸気エッチング

Country Status (6)

Country Link
US (1) US8771539B2 (ja)
JP (1) JP5844390B2 (ja)
KR (2) KR101592850B1 (ja)
CN (1) CN103380485B (ja)
TW (1) TWI528448B (ja)
WO (1) WO2012115750A2 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015528647A (ja) * 2012-09-17 2015-09-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 差異的な酸化ケイ素エッチング
JP2015529405A (ja) * 2012-09-20 2015-10-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 炭窒化ケイ素の選択的エッチング
JP2018006405A (ja) * 2016-06-28 2018-01-11 株式会社Screenホールディングス エッチング方法
KR20180018824A (ko) * 2015-07-07 2018-02-21 어플라이드 머티어리얼스, 인코포레이티드 조정 가능한 원격 해리
JP2020522131A (ja) * 2017-05-31 2020-07-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 無水エッチングの方法
JP2021515394A (ja) * 2018-02-28 2021-06-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空隙を形成するためのシステム及び方法

Families Citing this family (172)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8889566B2 (en) * 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9023734B2 (en) * 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) * 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) * 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8748322B1 (en) * 2013-04-16 2014-06-10 Applied Materials, Inc. Silicon oxide recess etch
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
KR101574740B1 (ko) * 2013-08-28 2015-12-04 (주)젠 기상식각 및 세정을 위한 플라즈마 장치
WO2015030457A1 (ko) * 2013-08-28 2015-03-05 (주)젠 기상식각 및 세정을 위한 플라즈마 장치
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8980758B1 (en) 2013-09-17 2015-03-17 Applied Materials, Inc. Methods for etching an etching stop layer utilizing a cyclical etching process
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US20150136171A1 (en) * 2013-11-18 2015-05-21 Lam Research Corporation Liquid or vapor injection plasma ashing systems and methods
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9190290B2 (en) * 2014-03-31 2015-11-17 Applied Materials, Inc. Halogen-free gas-phase silicon etch
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US20150371861A1 (en) * 2014-06-23 2015-12-24 Applied Materials, Inc. Protective silicon oxide patterning
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9520301B2 (en) 2014-10-21 2016-12-13 Samsung Electronics Co., Ltd. Etching method using plasma, and method of fabricating semiconductor device including the etching method
US9202708B1 (en) * 2014-10-24 2015-12-01 Applied Materials, Inc. Doped silicon oxide etch
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US9449843B1 (en) 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
US9922806B2 (en) * 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US9659791B2 (en) 2015-07-16 2017-05-23 Applied Materials, Inc. Metal removal with reduced surface roughness
US9564341B1 (en) 2015-08-04 2017-02-07 Applied Materials, Inc. Gas-phase silicon oxide selective etch
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6604911B2 (ja) * 2016-06-23 2019-11-13 東京エレクトロン株式会社 エッチング処理方法
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) * 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US11289323B2 (en) * 2017-12-15 2022-03-29 Beijing E-Town Semiconductor Co, , Ltd. Processing of semiconductors using vaporized solvents
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
JP7066263B2 (ja) * 2018-01-23 2022-05-13 株式会社ディスコ 加工方法、エッチング装置、及びレーザ加工装置
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
KR20210065199A (ko) * 2018-10-26 2021-06-03 매슨 테크놀로지 인크 하드마스크의 제거를 위한 수증기 기반 불소 함유 플라즈마
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10692730B1 (en) * 2019-08-30 2020-06-23 Mattson Technology, Inc. Silicon oxide selective dry etch process
KR20220166316A (ko) * 2020-04-08 2022-12-16 램 리써치 코포레이션 준금속 (metalloid) 또는 금속 함유 하드마스크의 증착을 사용한 선택적인 에칭
JP7312160B2 (ja) * 2020-12-28 2023-07-20 株式会社アルバック エッチング装置及びエッチング方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000058508A (ja) * 1998-08-12 2000-02-25 Seiko Epson Corp ドライエッチング方法及び装置
JP2000164559A (ja) * 1998-09-22 2000-06-16 Seiko Epson Corp シリコン系物質の選択エッチング方法および装置
US20010008803A1 (en) * 1998-03-17 2001-07-19 Toshi Takamatsu Plasma surface treatment method and resulting device
JP2008235632A (ja) * 2007-03-22 2008-10-02 Seiko Epson Corp 洗浄装置及び方法
JP2010512650A (ja) * 2006-12-11 2010-04-22 アプライド マテリアルズ インコーポレイテッド 乾燥フォトレジスト除去プロセスと装置
US20100105209A1 (en) * 2008-10-23 2010-04-29 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US20100187588A1 (en) * 2009-01-29 2010-07-29 Kim Gil-Sub Semiconductor memory device including a cylinder type storage node and a method of fabricating the same

Family Cites Families (576)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2369620A (en) 1941-03-07 1945-02-13 Battelle Development Corp Method of coating cupreous metal with tin
US3451840A (en) 1965-10-06 1969-06-24 Us Air Force Wire coated with boron nitride and boron
US4397812A (en) 1974-05-24 1983-08-09 Richardson Chemical Company Electroless nickel polyalloys
US4632857A (en) 1974-05-24 1986-12-30 Richardson Chemical Company Electrolessly plated product having a polymetallic catalytic film underlayer
US4232060A (en) 1979-01-22 1980-11-04 Richardson Chemical Company Method of preparing substrate surface for electroless plating and products produced thereby
US4006047A (en) 1974-07-22 1977-02-01 Amp Incorporated Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates
US3937857A (en) 1974-07-22 1976-02-10 Amp Incorporated Catalyst for electroless deposition of metals
US4265943A (en) 1978-11-27 1981-05-05 Macdermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
US4234628A (en) 1978-11-28 1980-11-18 The Harshaw Chemical Company Two-step preplate system for polymeric surfaces
US4214946A (en) 1979-02-21 1980-07-29 International Business Machines Corporation Selective reactive ion etching of polysilicon against SiO2 utilizing SF6 -Cl2 -inert gas etchant
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
IT1130955B (it) 1980-03-11 1986-06-18 Oronzio De Nora Impianti Procedimento per la formazione di elettroci sulle superficie di membrane semipermeabili e sistemi elettrodo-membrana cosi' prodotti
US4368223A (en) 1981-06-01 1983-01-11 Asahi Glass Company, Ltd. Process for preparing nickel layer
DE3205345A1 (de) 1982-02-15 1983-09-01 Philips Patentverwaltung Gmbh, 2000 Hamburg "verfahren zur herstellung von fluordotierten lichtleitfasern"
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
JPS6060060A (ja) 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4656052A (en) 1984-02-13 1987-04-07 Kyocera Corporation Process for production of high-hardness boron nitride film
US4571819A (en) 1984-11-01 1986-02-25 Ncr Corporation Method for forming trench isolation structures
US4807016A (en) 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
US4714520A (en) 1985-07-25 1987-12-22 Advanced Micro Devices, Inc. Method for filling a trench in an integrated circuit structure without producing voids
US4749440A (en) * 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4690746A (en) 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5228501A (en) 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPS63204726A (ja) 1987-02-20 1988-08-24 Anelva Corp 真空処理装置
US4868071A (en) 1987-02-24 1989-09-19 Polyonics Corporation Thermally stable dual metal coated laminate products made from textured polyimide film
US5322976A (en) 1987-02-24 1994-06-21 Polyonics Corporation Process for forming polyimide-metal laminates
EP0283311B1 (en) 1987-03-18 2001-08-01 Kabushiki Kaisha Toshiba Thin film forming method
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
DE3884653T2 (de) 1987-04-03 1994-02-03 Fujitsu Ltd Verfahren und Vorrichtung zur Gasphasenabscheidung von Diamant.
US4753898A (en) 1987-07-09 1988-06-28 Motorola, Inc. LDD CMOS process
US4886570A (en) 1987-07-16 1989-12-12 Texas Instruments Incorporated Processing apparatus and method
US4810520A (en) 1987-09-23 1989-03-07 Magnetic Peripherals Inc. Method for controlling electroless magnetic plating
US4865685A (en) 1987-11-03 1989-09-12 North Carolina State University Dry etching of silicon carbide
US4981551A (en) 1987-11-03 1991-01-01 North Carolina State University Dry etching of silicon carbide
US4851370A (en) 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
US4904341A (en) 1988-08-22 1990-02-27 Westinghouse Electric Corp. Selective silicon dioxide etchant for superconductor integrated circuits
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US5030319A (en) 1988-12-27 1991-07-09 Kabushiki Kaisha Toshiba Method of oxide etching with condensed plasma reaction product
US4985372A (en) 1989-02-17 1991-01-15 Tokyo Electron Limited Method of forming conductive layer including removal of native oxide
IT216961Z2 (it) 1989-03-07 1991-10-21 Roltra Spa Dispositivo attuatore per bloccaserratura elettrico
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US5270125A (en) 1989-07-11 1993-12-14 Redwood Microsystems, Inc. Boron nutride membrane in wafer structure
US5013691A (en) 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
US4994404A (en) 1989-08-28 1991-02-19 Motorola, Inc. Method for forming a lightly-doped drain (LDD) structure in a semiconductor device
DE69111493T2 (de) 1990-03-12 1996-03-21 Ngk Insulators Ltd Wafer-Heizgeräte für Apparate, zur Halbleiterherstellung Heizanlage mit diesen Heizgeräten und Herstellung von Heizgeräten.
JP2960466B2 (ja) 1990-03-19 1999-10-06 株式会社日立製作所 半導体デバイスの配線絶縁膜の形成方法及びその装置
US5089441A (en) 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US5147692A (en) 1990-05-08 1992-09-15 Macdermid, Incorporated Electroless plating of nickel onto surfaces such as copper or fused tungston
US5238499A (en) 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
JPH04228572A (ja) 1990-08-10 1992-08-18 Sumitomo Electric Ind Ltd 硬質窒化ホウ素合成法
US5235139A (en) 1990-09-12 1993-08-10 Macdermid, Incorprated Method for fabricating printed circuits
US5089442A (en) 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
KR930011413B1 (ko) 1990-09-25 1993-12-06 가부시키가이샤 한도오따이 에네루기 겐큐쇼 펄스형 전자파를 사용한 플라즈마 cvd 법
US5549780A (en) 1990-10-23 1996-08-27 Semiconductor Energy Laboratory Co., Ltd. Method for plasma processing and apparatus for plasma processing
JP2640174B2 (ja) 1990-10-30 1997-08-13 三菱電機株式会社 半導体装置およびその製造方法
JP3206916B2 (ja) 1990-11-28 2001-09-10 住友電気工業株式会社 欠陥濃度低減方法、紫外線透過用光学ガラスの製造方法及び紫外線透過用光学ガラス
US5578130A (en) 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
WO1992012535A1 (en) 1991-01-08 1992-07-23 Fujitsu Limited Process for forming silicon oxide film
JP2697315B2 (ja) 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
JP2787142B2 (ja) 1991-03-01 1998-08-13 上村工業 株式会社 無電解錫、鉛又はそれらの合金めっき方法
US5897751A (en) 1991-03-11 1999-04-27 Regents Of The University Of California Method of fabricating boron containing coatings
JPH04341568A (ja) 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
DE69224640T2 (de) 1991-05-17 1998-10-01 Lam Res Corp VERFAHREN ZUR BESCHICHTUNG EINES SIOx FILMES MIT REDUZIERTER INTRINSISCHER SPANNUNG UND/ODER REDUZIERTEM WASSERSTOFFGEHALT
JP2699695B2 (ja) 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
US5203911A (en) 1991-06-24 1993-04-20 Shipley Company Inc. Controlled electroless plating
US5279865A (en) 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
US5240497A (en) 1991-10-08 1993-08-31 Cornell Research Foundation, Inc. Alkaline free electroless deposition
JPH05226480A (ja) 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
US5290382A (en) 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
JP3084497B2 (ja) 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
JP2773530B2 (ja) 1992-04-15 1998-07-09 日本電気株式会社 半導体装置の製造方法
JP2792335B2 (ja) 1992-05-27 1998-09-03 日本電気株式会社 半導体装置の製造方法
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP3688726B2 (ja) 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5380560A (en) 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5306530A (en) 1992-11-23 1994-04-26 Associated Universities, Inc. Method for producing high quality thin layer films on substrates
KR100238629B1 (ko) 1992-12-17 2000-01-15 히가시 데쓰로 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치
US5500249A (en) 1992-12-22 1996-03-19 Applied Materials, Inc. Uniform tungsten silicide films produced by chemical vapor deposition
US5756402A (en) 1992-12-28 1998-05-26 Kabushiki Kaisha Toshiba Method of etching silicon nitride film
US5624582A (en) 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5345999A (en) 1993-03-17 1994-09-13 Applied Materials, Inc. Method and apparatus for cooling semiconductor wafers
US5302233A (en) 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
JP3236111B2 (ja) 1993-03-31 2001-12-10 キヤノン株式会社 プラズマ処理装置及び処理方法
US5800686A (en) 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
KR0142150B1 (ko) 1993-04-09 1998-07-15 윌리엄 티. 엘리스 붕소 질화물을 에칭하기 위한 방법
US5416048A (en) 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
US5591269A (en) 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
US5560779A (en) 1993-07-12 1996-10-01 Olin Corporation Apparatus for synthesizing diamond films utilizing an arc plasma
WO1995002900A1 (en) 1993-07-15 1995-01-26 Astarix, Inc. Aluminum-palladium alloy for initiation of electroless plating
DE69421465T2 (de) 1993-07-30 2000-02-10 Applied Materials Inc Verfahren zur Ablagerung von Silzium-Nitrid auf Siliziumoberflächen
US5483920A (en) 1993-08-05 1996-01-16 Board Of Governors Of Wayne State University Method of forming cubic boron nitride films
US5468597A (en) 1993-08-25 1995-11-21 Shipley Company, L.L.C. Selective metallization process
US5384284A (en) 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
SE501888C2 (sv) 1993-10-18 1995-06-12 Ladislav Bardos En metod och en apparat för generering av en urladdning i egna ångor från en radiofrekvenselektrod för kontinuerlig självförstoftning av elektroden
US5505816A (en) 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
JPH07193214A (ja) 1993-12-27 1995-07-28 Mitsubishi Electric Corp バイアホール及びその形成方法
US5415890A (en) 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5451259A (en) 1994-02-17 1995-09-19 Krogh; Ole D. ECR plasma source for remote processing
US5439553A (en) * 1994-03-30 1995-08-08 Penn State Research Foundation Controlled etching of oxides via gas phase reactions
US5468342A (en) 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
US5531835A (en) 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
US5767373A (en) 1994-06-16 1998-06-16 Novartis Finance Corporation Manipulation of protoporphyrinogen oxidase enzyme activity in eukaryotic organisms
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US6039851A (en) 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US5571577A (en) 1995-04-07 1996-11-05 Board Of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
US20010028922A1 (en) 1995-06-07 2001-10-11 Sandhu Gurtej S. High throughput ILD fill process for high aspect ratio gap fill
JP2814370B2 (ja) 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
US6197364B1 (en) 1995-08-22 2001-03-06 International Business Machines Corporation Production of electroless Co(P) with designed coercivity
US5755859A (en) 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
US6053982A (en) 1995-09-01 2000-04-25 Asm America, Inc. Wafer support system
US6228751B1 (en) 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US5719085A (en) 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5716506A (en) 1995-10-06 1998-02-10 Board Of Trustees Of The University Of Illinois Electrochemical sensors for gas detection
JPH09106899A (ja) 1995-10-11 1997-04-22 Anelva Corp プラズマcvd装置及び方法並びにドライエッチング装置及び方法
US5910340A (en) 1995-10-23 1999-06-08 C. Uyemura & Co., Ltd. Electroless nickel plating solution and method
US6015724A (en) 1995-11-02 2000-01-18 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
US5599740A (en) 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
US5648125A (en) 1995-11-16 1997-07-15 Cane; Frank N. Electroless plating process for the manufacture of printed circuit boards
US5846598A (en) 1995-11-30 1998-12-08 International Business Machines Corporation Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating
US5733816A (en) 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon
US6261637B1 (en) 1995-12-15 2001-07-17 Enthone-Omi, Inc. Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication
EP0811083B1 (en) 1995-12-19 2000-05-31 FSI International Electroless deposition of metal films with spray processor
EP0870327B1 (en) 1995-12-27 2002-09-11 Lam Research Corporation Method for filling trenches in a semiconductor wafer
US5679606A (en) 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
US6191026B1 (en) 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5891513A (en) 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5872052A (en) 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5648175A (en) 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US5656093A (en) 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
US5951601A (en) 1996-03-25 1999-09-14 Lesinski; S. George Attaching an implantable hearing aid microactuator
US5858876A (en) 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5712185A (en) 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
US6313035B1 (en) 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5781693A (en) 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US20010012700A1 (en) 1998-12-15 2001-08-09 Klaus F. Schuegraf Semiconductor processing methods of chemical vapor depositing sio2 on a substrate
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5888906A (en) 1996-09-16 1999-03-30 Micron Technology, Inc. Plasmaless dry contact cleaning method using interhalogen compounds
US5747373A (en) 1996-09-24 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd. Nitride-oxide sidewall spacer for salicide formation
US5846375A (en) 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US5904827A (en) 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
US5951776A (en) 1996-10-25 1999-09-14 Applied Materials, Inc. Self aligning lift mechanism
KR100237825B1 (ko) 1996-11-05 2000-01-15 윤종용 반도체장치 제조설비의 페디스탈
US5804259A (en) 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5935334A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5882786A (en) 1996-11-15 1999-03-16 C3, Inc. Gemstones formed of silicon carbide with diamond coating
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5830805A (en) 1996-11-18 1998-11-03 Cornell Research Foundation Electroless deposition equipment or apparatus and method of performing electroless deposition
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
FR2756663B1 (fr) 1996-12-04 1999-02-26 Berenguer Marc Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface
US5843538A (en) 1996-12-09 1998-12-01 John L. Raymond Method for electroless nickel plating of metal substrates
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US5913140A (en) 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
DE19700231C2 (de) 1997-01-07 2001-10-04 Geesthacht Gkss Forschung Vorrichtung zum Filtern und Trennen von Strömungsmedien
US5913147A (en) 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
JPH10223608A (ja) 1997-02-04 1998-08-21 Sony Corp 半導体装置の製造方法
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6013584A (en) 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US6190233B1 (en) 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6479373B2 (en) 1997-02-20 2002-11-12 Infineon Technologies Ag Method of structuring layers with a polysilicon layer and an overlying metal or metal silicide layer using a three step etching process with fluorine, chlorine, bromine containing gases
US5990000A (en) 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6059643A (en) 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
US5789300A (en) 1997-02-25 1998-08-04 Advanced Micro Devices, Inc. Method of making IGFETs in densely and sparsely populated areas of a substrate
US5850105A (en) 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
US5786276A (en) 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
US6030666A (en) 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
US5968610A (en) 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
US6149828A (en) 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US6204200B1 (en) 1997-05-05 2001-03-20 Texas Instruments Incorporated Process scheme to form controlled airgaps between interconnect lines to reduce capacitance
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US5838055A (en) 1997-05-29 1998-11-17 International Business Machines Corporation Trench sidewall patterned by vapor phase etching
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US6083344A (en) 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6136685A (en) 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5872058A (en) 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US5885749A (en) 1997-06-20 1999-03-23 Clear Logic, Inc. Method of customizing integrated circuits by selective secondary deposition of layer interconnect material
US5933757A (en) 1997-06-23 1999-08-03 Lsi Logic Corporation Etch process selective to cobalt silicide for formation of integrated circuit structures
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6518155B1 (en) 1997-06-30 2003-02-11 Intel Corporation Device structure and method for reducing silicide encroachment
US6364957B1 (en) 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
GB9722028D0 (en) 1997-10-17 1997-12-17 Shipley Company Ll C Plating of polymers
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6136693A (en) 1997-10-27 2000-10-24 Chartered Semiconductor Manufacturing Ltd. Method for planarized interconnect vias using electroless plating and CMP
US6013191A (en) 1997-10-27 2000-01-11 Advanced Refractory Technologies, Inc. Method of polishing CVD diamond films by oxygen plasma
US6063712A (en) 1997-11-25 2000-05-16 Micron Technology, Inc. Oxide etch and method of etching
US5849639A (en) 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
US6077780A (en) 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6406759B1 (en) 1998-01-08 2002-06-18 The University Of Tennessee Research Corporation Remote exposure of workpieces using a recirculated plasma
JPH11204442A (ja) 1998-01-12 1999-07-30 Tokyo Electron Ltd 枚葉式の熱処理装置
US6140234A (en) 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US5932077A (en) 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6197688B1 (en) 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6171661B1 (en) 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
US5920792A (en) 1998-03-19 1999-07-06 Winbond Electronics Corp High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6565729B2 (en) 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6602434B1 (en) 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
EP1070346A1 (en) 1998-04-02 2001-01-24 Applied Materials, Inc. Method for etching low k dielectrics
US6117245A (en) 1998-04-08 2000-09-12 Applied Materials, Inc. Method and apparatus for controlling cooling and heating fluids for a gas distribution plate
US6416647B1 (en) 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6113771A (en) 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
US6179924B1 (en) 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6093594A (en) 1998-04-29 2000-07-25 Advanced Micro Devices, Inc. CMOS optimization method utilizing sacrificial sidewall spacer
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
DE69835276T2 (de) 1998-05-22 2007-07-12 Applied Materials, Inc., Santa Clara Verfahren zur Herstellung einer selbst-planarisierten dielektrischen Schicht für eine seichte Grabenisolation
KR100296137B1 (ko) 1998-06-16 2001-08-07 박종섭 보호막으로서고밀도플라즈마화학기상증착에의한절연막을갖는반도체소자제조방법
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP2000012514A (ja) 1998-06-19 2000-01-14 Hitachi Ltd 後処理方法
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6562128B1 (en) 2001-11-28 2003-05-13 Seh America, Inc. In-situ post epitaxial treatment process
ATE316426T1 (de) 1998-06-30 2006-02-15 Semitool Inc Metallisierungsstrukturen für mikroelektronische anwendungen und verfahren zur herstellung dieser strukturen
US6037018A (en) 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6248429B1 (en) 1998-07-06 2001-06-19 Micron Technology, Inc. Metallized recess in a substrate
US6063683A (en) 1998-07-27 2000-05-16 Acer Semiconductor Manufacturing, Inc. Method of fabricating a self-aligned crown-shaped capacitor for high density DRAM cells
US6436816B1 (en) 1998-07-31 2002-08-20 Industrial Technology Research Institute Method of electroless plating copper on nitride barrier
US6074954A (en) 1998-08-31 2000-06-13 Applied Materials, Inc Process for control of the shape of the etch front in the etching of polysilicon
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6440863B1 (en) 1998-09-04 2002-08-27 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming patterned oxygen containing plasma etchable layer
US6165912A (en) 1998-09-17 2000-12-26 Cfmt, Inc. Electroless metal deposition of electronic components in an enclosable vessel
US6037266A (en) 1998-09-28 2000-03-14 Taiwan Semiconductor Manufacturing Company Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher
US6180523B1 (en) 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6228758B1 (en) 1998-10-14 2001-05-08 Advanced Micro Devices, Inc. Method of making dual damascene conductive interconnections and integrated circuit device comprising same
US6251802B1 (en) 1998-10-19 2001-06-26 Micron Technology, Inc. Methods of forming carbon-containing layers
US6107199A (en) 1998-10-24 2000-08-22 International Business Machines Corporation Method for improving the morphology of refractory metal thin films
JP3064268B2 (ja) 1998-10-29 2000-07-12 アプライド マテリアルズ インコーポレイテッド 成膜方法及び装置
US6176198B1 (en) 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
US6462371B1 (en) 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
US6203863B1 (en) 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6228233B1 (en) 1998-11-30 2001-05-08 Applied Materials, Inc. Inflatable compliant bladder assembly
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6251236B1 (en) 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6015747A (en) 1998-12-07 2000-01-18 Advanced Micro Device Method of metal/polysilicon gate formation in a field effect transistor
US6242349B1 (en) 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6364954B2 (en) 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
EP1014434B1 (de) 1998-12-24 2008-03-26 ATMEL Germany GmbH Verfahren zum anisotropen plasmachemischen Trockenätzen von Siliziumnitrid-Schichten mittels eines Fluor-enthaltenden Gasgemisches
KR20000044928A (ko) 1998-12-30 2000-07-15 김영환 반도체 소자의 트랜치 형성 방법
TW428256B (en) 1999-01-25 2001-04-01 United Microelectronics Corp Structure of conducting-wire layer and its fabricating method
US6245669B1 (en) 1999-02-05 2001-06-12 Taiwan Semiconductor Manufacturing Company High selectivity Si-rich SiON etch-stop layer
US6010962A (en) 1999-02-12 2000-01-04 Taiwan Semiconductor Manufacturing Company Copper chemical-mechanical-polishing (CMP) dishing
US6245670B1 (en) 1999-02-19 2001-06-12 Advanced Micro Devices, Inc. Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6312995B1 (en) 1999-03-08 2001-11-06 Advanced Micro Devices, Inc. MOS transistor with assisted-gates and ultra-shallow “Psuedo” source and drain extensions for ultra-large-scale integration
US6197705B1 (en) 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6238582B1 (en) 1999-03-30 2001-05-29 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
US6144099A (en) 1999-03-30 2000-11-07 Advanced Micro Devices, Inc. Semiconductor metalization barrier
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6110836A (en) 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
US6541671B1 (en) 2002-02-13 2003-04-01 The Regents Of The University Of California Synthesis of 2H- and 13C-substituted dithanes
JP3099066B1 (ja) 1999-05-07 2000-10-16 東京工業大学長 薄膜構造体の製造方法
US6323128B1 (en) 1999-05-26 2001-11-27 International Business Machines Corporation Method for forming Co-W-P-Au films
US20020033233A1 (en) 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6110530A (en) 1999-06-25 2000-08-29 Applied Materials, Inc. CVD method of depositing copper films by using improved organocopper precursor blend
US6277752B1 (en) 1999-06-28 2001-08-21 Taiwan Semiconductor Manufacturing Company Multiple etch method for forming residue free patterned hard mask layer
US6258223B1 (en) 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6516815B1 (en) 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
US6351013B1 (en) 1999-07-13 2002-02-26 Advanced Micro Devices, Inc. Low-K sub spacer pocket formation for gate capacitance reduction
US6342733B1 (en) 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6235643B1 (en) 1999-08-10 2001-05-22 Applied Materials, Inc. Method for etching a trench having rounded top and bottom corners in a silicon substrate
US6375748B1 (en) 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6441492B1 (en) 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6432819B1 (en) 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6153935A (en) 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
KR100338768B1 (ko) 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
US20010041444A1 (en) 1999-10-29 2001-11-15 Jeffrey A. Shields Tin contact barc for tungsten polished contacts
US6551924B1 (en) 1999-11-02 2003-04-22 International Business Machines Corporation Post metalization chem-mech polishing dielectric etch
KR20010051575A (ko) 1999-11-09 2001-06-25 조셉 제이. 스위니 살리사이드 처리를 위한 화학적 플라즈마 세정
TW484170B (en) 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6342453B1 (en) 1999-12-03 2002-01-29 Applied Materials, Inc. Method for CVD process control for enhancing device performance
US6238513B1 (en) 1999-12-28 2001-05-29 International Business Machines Corporation Wafer lift assembly
KR100767762B1 (ko) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6656831B1 (en) 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
US6494959B1 (en) 2000-01-28 2002-12-17 Applied Materials, Inc. Process and apparatus for cleaning a silicon surface
US6743473B1 (en) 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6350320B1 (en) 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
US6391788B1 (en) 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
JP3979791B2 (ja) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US6527968B1 (en) 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
JP2001355074A (ja) 2000-04-10 2001-12-25 Sony Corp 無電解メッキ処理方法およびその装置
US7892974B2 (en) 2000-04-11 2011-02-22 Cree, Inc. Method of forming vias in silicon carbide and resulting devices and circuits
JP2001308023A (ja) 2000-04-21 2001-11-02 Tokyo Electron Ltd 熱処理装置及び方法
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6679981B1 (en) 2000-05-11 2004-01-20 Applied Materials, Inc. Inductive plasma loop enhancing magnetron sputtering
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
US6603269B1 (en) 2000-06-13 2003-08-05 Applied Materials, Inc. Resonant chamber applicator for remote plasma source
US6645550B1 (en) 2000-06-22 2003-11-11 Applied Materials, Inc. Method of treating a substrate
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
KR100366623B1 (ko) 2000-07-18 2003-01-09 삼성전자 주식회사 반도체 기판 또는 lcd 기판의 세정방법
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6677242B1 (en) 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US6800830B2 (en) 2000-08-18 2004-10-05 Hitachi Kokusai Electric, Inc. Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
US6446572B1 (en) 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6372657B1 (en) 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
JP2002100578A (ja) 2000-09-25 2002-04-05 Crystage Co Ltd 薄膜形成装置
KR100375102B1 (ko) 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
US6403491B1 (en) 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US6610362B1 (en) 2000-11-20 2003-08-26 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
KR100382725B1 (ko) 2000-11-24 2003-05-09 삼성전자주식회사 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법
US6291348B1 (en) 2000-11-30 2001-09-18 Advanced Micro Devices, Inc. Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed
US6544340B2 (en) 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
US6448537B1 (en) 2000-12-11 2002-09-10 Eric Anton Nering Single-wafer process chamber thermal convection processes
US6461972B1 (en) * 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US20020124867A1 (en) 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US6879981B2 (en) 2001-01-16 2005-04-12 Corigin Ltd. Sharing live data with a non cooperative DBMS
US6743732B1 (en) 2001-01-26 2004-06-01 Taiwan Semiconductor Manufacturing Company Organic low K dielectric etch with NH3 chemistry
JP2002222934A (ja) 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
US6893969B2 (en) 2001-02-12 2005-05-17 Lam Research Corporation Use of ammonia for etching organic low-k dielectrics
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
JP2002256235A (ja) 2001-03-01 2002-09-11 Hitachi Chem Co Ltd 接着シート、半導体装置の製造方法および半導体装置
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
JP3924483B2 (ja) 2001-03-19 2007-06-06 アイピーエス リミテッド 化学気相蒸着装置
JP5013353B2 (ja) 2001-03-28 2012-08-29 隆 杉野 成膜方法及び成膜装置
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US20020177321A1 (en) 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
US7084070B1 (en) 2001-03-30 2006-08-01 Lam Research Corporation Treatment for corrosion in substrate processing
JP3707394B2 (ja) 2001-04-06 2005-10-19 ソニー株式会社 無電解メッキ方法
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
JP4720019B2 (ja) 2001-05-18 2011-07-13 東京エレクトロン株式会社 冷却機構及び処理装置
US20020197823A1 (en) 2001-05-18 2002-12-26 Yoo Jae-Yoon Isolation method for semiconductor device
US6717189B2 (en) 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
US6573606B2 (en) 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
US6506291B2 (en) 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
WO2002103782A2 (en) 2001-06-14 2002-12-27 Mattson Technology, Inc. Barrier enhancement process for copper interconnects
JP2003019433A (ja) 2001-07-06 2003-01-21 Sekisui Chem Co Ltd 放電プラズマ処理装置及びそれを用いた処理方法
KR100403630B1 (ko) 2001-07-07 2003-10-30 삼성전자주식회사 고밀도 플라즈마를 이용한 반도체 장치의 층간 절연막 형성방법
US6531377B2 (en) 2001-07-13 2003-03-11 Infineon Technologies Ag Method for high aspect ratio gap fill using sequential HDP-CVD
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6846745B1 (en) 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
JP3914452B2 (ja) 2001-08-07 2007-05-16 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
EP1418619A4 (en) 2001-08-13 2010-09-08 Ebara Corp SEMICONDUCTOR COMPONENTS AND MANUFACTURING METHOD THEREFOR AND PLATING SOLUTION
JP2003059914A (ja) 2001-08-21 2003-02-28 Hitachi Kokusai Electric Inc プラズマ処理装置
US20030038305A1 (en) 2001-08-21 2003-02-27 Wasshuber Christoph A. Method for manufacturing and structure of transistor with low-k spacer
US6762127B2 (en) 2001-08-23 2004-07-13 Yves Pierre Boiteux Etch process for dielectric materials comprising oxidized organo silane materials
US6753506B2 (en) 2001-08-23 2004-06-22 Axcelis Technologies System and method of fast ambient switching for rapid thermal processing
US20030129106A1 (en) 2001-08-29 2003-07-10 Applied Materials, Inc. Semiconductor processing using an efficiently coupled gas source
US6796314B1 (en) 2001-09-07 2004-09-28 Novellus Systems, Inc. Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process
US6656837B2 (en) 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
AU2002301252B2 (en) 2001-10-12 2007-12-20 Bayer Aktiengesellschaft Photovoltaic modules with a thermoplastic hot-melt adhesive layer and a process for their production
US20030072639A1 (en) 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
JP3759895B2 (ja) 2001-10-24 2006-03-29 松下電器産業株式会社 エッチング方法
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
KR100443121B1 (ko) 2001-11-29 2004-08-04 삼성전자주식회사 반도체 공정의 수행 방법 및 반도체 공정 장치
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US6905968B2 (en) 2001-12-12 2005-06-14 Applied Materials, Inc. Process for selectively etching dielectric layers
CN1605117B (zh) 2001-12-13 2010-05-12 应用材料股份有限公司 具有对氮化物肩部高度敏感性的自对准接触蚀刻
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6605874B2 (en) 2001-12-19 2003-08-12 Intel Corporation Method of making semiconductor device using an interconnect
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20030116439A1 (en) 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
US20030124842A1 (en) 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6677247B2 (en) 2002-01-07 2004-01-13 Applied Materials Inc. Method of increasing the etch selectivity of a contact sidewall to a preclean etchant
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
JP2003217898A (ja) 2002-01-16 2003-07-31 Sekisui Chem Co Ltd 放電プラズマ処理装置
US6869880B2 (en) 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US7256370B2 (en) 2002-03-15 2007-08-14 Steed Technology, Inc. Vacuum thermal annealer
US6913651B2 (en) 2002-03-22 2005-07-05 Blue29, Llc Apparatus and method for electroless deposition of materials on semiconductor substrates
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US20030190426A1 (en) 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US6921556B2 (en) 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6616967B1 (en) 2002-04-15 2003-09-09 Texas Instruments Incorporated Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process
US7013834B2 (en) 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
KR100448714B1 (ko) 2002-04-24 2004-09-13 삼성전자주식회사 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법
US6528409B1 (en) 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6908862B2 (en) 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
JP2003347278A (ja) 2002-05-23 2003-12-05 Hitachi Kokusai Electric Inc 基板処理装置、及び半導体装置の製造方法
US6500728B1 (en) 2002-05-24 2002-12-31 Taiwan Semiconductor Manufacturing Company Shallow trench isolation (STI) module to improve contact etch process window
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
KR100434110B1 (ko) 2002-06-04 2004-06-04 삼성전자주식회사 반도체 장치의 제조방법
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
WO2004006303A2 (en) 2002-07-02 2004-01-15 Applied Materials, Inc. Method for fabricating an ultra shallow junction of a field effect transistor
US6767844B2 (en) 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
US20040033677A1 (en) 2002-08-14 2004-02-19 Reza Arghavani Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
US7223701B2 (en) 2002-09-06 2007-05-29 Intel Corporation In-situ sequential high density plasma deposition and etch processing for gap fill
KR100500852B1 (ko) 2002-10-10 2005-07-12 최대규 원격 플라즈마 발생기
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
JP4606713B2 (ja) 2002-10-17 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US6699380B1 (en) 2002-10-18 2004-03-02 Applied Materials Inc. Modular electrochemical processing system
US7628897B2 (en) 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US6713873B1 (en) 2002-11-27 2004-03-30 Intel Corporation Adhesion between dielectric materials
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
JP3838969B2 (ja) 2002-12-17 2006-10-25 沖電気工業株式会社 ドライエッチング方法
US6933239B2 (en) * 2003-01-13 2005-08-23 Applied Materials, Inc. Method for removing conductive residue
US6720213B1 (en) 2003-01-15 2004-04-13 International Business Machines Corporation Low-K gate spacers by fluorine implantation
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7604708B2 (en) 2003-02-14 2009-10-20 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US20040182315A1 (en) 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US7126225B2 (en) 2003-04-15 2006-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for manufacturing a semiconductor wafer with reduced delamination and peeling
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US20040211357A1 (en) 2003-04-24 2004-10-28 Gadgil Pradad N. Method of manufacturing a gap-filled structure of a semiconductor device
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6903511B2 (en) 2003-05-06 2005-06-07 Zond, Inc. Generation of uniformly-distributed plasma
US7081414B2 (en) 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US7151277B2 (en) 2003-07-03 2006-12-19 The Regents Of The University Of California Selective etching of silicon carbide films
JP4245996B2 (ja) 2003-07-07 2009-04-02 株式会社荏原製作所 無電解めっきによるキャップ膜の形成方法およびこれに用いる装置
JP2005033023A (ja) 2003-07-07 2005-02-03 Sony Corp 半導体装置の製造方法および半導体装置
US7368392B2 (en) 2003-07-10 2008-05-06 Applied Materials, Inc. Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
JP3866694B2 (ja) 2003-07-30 2007-01-10 株式会社日立ハイテクノロジーズ Lsiデバイスのエッチング方法および装置
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
JP4239750B2 (ja) 2003-08-13 2009-03-18 セイコーエプソン株式会社 マイクロレンズ及びマイクロレンズの製造方法、光学装置、光伝送装置、レーザプリンタ用ヘッド、並びにレーザプリンタ
US20050035455A1 (en) 2003-08-14 2005-02-17 Chenming Hu Device with low-k dielectric in close proximity thereto and its method of fabrication
US7078312B1 (en) 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US6903031B2 (en) 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7030034B2 (en) 2003-09-18 2006-04-18 Micron Technology, Inc. Methods of etching silicon nitride substantially selectively relative to an oxide of aluminum
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
KR101109299B1 (ko) 2003-10-06 2012-01-31 어플라이드 머티어리얼스, 인코포레이티드 페이스-업 습식 프로세싱을 위해 웨이퍼 온도 균일성을강화시키는 장치
US7581511B2 (en) 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7465358B2 (en) 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US20070111519A1 (en) 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
JP2005129688A (ja) 2003-10-23 2005-05-19 Hitachi Ltd 半導体装置の製造方法
US7709392B2 (en) 2003-11-05 2010-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Low K dielectric surface damage control
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7202172B2 (en) 2003-12-05 2007-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Microelectronic device having disposable spacer
US7081407B2 (en) 2003-12-16 2006-07-25 Lam Research Corporation Method of preventing damage to porous low-k materials during resist stripping
US6958286B2 (en) 2004-01-02 2005-10-25 International Business Machines Corporation Method of preventing surface roughening during hydrogen prebake of SiGe substrates
US6893967B1 (en) 2004-01-13 2005-05-17 Advanced Micro Devices, Inc. L-shaped spacer incorporating or patterned using amorphous carbon or CVD organic materials
US20060033678A1 (en) 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US7291550B2 (en) 2004-02-13 2007-11-06 Chartered Semiconductor Manufacturing Ltd. Method to form a contact hole
JP4698251B2 (ja) 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060051966A1 (en) 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20070123051A1 (en) 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
JP4879159B2 (ja) 2004-03-05 2012-02-22 アプライド マテリアルズ インコーポレイテッド アモルファス炭素膜堆積のためのcvdプロセス
US7196342B2 (en) 2004-03-10 2007-03-27 Cymer, Inc. Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source
US7109521B2 (en) 2004-03-18 2006-09-19 Cree, Inc. Silicon carbide semiconductor structures including multiple epitaxial layers having sidewalls
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
JP2007537602A (ja) 2004-05-11 2007-12-20 アプライド マテリアルズ インコーポレイテッド フルオロカーボン化学エッチングにおけるh2添加物を使用しての炭素ドープ酸化ケイ素エッチング
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US7691686B2 (en) 2004-05-21 2010-04-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US7049200B2 (en) 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
US7122949B2 (en) 2004-06-21 2006-10-17 Neocera, Inc. Cylindrical electron beam generating/triggering device and method for generation of electrons
JP2006049817A (ja) 2004-07-07 2006-02-16 Showa Denko Kk プラズマ処理方法およびプラズマエッチング方法
US7217626B2 (en) 2004-07-26 2007-05-15 Texas Instruments Incorporated Transistor fabrication methods using dual sidewall spacers
US7192863B2 (en) 2004-07-30 2007-03-20 Texas Instruments Incorporated Method of eliminating etch ridges in a dual damascene process
US7329576B2 (en) * 2004-09-02 2008-02-12 Micron Technology, Inc. Double-sided container capacitors using a sacrificial layer
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7390710B2 (en) 2004-09-02 2008-06-24 Micron Technology, Inc. Protection of tunnel dielectric using epitaxial silicon
US20060093756A1 (en) 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US7618515B2 (en) 2004-11-15 2009-11-17 Tokyo Electron Limited Focus ring, plasma etching apparatus and plasma etching method
EP1831430A2 (en) 2004-12-21 2007-09-12 Applied Materials, Inc. An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20060130971A1 (en) 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US20060148243A1 (en) 2004-12-30 2006-07-06 Jeng-Ho Wang Method for fabricating a dual damascene and polymer removal
US7253123B2 (en) 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US7829243B2 (en) 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
JP4475136B2 (ja) 2005-02-18 2010-06-09 東京エレクトロン株式会社 処理システム、前処理装置及び記憶媒体
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US20060251801A1 (en) 2005-03-18 2006-11-09 Weidman Timothy W In-situ silicidation metallization process
TW200734482A (en) 2005-03-18 2007-09-16 Applied Materials Inc Electroless deposition process on a contact containing silicon or silicide
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7442274B2 (en) 2005-03-28 2008-10-28 Tokyo Electron Limited Plasma etching method and apparatus therefor
KR100689826B1 (ko) 2005-03-29 2007-03-08 삼성전자주식회사 불소 함유된 화학적 식각 가스를 사용하는 고밀도 플라즈마화학기상증착 방법들 및 이를 채택하여 반도체 소자를제조하는 방법들
US7288482B2 (en) 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
KR100745067B1 (ko) 2005-05-18 2007-08-01 주식회사 하이닉스반도체 반도체 소자의 트렌치 소자분리막 및 그 형성방법
US20070071888A1 (en) 2005-09-21 2007-03-29 Arulkumar Shanmugasundram Method and apparatus for forming device features in an integrated electroless deposition system
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US7696101B2 (en) 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
US20070107750A1 (en) 2005-11-14 2007-05-17 Sawin Herbert H Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers
US7405160B2 (en) 2005-12-13 2008-07-29 Tokyo Electron Limited Method of making semiconductor device
JP2007173383A (ja) 2005-12-20 2007-07-05 Sharp Corp トレンチ素子分離領域の形成方法、窒化シリコン膜ライナーの形成方法、半導体装置の製造方法
US7494545B2 (en) 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP5042517B2 (ja) 2006-04-10 2012-10-03 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2007311540A (ja) 2006-05-18 2007-11-29 Renesas Technology Corp 半導体装置の製造方法
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20080124937A1 (en) 2006-08-16 2008-05-29 Songlin Xu Selective etching method and apparatus
JP2008103645A (ja) 2006-10-20 2008-05-01 Toshiba Corp 半導体装置の製造方法
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7700479B2 (en) 2006-11-06 2010-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning processes in the formation of integrated circuit interconnect structures
US20080142483A1 (en) 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
WO2008074672A1 (en) 2006-12-20 2008-06-26 Nxp B.V. Improving adhesion of diffusion barrier on cu containing interconnect element
US7808053B2 (en) 2006-12-29 2010-10-05 Intel Corporation Method, apparatus, and system for flash memory
KR100853485B1 (ko) 2007-03-19 2008-08-21 주식회사 하이닉스반도체 리세스 게이트를 갖는 반도체 소자의 제조 방법
US20080233709A1 (en) 2007-03-22 2008-09-25 Infineon Technologies North America Corp. Method for removing material from a semiconductor
JP5135879B2 (ja) 2007-05-21 2013-02-06 富士電機株式会社 炭化珪素半導体装置の製造方法
US8084105B2 (en) 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
KR101050454B1 (ko) 2007-07-02 2011-07-19 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 및 그 형성방법
US8008166B2 (en) 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
US7871926B2 (en) 2007-10-22 2011-01-18 Applied Materials, Inc. Methods and systems for forming at least one dielectric layer
US8252696B2 (en) 2007-10-22 2012-08-28 Applied Materials, Inc. Selective etching of silicon nitride
WO2009071627A2 (de) 2007-12-04 2009-06-11 Parabel Ag Mehrschichtiges solarelement
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
JP2009170890A (ja) 2007-12-18 2009-07-30 Takashima & Co Ltd 可撓性膜状太陽電池複層体
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US20090275206A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
US7709396B2 (en) 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
US7968441B2 (en) 2008-10-08 2011-06-28 Applied Materials, Inc. Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8563090B2 (en) 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering
US20100099263A1 (en) 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
JP2010154699A (ja) 2008-12-26 2010-07-08 Hitachi Ltd 磁束可変型回転電機
US8193075B2 (en) 2009-04-20 2012-06-05 Applied Materials, Inc. Remote hydrogen plasma with ion filter for terminating silicon dangling bonds
US8211808B2 (en) 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US8202803B2 (en) 2009-12-11 2012-06-19 Tokyo Electron Limited Method to remove capping layer of insulation dielectric in interconnect structures
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
JP5450187B2 (ja) 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8435902B2 (en) 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
US8475674B2 (en) 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20120009796A1 (en) 2010-07-09 2012-01-12 Applied Materials, Inc. Post-ash sidewall healing
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US20120285621A1 (en) 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010008803A1 (en) * 1998-03-17 2001-07-19 Toshi Takamatsu Plasma surface treatment method and resulting device
JP2000058508A (ja) * 1998-08-12 2000-02-25 Seiko Epson Corp ドライエッチング方法及び装置
JP2000164559A (ja) * 1998-09-22 2000-06-16 Seiko Epson Corp シリコン系物質の選択エッチング方法および装置
JP2010512650A (ja) * 2006-12-11 2010-04-22 アプライド マテリアルズ インコーポレイテッド 乾燥フォトレジスト除去プロセスと装置
JP2008235632A (ja) * 2007-03-22 2008-10-02 Seiko Epson Corp 洗浄装置及び方法
US20100105209A1 (en) * 2008-10-23 2010-04-29 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US20100187588A1 (en) * 2009-01-29 2010-07-29 Kim Gil-Sub Semiconductor memory device including a cylinder type storage node and a method of fabricating the same

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015528647A (ja) * 2012-09-17 2015-09-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 差異的な酸化ケイ素エッチング
JP2015529405A (ja) * 2012-09-20 2015-10-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 炭窒化ケイ素の選択的エッチング
KR20180018824A (ko) * 2015-07-07 2018-02-21 어플라이드 머티어리얼스, 인코포레이티드 조정 가능한 원격 해리
JP2018522417A (ja) * 2015-07-07 2018-08-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 調節可能な遠隔解離
KR102638568B1 (ko) 2015-07-07 2024-02-21 어플라이드 머티어리얼스, 인코포레이티드 조정 가능한 원격 해리
JP2018006405A (ja) * 2016-06-28 2018-01-11 株式会社Screenホールディングス エッチング方法
JP2020522131A (ja) * 2017-05-31 2020-07-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 無水エッチングの方法
JP2021515394A (ja) * 2018-02-28 2021-06-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空隙を形成するためのシステム及び方法
US11335565B2 (en) 2018-02-28 2022-05-17 Applied Materials, Inc. Systems and methods to form airgaps
JP7072075B2 (ja) 2018-02-28 2022-05-19 アプライド マテリアルズ インコーポレイテッド 空隙を形成するためのシステム及び方法
JP2022116000A (ja) * 2018-02-28 2022-08-09 アプライド マテリアルズ インコーポレイテッド 空隙を形成するためのシステム及び方法
JP7401593B2 (ja) 2018-02-28 2023-12-19 アプライド マテリアルズ インコーポレイテッド 空隙を形成するためのシステム及び方法

Also Published As

Publication number Publication date
US20120211462A1 (en) 2012-08-23
TWI528448B (zh) 2016-04-01
TW201248720A (en) 2012-12-01
US8771539B2 (en) 2014-07-08
CN103380485A (zh) 2013-10-30
KR20140016903A (ko) 2014-02-10
JP5844390B2 (ja) 2016-01-13
CN103380485B (zh) 2016-05-25
WO2012115750A2 (en) 2012-08-30
KR20150115947A (ko) 2015-10-14
KR101592850B1 (ko) 2016-02-18
WO2012115750A3 (en) 2012-11-15

Similar Documents

Publication Publication Date Title
JP5844390B2 (ja) 遠隔励起式のフッ素および水蒸気エッチング
TWI601204B (zh) 自由基成分的氧化物蝕刻
US9887096B2 (en) Differential silicon oxide etch
TWI606511B (zh) 非原地的電漿氧化物蝕刻
US9384997B2 (en) Dry-etch selectivity
US9236266B2 (en) Dry-etch for silicon-and-carbon-containing films
JP6272873B2 (ja) 炭窒化ケイ素の選択的エッチング
US8642481B2 (en) Dry-etch for silicon-and-nitrogen-containing films
KR101975236B1 (ko) 실리콘과 산소를 모두 함유하고 있는 물질들의 건식 에칭률의 선택적 억제
JP2013529391A (ja) シリコン膜用選択エッチング

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150202

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150309

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20150309

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20150318

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150324

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150623

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150924

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20151020

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20151118

R150 Certificate of patent or registration of utility model

Ref document number: 5844390

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250