KR20180018824A - 조정 가능한 원격 해리 - Google Patents

조정 가능한 원격 해리 Download PDF

Info

Publication number
KR20180018824A
KR20180018824A KR1020187003372A KR20187003372A KR20180018824A KR 20180018824 A KR20180018824 A KR 20180018824A KR 1020187003372 A KR1020187003372 A KR 1020187003372A KR 20187003372 A KR20187003372 A KR 20187003372A KR 20180018824 A KR20180018824 A KR 20180018824A
Authority
KR
South Korea
Prior art keywords
plasma
exposed portion
region
substrate processing
remote
Prior art date
Application number
KR1020187003372A
Other languages
English (en)
Other versions
KR102638568B1 (ko
Inventor
순만 박
케네스 디. 샷츠
순욱 정
드미트리 루보미르스키
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20180018824A publication Critical patent/KR20180018824A/ko
Application granted granted Critical
Publication of KR102638568B1 publication Critical patent/KR102638568B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

패터닝된 기판의 노출된 부분을 제2 노출된 부분에 대하여 선택적으로 에칭하는 방법들이 설명된다. 이 에칭 프로세스는 불활성 프리커서로부터 원격 플라즈마에 형성된 플라즈마 유출물들과 결합하기 전에 임의의 플라즈마에서 여기되지 않은 산화 프리커서를 사용하는 가스상 에칭이다. 플라즈마 유출물들은 플라즈마-프리 원격 챔버 영역에서 및/또는 플라즈마-프리 기판 처리 영역에서 산화 프리커서와 결합될 수 있다. 플라즈마 유출물들의 결합은 산화 프리커서를 여기시키고 패터닝된 기판의 노출된 부분으로부터 재료를 제거한다. 에칭율은 산화 프리커서의 유량 또는 여기되지 않은/플라즈마 여기된 유량 비율을 조정함으로써 제어 가능하고 선택 가능하다.

Description

조정 가능한 원격 해리
본 명세서에 개시된 실시예들은 원격 플라즈마 에칭 프로세스에 관한 것이다.
집적 회로들은 기판 표면들에 복잡하게 패터닝된 재료 층들을 생성하는 프로세스들에 의해 가능하게 된다. 기판에 패터닝된 재료를 생성하기 위해서는 노출된 재료의 제거를 위한 제어된 방법들이 요구된다. 포토레지스트 패턴을 기저 층들 내로 전사하는 것(transferring), 층들을 박형화하는 것(thinning) 또는 표면 상에 이미 존재하는 피쳐들의 횡방향 치수(lateral dimension)들을 박형화하는 것을 포함하는 다양한 목적들을 위해 화학적인 에칭이 이용된다. 하나의 재료를 다른 재료보다 빠르게 에칭하여, 예를 들어 패턴 전사 프로세스의 진행을 돕는 에칭 프로세스를 갖는 것이 바람직한 경우가 종종 있다. 그러한 에칭 프로세스는 제2 재료에 비해 제1 재료에 선택성이 있다고 말한다. 재료들, 회로들 및 프로세스들의 다양성의 결과로서, 다양한 재료들에 대한 선택성(selectivity)을 이용하여 에칭 프로세스들이 개발되었다.
건식 에칭 프로세스들은 반도체 기판들로부터 재료를 선택적으로 제거하기에 종종 바람직하다. 그러한 바람직함은 물리적인 방해를 최소로 하여 소형의 구조물들로부터 재료를 완만하게(gently) 제거하는 능력으로부터 기인한다. 건식 에칭 프로세스들은 또한, 가스상 시약(gas phase reagent)들을 제거함으로써, 에칭율이 갑자기(abruptly) 중단되게 할 수도 있다. 일부 건식 에칭 프로세스들은 하나 이상의 프리커서로부터 형성되는 원격 플라즈마 부산물들에 대한 기판의 노출을 수반한다. (로컬에서가 아닌) 원격 플라즈마 시스템에서의 에천트들의 원격 여기는 선택성을 바람직하게 증가시킬 수 있다.
부분적으로는, 특히 기존의 원격 플라즈마 하드웨어를 사용할 때 선택성을 더욱 증가시키기 위한 방법들이 요구된다.
패터닝된 기판의 노출된 부분을 제2 노출된 부분에 대하여 선택적으로 에칭하는 방법들이 설명된다. 에칭 프로세스는 원격 플라즈마를 통과하지 않는 산화 프리커서(예를 들어, 할로겐-함유 프리커서)를 사용하는 가스상 에칭(gas phase etch)이다. 대신, 불활성 종들이 원격 플라즈마에서 여기되어 제1 플라즈마 유출물들을 형성한다. 제1 플라즈마 유출물들은 원격 챔버 영역에서 산화 프리커서와 상호 작용하여 제2 플라즈마 유출물들을 형성할 수 있다. 그 후 제2 플라즈마 유출물들은 샤워헤드를 통해 기판 처리 영역으로 전달되어 패터닝된 기판의 노출된 부분으로부터 재료를 제거할 수 있다. 원격 챔버 영역 및 기판 처리 영역은 본 명세서에 설명된 에칭 프로세스 동안에 플라즈마-프리일 수 있다. 대안적으로, 산화 프리커서는 기판 처리 영역에 직접 들어갈 수 있고 제1 플라즈마 유출물들은 샤워헤드를 통해 기판 처리 영역 내로 전달되고 거기서 결합이 발생한다. 따라서, 실시예들에 따라 기판 처리 영역에서 혼합이 발생할 수 있다.
본 명세서에 개시된 실시예들은 패터닝된 기판을 에칭하는 방법들을 포함한다. 방법들은 패터닝된 기판을 기판 처리 챔버의 기판 처리 영역 내에 배치하는 단계를 포함한다. 패터닝된 기판은 제1 노출된 부분 및 제2 노출된 부분을 포함한다. 방법들은 기판 처리 영역에 유체 결합된 원격 플라즈마 영역 내로 제1 불활성 가스 및 제1 산화 프리커서를 유동시키면서 원격 플라즈마 영역에 원격 플라즈마를 형성하여 플라즈마 유출물들을 생성하는 단계를 추가로 포함한다. 방법들은 플라즈마 유출물들을 제2 불활성 가스 및 제2 산화 프리커서와 결합하는 단계를 추가로 포함한다. 방법들은 플라즈마 유출물들, 제2 불활성 가스 및 제2 산화 프리커서의 결합으로 제1 노출된 부분을 에칭하는 단계를 추가로 포함한다. 제1 노출된 부분은 제1 에칭율로 에칭되고 제2 노출된 부분은 제1 에칭율보다 낮은 제2 에칭율로 에칭된다.
본 명세서에 개시된 실시예들은 패터닝된 기판을 에칭하는 방법들을 포함한다. 방법들은 패터닝된 기판을 기판 처리 챔버의 기판 처리 영역 내에 배치하는 단계를 포함한다. 패터닝된 기판은 제1 노출된 부분 및 제2 노출된 부분을 포함한다. 방법들은 기판 처리 챔버의 외부에 있고 기판 처리 챔버 내의 원격 챔버 영역에 유체 결합된 원격 플라즈마 시스템 내로 불활성 가스를 유동시키는 단계를 추가로 포함한다. 방법들은 원격 플라즈마 시스템에서 원격 플라즈마를 형성하여 플라즈마 유출물들을 생성하는 단계를 추가로 포함한다. 방법들은 원격 챔버 영역 내로 플라즈마 유출물들을 유동시키는 단계를 추가로 포함한다. 방법들은 원격 챔버 영역 내로 산화 프리커서를 유동시키는 단계를 추가로 포함한다. 방법들은 플라즈마 유출물들 및 불활성 가스의 결합으로 제1 노출된 부분을 에칭하는 단계를 추가로 포함한다. 제1 노출된 부분은 제1 에칭율로 에칭되고 제2 노출된 부분은 제1 에칭율보다 낮은 제2 에칭율로 에칭된다.
본 명세서에 개시된 실시예들은 패터닝된 기판을 에칭하는 방법들을 포함한다. 방법들은 패터닝된 기판을 기판 처리 챔버의 기판 처리 영역 내에 배치하는 단계를 포함한다. 패터닝된 기판은 제1 노출된 부분 및 제2 노출된 부분을 포함한다. 방법들은 기판 처리 영역에 유체 결합된 원격 플라즈마 영역 내로 불활성 가스를 유동시키면서 원격 플라즈마 영역에 원격 플라즈마를 형성하여 플라즈마 유출물들을 생성하는 단계를 추가로 포함한다. 방법들은 기판 처리 영역에서 플라즈마 유출물들을 할로겐-함유 프리커서와 결합하는 단계를 추가로 포함한다. 방법들은 플라즈마 유출물들 및 할로겐-함유 프리커서의 결합으로 제1 노출된 부분을 에칭하는 단계를 추가로 포함한다. 제1 노출된 부분은 제1 에칭율로 에칭되고 제2 노출된 부분은 제1 에칭율보다 낮은 제2 에칭율로 에칭된다.
추가의 실시예들 및 특징들은 부분적으로는 아래의 설명에 제시되며, 부분적으로는 명세서를 검토한 때에 본 기술분야의 통상의 기술자들에게 분명해질 것이거나 개시된 실시예들의 실시에 의해 학습될 수 있다. 개시된 실시예들의 특징들 및 이점들은 본 명세서에 설명된 수단들, 조합들, 및 방법들에 의해 실현되고 달성될 수 있다.
실시예들의 속성 및 이점들의 추가적인 이해는 본 명세서의 나머지 부분들 및 도면들을 참조하여 실현될 수 있다.
도 1은 실시예들에 따른 실리콘 산화물 선택적 에칭 프로세스의 흐름도이다.
도 2는 실시예에 따른 실리콘 산화물 에칭 양의 플롯이다.
도 3a는 실시예들에 따른 기판 처리 챔버의 개략적 단면도를 보여준다.
도 3b는 실시예들에 따른 기판 처리 챔버의 일부의 개략적 단면도를 보여준다.
도 3c는 실시예들에 따른 샤워헤드의 저면도를 보여준다.
도 4는 실시예들에 따른 예시적인 기판 처리 시스템의 평면도를 보여준다.
첨부 도면들에서, 유사한 컴포넌트들 및/또는 피쳐들은 동일한 참조 라벨을 가질 수 있다. 또한, 동일한 유형의 다양한 컴포넌트들은 참조 라벨 다음의 대시(-) 및 유사한 컴포넌트들을 구분하는 제2 라벨에 의해 구분될 수 있다. 명세서에서 제1 참조 라벨만이 이용되는 경우, 설명은 제2 참조 라벨과 관계없이 동일한 제1 참조 라벨을 갖는 유사한 컴포넌트들 중 임의의 컴포넌트에 적용될 수 있다.
패터닝된 기판의 노출된 부분을 제2 노출된 부분에 대하여 선택적으로 에칭하는 방법들이 설명된다. 에칭 프로세스는 원격 플라즈마를 통과하지 않는 산화 프리커서(예를 들어, 할로겐-함유 프리커서)를 사용하는 가스상 에칭(gas phase etch)이다. 대신, 불활성 종들이 원격 플라즈마에서 여기되어 제1 플라즈마 유출물들을 형성한다. 제1 플라즈마 유출물들은 원격 챔버 영역에서 산화 프리커서와 상호 작용하여 제2 플라즈마 유출물들을 형성할 수 있다. 그 후 제2 플라즈마 유출물들은 샤워헤드를 통해 기판 처리 영역으로 전달되어 패터닝된 기판의 노출된 부분으로부터 재료를 제거할 수 있다. 원격 챔버 영역 및 기판 처리 영역은 본 명세서에 설명된 에칭 프로세스 동안에 플라즈마-프리일 수 있다. 대안적으로, 산화 프리커서는 기판 처리 영역에 직접 들어갈 수 있고 제1 플라즈마 유출물들은 샤워헤드를 통해 기판 처리 영역 내로 전달되고 거기서 결합이 발생한다.
과거에, 원격 가스상 에칭 프로세스(remote gas phase etch process)들은 원격 플라즈마에서 NF3를 여기시키고 플라즈마 유출물들을 기판 처리 영역 내로 유동시켰다. 그러한 프로세스들에서는, 선택성을 맞춤화하기 위해 추가 가스들이 원격 플라즈마 및/또는 원격 플라즈마의 다운스트림에 추가되었다. 일부 원격 플라즈마 하드웨어(예를 들어, 유도 결합 플라즈마 하드웨어)를 사용해서는 바람직한 플라즈마 전력을 선택하는 것이 어려웠다. 챔버 세정 레시피들에 대해서는 높은 플라즈마 전력이 바람직하지만 패터닝된 기판 처리(훨씬 더 민감한 동작)를 위해서는 훨씬 더 낮은 전력들이 요구된다. 본 명세서에 설명된 에칭 프로세스의 이점은 에칭율의 감소를 수반하고 컴포넌트의 수명을 증가시키는 플라즈마 전력의 감소도 수반한다. 본 명세서에 설명된 원격 플라즈마 가스상 에칭 프로세스들은 잘 제어되고 선택 가능한 에칭율로 하나의 기판 부분을 다른 기판 부분보다 훨씬 더 급속하게 제거한다.
본 명세서에 개시된 실시예들을 더 잘 이해하고 인식하기 위해, 이하에서는, 실시예들에 따른 실리콘 산화물 선택적 에칭 프로세스(101)의 흐름도인 도 1을 참조한다. 제1 동작 전에, 기판은 패터닝되고 패터닝된 기판 상에 노출된 실리콘 산화물 부분이 형성된다. 패터닝된 기판 상에 노출된 실리콘 질화물 부분도 형성된다. 그 후 동작 110에서, 패터닝된 기판이 기판 처리 영역 내에 배치된다.
동작 120에서는, 불활성 가스(예를 들어, 아르곤)가 원격 플라즈마 시스템(RPS) 내로 유동될 수 있다. RPS는 실시예들에서 선택적 에칭(101) 동안 불활성 가스들만을 포함할 수 있다. 불활성은 원격 플라즈마 시스템에 형성된 원격 플라즈마에서 여기될 수 있다. 원격 플라즈마 시스템은 기판 처리 챔버의 외부에 위치하고 매니폴드를 통해 기판 처리 챔버 내의 원격 챔버 영역에 유체 결합된다. 동작 130에서는, 산화 프리커서(예를 들어 NF3)가 원격 챔버 영역 내로 유동된다. 원격 챔버 영역은 이 예시적인 실시예에서 플라즈마-프리일 수 있다. 동작 140에서는, 원격 플라즈마 시스템에서 형성된 제1 플라즈마 유출물들이 매니폴드를 통해 원격 챔버 영역 내로 유동되고 산화 프리커서와 결합된다. 제1 플라즈마 유출물들은 실시예들에 따라 산화 프리커서에 지배적인 또는 유일한 여기를 제공한다. 산화 프리커서는 실시예들에서 제1 플라즈마 유출물들과 결합되기 전에 어떠한 플라즈마에 의해서도 직접 여기되지 않는다. 산화 프리커서와 제1 플라즈마 유출물들의 결합은 동작 150에서 샤워헤드에 의해 기판 처리 영역 내로 유동되는 "제2" 플라즈마 유출물들을 형성한다. 동작 160에서는, 노출된 실리콘 산화물 부분이 선택적으로 에칭된다. 반응성 화학 종들이 제거되고 기판 처리 영역으로부터 기판이 제거된다(동작 170).
일반적으로 말하면, 산화 프리커서는 실시예들에서 할로겐 또는 산소 중 적어도 하나를 포함할 수 있다. 산화 프리커서는 할로겐화물일 수 있다. 산화 프리커서는 패터닝된 기판의 노출된 부분의 노출된 원소의 원자가를 증가시킬 수 있다. 불활성 가스는 실시예들에 따른 프로세스 동안 패터닝된 기판의 표면에 어떤 공유 결합도 형성하지 않는 가스 또는 희가스를 포함할 수 있다. 산화 프리커서가 할로겐-함유 프리커서인 실시예들에서, 할로겐-함유 프리커서는 Cl, Cl2, F, F2, NF3, CF4, HF 및 XeF2로 이루어진 그룹으로부터 선택된 프리커서를 포함할 수 있다. 산화 프리커서가 산소-함유 프리커서인 실시예들에서, 산소-함유 프리커서는 O2, O3, N2O, H2O, NO2 및 N2O2 중 하나 이상을 포함할 수 있다.
원격 플라즈마 영역은 선택적 에칭 프로세스(101)에서와 같이 기판 처리 챔버와 분리되지만 일반적으로 기판 처리 챔버에 부착되는 별개의 모듈(RPS) 내에 있을 수 있다. 산화 프리커서는 실시예들에 따라 매니폴드 내로, 원격 챔버 영역 내로 또는 직접 기판 처리 영역 내로 유동할 수 있다. 한편, 원격 플라즈마 영역은 샤워헤드에 의해 기판 처리 영역으로부터 분리된 원격 챔버 영역일 수 있다. 그 후 산화 프리커서는 원격 챔버 영역에서 플라즈마에 의해 여기되는 것을 피하기 위해, 실시예들에서, 기판 처리 영역 내로 직접 유동할 수 있다. 마지막으로, 원격 플라즈마 영역은, 실시예들에서, RPS와 원격 챔버 영역 둘 다를 포함할 수 있고, 산화 프리커서는 이 경우에도 기판 처리 영역 내로 직접 유동할 수 있다.
일부 실시예에서, 원격 플라즈마 영역은 제1 노출된 부분을 에칭하는 동작 동안에 할로겐들이 없고/없거나 산소가 없을 수 있다. 원격 플라즈마 영역은 실시예들에서 불활성 가스들만을 포함할 수 있다. 이 경우에, 패터닝된 기판의 제1 노출된 부분으로부터 재료를 제거하는 반응성 종들은 플라즈마 유출물들에 의해서만 여기되고 어떠한 플라즈마에서도 직접 여기되지 않는다.
실시예들에 따라, 제1 불활성 가스 및 제1 산화 프리커서의 결합이 원격 플라즈마 영역 내로 유동되어 플라즈마 유출물들을 형성할 수 있다. 제2 불활성 가스 및 제2 산화 프리커서의 결합이 실시예들에 따라 어떠한 플라즈마도 먼저 통과하지 않고 원격 챔버 영역 또는 기판 처리 영역 내로 유동될 수 있다. 불활성 가스들과 산화 프리커서들의 비율들 및 제1 유동 대 제2 유동의 비율은 패터닝된 기판의 노출된 부분의 에칭율을 결정한다. 제1 불활성 가스 대 제1 산화 프리커서의 비율은 실시예들에 따라 제2 불활성 가스 대 제2 산화 프리커서의 비율과 동일할 수 있다. 동일한 비율은 제1 유량 및 제2 유량을 생성하는 데 공통 소스가 사용되는 것을 가능하게 한다. 실시예들에서, 제1 유량 대 제2 유량의 비율은, 일정한 유량의 선택 가능한 부분은 원격 플라즈마 영역 내로 그리고 나머지 부분은 적절히 기판 처리 영역 또는 플라즈마-프리 원격 챔버 영역 내로 단순히 지향시키는 단일 밸브에 의해 결정된다.
제1 불활성 가스 및/또는 제2 불활성 가스는 실시예들에서 네온, 아르곤, 헬륨, 희가스 또는 N2 중 하나이다. 제1 불활성 가스 및/또는 제2 불활성 가스는 실시예에서 아르곤 및 헬륨을 포함할 수 있다. 제1 산화 프리커서 및/또는 제2 산화 프리커서는 실시예들에 따라 할로겐을 포함할 수 있다. 제1 산화 프리커서 및/또는 제2 산화 프리커서는 실시예들에서 산소를 포함할 수 있다. 제1 산화 프리커서 및/또는 제2 산화 프리커서는 실시예들에 따라 O2, O3, N2O, H2O, NO2 및 N2O2 중 하나 이상을 포함할 수 있다. 제1 산화 프리커서 및/또는 제2 산화 프리커서는 실시예들에서 Cl, Cl2, F, F2, NF3, CF4, HF 및 XeF2 중 하나 이상을 포함할 수 있다. 제1 산화 프리커서 및/또는 제2 산화 프리커서는 실시예들에서 수소를 포함할 수 있지만 무 산소 및 무 할로겐일 수 있다. 제1 산화 프리커서 및/또는 제2 산화 프리커서는 실시예들에 따라 H2 및 NH3 중 하나 이상을 포함할 수 있다.
이하에서는 실시예들에 따른 선택적 에칭 프로세스(101)를 포함하는 실리콘 산화물 에칭 프로세스들 동안의 실리콘 산화물 에칭 양의 플롯인 도 2를 참조한다. 플라즈마 전류(210)를 변화시키면 실리콘 산화물 에칭 양의 변화가 비교적 작아진다. 그 결과, 플라즈마 전류는 패터닝된 기판 상의 노출된 재료의 에칭율을 감소시키는 이상적인 방법이 아니다. 본 명세서에 제시된 방법들의 이점은 낮은 에칭율들에서도 에칭율이 잘 제어될 수 있다는 점이다. 예를 들어, 프론트-엔드 에칭 프로세스들은 피쳐들이 극도로 작기 때문에 매우 낮은 에칭율로부터 종종 이익을 얻는다. 도 2는 원격 챔버 영역 또는 기판 처리 영역 내로의 산화 프리커서의 유량을 감소시킴으로써 어떻게 에칭율이 감소(220)될 수 있는지를 보여준다. 유량은 RPS 전력을 크게 감소시키지 않고 감소된다. 본 명세서에 제시된 프로세스들의 또 다른 이점은 패터닝된 기판의 노출된 부분들의 에칭율을 제어 가능하게 감소시키는 대안적인 방법들보다 원격 플라즈마가 더 안정적이라는 점이다. 유량 또는 유량 비율을 변화시키는 것이 패터닝된 기판의 제1 노출된 부분의 에칭율에 비례하는 것으로 밝혀진 원자 불소 농도를 변화시켰는지를 결정하기 위해 광 방출 분광학이 사용되었다.
도 1 및 도 2에 의해 대표되는 예시적인 예들은 실리콘 산화물의 제1 노출된 부분 및 실리콘 질화물의 제2 노출된 부분을 갖는 패터닝된 기판을 사용하였다. 일반적으로 말하면, 본 명세서에 제시된 방법들은 상이한 원자 성분들을 모두 포함하는 상이한 원자 화학양론(상이한 원자 농도 비율)을 단순히 갖는 제2 노출된 부분보다 더 빠르게 제1 노출된 부분을 선택적으로 에칭하는 데 사용될 수 있다. 제1 노출된 부분은 실시예들에서 제2 노출된 부분에 존재하지 않는 적어도 하나의 원소를 함유한다. 제2 노출된 부분은 실시예들에 따라 제1 노출된 부분에 존재하지 않는 적어도 하나의 원소를 함유한다. 제1 에칭율은 제1 노출된 부분의 에칭율을 묘사하는 데 사용될 수 있고, 제2 에칭율은 대안적인 재료의 제2 노출된 부분의 에칭율을 묘사하는 데 사용될 수 있다. 제1 에칭율은 실시예들에서 제2 에칭율을 80배 이상, 120배 이상 또는 150배 이상 초과할 수 있다.
에칭 동작들 동안 기판 처리 영역 및 원격 플라즈마 영역(들) 내의 압력은 실시예들에서 0.1 Torr 내지 50 Torr, 1 Torr 내지 15 Torr 또는 5 Torr 내지 10 Torr일 수 있다. 에칭 동작들 동안 패터닝된 기판의 온도는 실시예들에서 -20℃ 내지 450℃, 0℃ 내지 350℃ 또는 5℃ 내지 200℃일 수 있다. 본 명세서에서 설명된 방법들은 0 Å 내지 300 Å의 재현 가능하고 선택 가능한 에칭 양을 야기하였다.
방법은 원격 플라즈마 영역(들)에서 동작 120 동안 불활성 가스에 전력을 인가하여 플라즈마 유출물들을 생성하는 단계를 또한 포함한다. 본 명세서에 설명된 플라즈마 파라미터들은 패터닝된 기판을 에칭하는 데 사용되는 원격 플라즈마들에 적용된다. 본 기술분야의 통상의 기술자에 의해 이해되는 바와 같이, 플라즈마는 라디칼들과 이온들을 포함하는 다수의 대전된 그리고 중성 종들을 포함할 수 있다. 플라즈마는 알려진 기술들(예를 들어, RF, 용량 결합, 유도 결합)을 이용하여 생성될 수 있다. 일 실시예에서, 원격 플라즈마 전력은 25 W 내지 2 kW의 레벨에서 원격 플라즈마 영역에 인가될 수 있다. 본 명세서에 제시된 모든 전력 범위들은 RPS 또는 챔버 플라즈마 영역인 원격 플라즈마 영역에 대해 적용된다. 본 명세서에 설명된 비교적 낮은 플라즈마 전력들은 챔버 부품들의 수명이 더 길어지고 또한 에칭 동작들 동안 사용되는 전력/생성되는 열이 감소되는 이점들을 제공할 수 있다. 원격 플라즈마 전력은 실시예들에서, 토로이드형 코일들(toroidal coils) 또는 유도 코일들을 사용하여 인가될 수 있고, 그 경우 원격 플라즈마는 유도 결합 플라즈마(ICP: inductively-coupled plasma)라고 언급될 것이고, 또는 용량성 플레이트들을 사용하여 인가될 수 있고, 그 경우 원격 플라즈마는 용량 결합 플라즈마(CCP: capacitive-coupled plasma)라고 언급될 것이다. 다른 가능한 플라즈마 파라미터들 및 범위들은 본 명세서에서 예시적인 장비와 함께 설명될 것이다.
실시예들에서, 이온 억제기(샤워헤드일 수 있음)가 가스상 에칭을 위한 라디칼 및/또는 중성 종들을 제공하는 데 사용될 수 있다. 이온 억제기는 또한 이온 억제 요소라고도 언급될 수 있고 샤워헤드와 함께 원격 챔버 영역과 기판 처리 영역 사이에 위치될 수 있다. 실시예들에서, 예를 들어, 이온 억제기는 원격 플라즈마 영역(들)으로부터 기판 처리 영역으로 가는 도중의 에칭 플라즈마 유출물들을 필터링하는 데 사용된다. 이온 억제기는 이온들보다 더 높은 농도의 라디칼들을 갖는 반응성 가스를 제공하는 데 사용될 수 있다. 플라즈마 유출물들은 원격 플라즈마 영역과 기판 처리 영역 사이에 배치된 이온 억제기를 통과한다. 이온 억제기는 플라즈마 생성 영역으로부터 기판까지 이동하는 이온 종들을 극적으로 감소시키거나 실질적으로 제거하는 기능을 한다. 본 명세서에 설명된 이온 억제기들은 본 명세서에 설명된 가스상 에칭 프로세스들 동안 기판 처리 영역에서 낮은 전자 온도를 달성하는 단지 하나의 방법일 뿐이다.
실시예들에서, 플라즈마 유출물들의 전자 온도를 감소시키기 위해 전자 빔이 기판에 평행한 평면에서 기판 처리 영역을 통해 전달된다. 전자 빔이 이러한 방식으로 적용되는 경우 더 단순한 샤워헤드가 사용될 수 있다. 전자 빔은 실시예들에서 기판 위에 배치된 라미너 시트(laminar sheet)로서 전달될 수 있다. 전자 빔은 음 전하를 중화시키는 소스를 제공하고, 실시예들에서 기판을 향한 양으로 대전된 이온의 유동을 감소시키고 에칭 선택성을 증가시키기 위한 더 능동적인 수단을 제공한다. 플라즈마 유출물들의 유동 및 전자 빔의 동작을 지배하는 다양한 파라미터들은 기판 처리 영역에서 측정된 전자 온도를 낮추기 위해 조정될 수 있다.
전자 온도는 원격 플라즈마에서 플라즈마의 여기 동안 기판 처리 영역에서 랭뮤어(Langmuir) 프로브를 사용하여 측정될 수 있다. 본 명세서에 설명된 모든 플라즈마-프리 영역들에서(특히 기판 처리 영역에서), 전자 온도는 0.5 eV 미만, 0.45 eV 미만, 0.4 eV 미만, 또는 0.35 eV 미만일 수 있다. 전자 온도에 대한 이들 극도로 낮은 값들은 전자 빔, 샤워헤드 및/또는 이온 억제기의 존재에 의해 가능해진다. 대전되지 않은 중성 및 라디칼 종들은 기판에서 반응하기 위해 전자 빔 및/또는 이온 억제기에서의 개구들을 통과할 수 있다. 라디칼들 및 다른 중성 종들을 이용하는 그러한 프로세스는 스퍼터링 및 충돌을 포함하는 종래의 플라즈마 에칭 프로세스들에 비해 플라즈마 손상을 감소시킬 수 있다. 본 명세서에 개시된 실시예들은 또한 액체들의 표면 장력이 소형 피쳐들의 구부러짐(bending)과 박리(peeling)를 초래할 수 있는 종래의 습식 에칭 프로세스들에 비해 유리하다.
본 명세서에서 기판 처리 영역은 본 명세서에 설명된 에칭 프로세스 동안 "플라즈마-프리(plasma-free)"로 묘사될 수 있다. "플라즈마-프리"는 반드시 이 영역에 플라즈마가 없다는 것을 의미하지는 않는다. 플라즈마 영역에서 생성된 이온화된 종들 및 자유 전자들은 극도로 작은 농도들로 파티션(샤워헤드) 내의 공극들(애퍼처들)을 통해 이동할 수 있다. 원격 플라즈마 영역(예를 들어, 원격 챔버 영역 및/또는 원격 플라즈마 영역) 내의 플라즈마의 경계들은 샤워헤드 내의 애퍼처들을 통해 기판 처리 영역 상에 어떤 작은 정도까지 침범할 수 있다. 또한, 본 명세서에 설명된 에칭 프로세스들의 바람직한 특징들을 제거하지 않으면서 기판 처리 영역에 저 강도 플라즈마가 생성될 수 있다. 여기된 플라즈마 유출물들의 생성 동안에 원격 플라즈마 영역보다 훨씬 낮은 강도의 이온 밀도를 가진 플라즈마에 대한 모든 원인들은 본 명세서에서 사용된 "플라즈마-프리"의 범위를 벗어나지 않는다. 원격 챔버 영역은 원격 플라즈마를 가질 수 있거나 플라즈마-프리일 수 있으며, 그 경우, 원격 플라즈마 시스템이 불활성 가스의 원격 여기를 제공하는 데 사용될 수 있다.
도 3a는 기판 처리 챔버(1001) 내의 파티셔닝된 영역을 갖는 예시적인 기판 처리 챔버(1001)의 단면도를 보여준다. 파티셔닝된 영역은 본 명세서에서 기판 처리 영역(1033)에 대한 파티셔닝으로 인해 원격 챔버 영역이라고 언급될 것이다. 원격 플라즈마 시스템(RPS)(1002)은 도시된 바와 같이 기판 처리 챔버(1001) 상에 그리고 그 외부에 존재할 수 있다. RPS(1002)는 불활성 공급 라인(1011)을 통해 공급되는 불활성 가스를 여기시키는 데 사용될 수 있다. RPS(1002)에서 형성된 플라즈마 유출물들은 그 후 유출물 혼합 영역(1005) 내로 이동하여 산화 프리커서 공급 라인(1012)을 통해 공급된 산화 프리커서와 결합한다.
냉각 플레이트(1003), 면판(faceplate)(1017), 이온 억제기(1023), 샤워헤드(1025), 및 그 위에 기판(1055)이 배치되어 있는 기판 지지체(1065)(페디스털이라고도 알려짐)가 도시되어 있고 실시예들에 따라 각각 포함될 수 있다. 페디스털(1065)은 열교환 채널을 가질 수 있고 이를 통하여 열교환 유체가 유동하여 기판의 온도를 제어한다. 이 구성은 기판(1055) 온도가 -20℃ 내지 200℃와 같은 비교적 낮은 온도를 유지하도록 냉각 또는 가열되게 할 수 있다. 페디스털(1065)은 또한 내장된 가열기 요소를 사용하여, 100℃ 내지 1100℃와 같은 비교적 높은 온도로 저항성으로 가열될 수 있다.
유출물 혼합 영역(1005)은 면판(1017)에 의해 원격 챔버 영역(1015)으로부터 파티셔닝된 가스 공급 영역(1058) 내로 개방되어, 가스들/종들은 면판(1017) 내의 홀들을 통해 원격 챔버 영역(1015) 내로 유동한다. 구조 및 동작 특징들은 원격 챔버 영역(1015)으로부터의 플라즈마의, 가스 공급 영역(1058), 유출물 혼합 영역(1005), 및 유체 공급 시스템(1010) 내로의 상당한 역류를 방지하도록 선택될 수 있다. 구조 특징들은 원격 챔버 영역(1015)에서 플라즈마가 생성되는 경우들에서 역류 플라즈마(back-streaming plasma)를 비활성화시키기 위해 면판(1017) 내의 애퍼처들의 치수들 및 단면 기하형상들을 선택하는 것을 포함할 수 있다. 동작 특징들은 샤워헤드(1025)를 통한 플라즈마 유출물들의 단방향 유동을 유지하는 가스 공급 영역(1058)과 원격 챔버 영역(1015) 간의 압력 차이를 유지하는 것을 포함할 수 있다. 면판(1017), 또는 챔버의 전도성 최상부 부분과, 샤워헤드(1025)는 그 피쳐들 사이에 위치하는 절연 링(1020)과 함께 도시되어 있는데, 이는 샤워헤드(1025) 및/또는 이온 억제기(1023)에 대하여 면판(1017)에 AC 전위가 인가되도록 허용한다. 절연 링(1020)은 면판(1017)과 샤워헤드(1025) 및/또는 이온 억제기(1023) 사이에 위치하여, 용량 결합된 플라즈마(CCP)가 원격 챔버 영역 내에 형성되는 것을 가능하게 할 수 있다. 원격 챔버 영역(1015)은 원격 플라즈마를 형성하는 데 사용될 때 챔버 플라즈마 영역이라고 언급될 수 있다. 그러나, 실시예들에서는 원격 챔버 영역(1015)에 플라즈마가 존재하지 않는다. 불활성 가스는 실시예들에 따라 RPS(1002)에서만 여기될 수 있다.
이온 억제기(1023) 내의 복수의 홀들은 이온 억제기(1023)를 통한, 활성화된 가스, 즉, 이온, 라디칼, 및/또는 중성 종들의 통과를 제어하도록 구성될 수 있다. 예를 들어, 홀들의 종횡비, 즉 홀 직경 대 길이, 및/또는 홀들의 기하형상은 이온 억제기(1023)를 통과하는 활성화된 가스 내의 이온성 대전된 종들의 유동이 감소되도록 제어될 수 있다. 이온 억제기(1023) 내의 홀들은 원격 챔버 영역(1015)을 마주보는 테이퍼형 부분, 및 샤워헤드(1025)를 마주보는 원통형 부분을 포함할 수 있다. 원통형 부분은 샤워헤드(1025)로 통과하는 이온 종들의 유동을 제어하도록 형상과 치수가 정해질 수 있다. 이온 억제기(1023)를 통한 이온 종들의 유동을 제어하기 위한 추가적인 수단으로서 조절 가능한 전기 바이어스가 억제기에 또한 인가될 수 있다. 이온 억제 요소(1023)는 플라즈마 생성 영역으로부터 기판으로 이동하는 이온성 대전된 종들의 양을 감소시키거나 제거하는 기능을 할 수 있다. 대전되지 않은 중성 및 라디칼 종들은 기판과 반응하기 위해 이온 억제기 내의 개구들을 여전히 통과할 수 있다.
플라즈마 전력은 다양한 주파수들 또는 다수의 주파수들의 조합의 전력일 수 있다. 예시적인 처리 시스템에서 원격 플라즈마는 이온 억제기(1023) 및/또는 샤워헤드(1025)에 대하여 면판(1017)에 전달되는 RF 전력에 의해 제공될 수 있다. RF 전력은 RPS(1002) 내에 대안적으로 또는 조합하여 인가될 수 있다. 챔버 구성요소들(예를 들어, RPS(1002))의 수명을 증가시키기 위해, RF 전력은 10 와트 내지 10,000 와트, 10 와트 내지 5,000 와트, 바람직하게는 25 와트 내지 2000 와트 또는 더 바람직하게는 50 와트 내지 1500 와트일 수 있다. 예시적인 처리 시스템에서 원격 플라즈마 영역(챔버 플라즈마 영역 및/또는 RPS)으로 인가되는 RF 주파수는 실시예들에서 200 kHz 미만의 낮은 RF 주파수들, 10 MHz 내지 15 MHz의 더 높은 RF 주파수들, 또는 약 1 GHz 이상의 마이크로파 주파수들일 수 있다. 플라즈마 전력은 원격 플라즈마 영역 내로 용량 결합(CCP) 또는 유도 결합(ICP)될 수 있다.
RPS(1002) 및/또는 원격 챔버 영역(1015) 내의 불활성 가스로부터 유도된 여기된 종들은 이온 억제기(1023) 및/또는 샤워헤드(1025) 내의 애퍼처들을 통해 이동하여, 실시예들에서 샤워헤드의 별개의 부분으로부터 기판 처리 영역(1033) 내로 유동하는 산화 프리커서와 반응할 수 있다. 원격 플라즈마 에칭 프로세스 동안에 기판 처리 영역(1033)에는 플라즈마가 거의 또는 전혀 존재하지 않을 수 있다. 프리커서들 및 불활성 가스들의 여기된 유도체들(derivatives)은 기판 위의 영역에서 및/또는 기판 상에서 결합하여, 기판으로부터 종들을 제거하거나 구조물들을 에칭할 수 있다.
도 3b는 면판(1017)을 통한 처리 가스 분배에 영향을 미치는 피쳐들의 상세도를 보여준다. 처리 챔버 섹션(1001)에서 사용하기 위한 샤워헤드(1025)와 같은 가스 분배 어셈블리들은 이중 채널 샤워헤드들(DCSH)이라고 언급될 수 있고 본 명세서에서 도 3a뿐만 아니라 도 3c에서 설명된 실시예들에서 추가로 상세 설명된다. 이중 채널 샤워헤드는 기판 처리 영역(1033) 외부의 에천트들이 기판 처리 영역(1033) 내로 전달되기 전에 챔버 구성요소들과의 그리고 서로의 제한된 상호 작용을 제공하기 위해 에천트들의 분리를 가능하게 하는 에칭 프로세스들을 제공할 수 있다.
샤워헤드(1025)는 상부 플레이트(1014) 및 하부 플레이트(1016)를 포함할 수 있다. 이 플레이트들은 서로 결합되어 플레이트들 사이의 용적(volume)(1018)을 정의할 수 있다. 이 플레이트 구성은 상부 및 하부 플레이트들을 통해 제1 유체 채널들(1019)을 제공하고, 하부 플레이트(1016)를 통해 제2 유체 채널들(1021)을 제공할 수 있다. 형성된 채널들은 제2 유체 채널들(1021)만을 통해 하부 플레이트(1016)를 통하여 용적(1018)으로부터의 유체 접근을 제공하도록 구성될 수 있고, 제1 유체 채널들(1019)은 플레이트들과 제2 유체 채널들(1021) 사이의 용적(1018)으로부터 유체 격리될 수 있다. 용적(1018)은 가스 분배 어셈블리(1025)의 측면을 통해 유체 접근 가능할 수 있고 실시예들에서는 산화 프리커서를 공급하는 데 사용될 수 있다. 도 3a 내지 도 3c의 예시적인 시스템은 이중 채널 샤워헤드를 포함하고 있지만, 기판 처리 영역(1033)에 앞서 유체 격리된 제1 및 제2 프리커서들을 유지하는 대안적인 분배 어셈블리들이 이용될 수도 있다는 것이 이해된다. 예를 들어, 천공된 플레이트 및 이 플레이트 아래의 튜브들이 이용될 수도 있지만, 다른 구성들이 감소된 효율로 동작할 수 있거나 또는 설명된 이중 채널 샤워헤드만큼 균일한 처리를 제공하지 않을 수 있다.
도시된 실시예들에서, 샤워헤드(1025)는 원격 챔버 영역(1015) 내의 불활성 가스의 플라즈마 여기로부터 형성된 플라즈마 유출물들을 제1 유체 채널들(1019)을 통해 분배할 수 있다. 실시예들에서, RPS(1002) 및/또는 원격 챔버 영역(1015) 내로 도입된 불활성 가스는 아르곤을 포함할 수 있다.
도 3c는 실시예들에서 처리 챔버와 함께 사용하기 위한 샤워헤드(1025)의 저면도이다. 샤워헤드(1025)는 도 3a에 도시된 샤워헤드와 대응한다. 제1 유체 채널들(1019)의 뷰를 보여주는 관통 홀들(through-holes)(1031)은 샤워헤드(1025)를 통한 프리커서들의 유동을 제어하고 그 유동에 영향을 미치는 복수의 형상 및 구성을 가질 수 있다. 제2 유체 채널들(1021)의 뷰를 보여주는 작은 홀들(1027)은 샤워헤드의 표면에 걸쳐, 심지어 관통 홀들(1031) 사이에, 실질적으로 균등하게 분포될 수 있고, 이는 프리커서들이 샤워헤드를 빠져나갈 때 다른 구성들보다 프리커서들의 더욱 고른 혼합을 제공하는 데 도움이 될 수 있다.
원격 챔버 영역(1015) 또는 RPS 내의 영역은 원격 플라즈마 영역이라고 언급될 수 있다. 실시예들에서, 플라즈마 유출물들은 원격 플라즈마 영역에서 형성되고 원격 챔버 영역 또는 기판 처리 영역 내로 이동하여 산화 프리커서와 결합한다. 실시예들에서, 산화 프리커서는 플라즈마 유출물들에 의해서만 여기된다. 플라즈마 전력은 실시예들에서 원격 플라즈마 영역에만 본질적으로 적용되어 플라즈마 유출물들이 지배적인 여기를 제공하도록 보장할 수 있다.
건식 에칭 시스템들의 실시예들은 집적 회로 칩들을 생성하기 위한 더 큰 제조 시스템들에 통합될 수 있다. 도 4는 실시예들에서 퇴적, 에칭, 베이킹, 및 경화 챔버들의 하나의 그러한 처리 시스템(메인프레임)(1101)을 보여준다. 도면에서, 한 쌍의 FOUP들(front opening unified pods)(로드 락 챔버들(1102))이 다양한 사이즈의 기판들을 공급하는데, 이 기판들은 로봇 암들(1104)에 의해 수용되고, 기판 처리 챔버들(1108a-f) 중 하나 내로 배치되기 전에 저압 유지 영역(1106) 내에 배치된다. 유지 영역(1106)으로부터 기판 처리 챔버들(1108a-f)로 그리고 그 역으로 기판 웨이퍼들을 수송하기 위해 제2 로봇 암(1110)이 이용될 수 있다. 각각의 기판 처리 챔버(1108a-f)는, 주기적 층 퇴적(CLD), 원자 층 퇴적(ALD), 화학 기상 증착(CVD), 물리 기상 증착(PVD), 에칭, 예비 세정(pre-clean), 탈기(degas), 배향, 및 다른 기판 프로세스들 이외에도 본 명세서에 설명된 건식 에칭 프로세스들을 포함하는 다수의 기판 처리 동작들을 수행하도록 갖추어질 수 있다.
본 명세서에서 이용될 때, "기판"은 그 위에 층들이 형성되거나 형성되지 않은 지지 기판일 수 있다. 패터닝된 기판은 절연체이거나, 또는 다양한 도핑 농도들 및 프로파일들의 반도체일 수 있으며, 예를 들어, 집적 회로들의 제조에 이용되는 타입의 반도체 기판일 수 있다. 패터닝된 기판의 노출된 "실리콘 산화물"은 대부분 SiO2이지만, 예를 들어 질소, 수소, 및 탄소와 같은 다른 기본 구성요소들의 농도들을 포함할 수 있다. 일부 실시예들에서, 본 명세서에 개시된 방법들을 이용하여 에칭된 실리콘 산화물 부분들은 본질적으로 실리콘과 산소로 구성된다. 패터닝된 기판의 노출된 "실리콘 질화물"은 대부분 Si3N4이지만, 예를 들어 산소, 수소, 및 탄소와 같은 다른 기본 구성요소들의 농도들을 포함할 수 있다. 일부 실시예들에서, 본 명세서에 설명된 실리콘 질화물 부분들은 본질적으로 실리콘과 질소로 구성된다. 일반적으로 말하면, 패터닝된 기판의 제1 노출된 부분은 제2 노출된 부분보다 빠르게 에칭된다. 제1 노출된 부분은 제2 노출된 부분과 다른 원자 화학양론을 가질 수 있다. 실시예들에서, 제1 노출된 부분은 제2 노출된 부분에 존재하지 않는 원소를 함유할 수 있다. 유사하게, 제2 노출된 부분은 실시예들에 따라 제1 노출된 부분에 존재하지 않는 원소를 함유할 수 있다.
용어 "프리커서"는 표면으로부터 재료를 제거하거나 표면 상에 재료를 퇴적하는 반응에 참여하는 임의의 화학물질을 지칭하기 위해 사용된다. "플라즈마 유출물들"은 원격 플라즈마 영역으로부터 나가고 원격 챔버 영역 및/또는 기판 처리 영역으로 들어가는 가스를 묘사한다. 플라즈마 유출물들은 "여기된 상태"에 있고, 이 상태에서는 그 가스 분자들 중 적어도 일부가 진동 여기, 해리 및/또는 이온화된 상태들에 있다. "라디칼 프리커서(radical precursor)"는 표면으로부터 재료를 제거하거나 표면 상에 재료를 퇴적하는 반응에 참여하는 플라즈마 유출물들(플라즈마를 빠져나가는 여기된 상태의 가스)을 묘사하기 위해 사용된다. "라디칼-불소 프리커서들"은 불소를 포함하지만 다른 기본 구성요소들을 포함할 수 있는 라디칼 프리커서들을 묘사한다. "라디칼-산소 프리커서들"은 산소를 포함하지만 다른 기본 구성요소들을 포함할 수 있는 라디칼 프리커서들을 묘사한다. "불활성 가스"라는 문구는 에칭되거나 막 내에 통합될 때 화학 결합들을 형성하지 않는 임의의 가스를 지칭한다. 예시적인 불활성 가스들은 희가스들을 포함하지만, (전형적으로) 미량(trace amounts)이 필름에 포획될 때 화학 결합들이 형성되지 않는 한 다른 가스들을 포함할 수 있다.
몇몇 실시예들을 개시하였지만, 개시된 실시예들의 사상을 벗어나지 않고도 다양한 수정들, 대안적인 구성들, 및 등가물들이 이용될 수 있다는 점을 본 기술분야의 통상의 기술자들은 인식할 것이다. 추가로, 본 실시예들을 불필요하게 모호하게 하는 것을 회피하기 위해 다수의 잘 알려진 프로세스들 및 요소들은 설명되지 않았다. 따라서, 상술한 설명은 청구항들의 범위를 제한하는 것으로 간주되어서는 안 된다.
값들의 범위가 제공되는 경우, 맥락이 명백하게 다르게 지시하지 않는 한, 그 범위의 상한과 하한 사이에서, 하한의 단위의 1/10까지 각각의 중간 값(intervening value)이 또한 구체적으로 개시되는 것으로 이해된다. 언급된 범위 내에 임의의 언급된 값이나 중간 값과 그 언급된 범위 내의 임의의 다른 언급된 값이나 중간 값 사이에 각각의 더 작은 범위가 망라된다. 이러한 더 작은 범위들의 상한들 및 하한들은 그 범위 내에 독립적으로 포함되거나 제외될 수 있으며, 언급된 범위에서 임의의 구체적으로 제외되는 한계치를 조건으로 하여, 한계치들 중 어느 한쪽 또는 양쪽 모두가 더 작은 범위들 내에 포함되거나, 어느 쪽도 포함되지 않는 각각의 범위도 청구항들 내에 망라된다. 언급된 범위가 한계치들 중 어느 한쪽 또는 양쪽 모두를 포함하는 경우, 그러한 포함된 한계치들 중 어느 한쪽 또는 양쪽 모두를 제외한 범위도 포함된다.
본 명세서 및 첨부된 청구항들에서 이용될 때, 단수 형태("a", "an" 및 "the")는 맥락이 명백하게 다르게 지시하지 않는 한, 복수의 지시대상도 포함한다. 따라서, 예를 들어, "프로세스"에 대한 언급은 복수의 그러한 프로세스를 포함하고, "유전체 재료"에 대한 언급은 본 기술분야의 통상의 기술자들에게 알려진 하나 이상의 유전체 재료 및 그 등가물들에 대한 언급을 포함하는 등이다.
또한, 본 명세서 및 이하의 청구항들에서 사용될 때의 용어 "포함한다"("comprise," "comprising," "include," "including," 및 "includes")는 언급된 특징들, 정수들, 컴포넌트들, 또는 단계들의 존재를 명시하도록 의도되지만, 그들은 하나 이상의 다른 특징들, 정수들, 컴포넌트들, 단계들, 동작들 또는 그룹들의 존재 또는 추가를 배제하지 않는다.

Claims (15)

  1. 패터닝된 기판을 에칭하는 방법으로서,
    상기 패터닝된 기판을 기판 처리 챔버의 기판 처리 영역 내에 배치하는 단계 - 상기 패터닝된 기판은 제1 노출된 부분 및 제2 노출된 부분을 포함함 -;
    상기 기판 처리 영역에 유체 결합된 원격 플라즈마 영역 내로 제1 불활성 가스 및 제1 산화 프리커서를 유동시키면서 상기 원격 플라즈마 영역에 원격 플라즈마를 형성하여 플라즈마 유출물들을 생성하는 단계;
    상기 플라즈마 유출물들을 제2 불활성 가스 및 제2 산화 프리커서와 결합하는 단계; 및
    상기 플라즈마 유출물들, 상기 제2 불활성 가스 및 상기 제2 산화 프리커서의 결합으로 상기 제1 노출된 부분을 에칭하는 단계를 포함하고, 상기 제1 노출된 부분은 제1 에칭율로 에칭되고 상기 제2 노출된 부분은 상기 제1 에칭율보다 낮은 제2 에칭율로 에칭되는, 방법.
  2. 제1항에 있어서, 상기 제1 불활성 가스와 상기 제2 불활성 가스 중 적어도 하나는 아르곤인, 방법.
  3. 제1항에 있어서, 상기 제1 산화 프리커서와 상기 제2 산화 프리커서 둘 다는 할로겐을 포함하는, 방법.
  4. 제1항에 있어서, 상기 기판 처리 영역은 상기 노출된 실리콘 산화물 부분을 에칭하는 동작 동안 플라즈마-프리(plasma-free)인, 방법.
  5. 제1항에 있어서, 상기 제2 불활성 가스도 상기 제2 산화 프리커서도 상기 기판 처리 챔버에 진입하기 전에 임의의 원격 플라즈마에서 여기되지 않는, 방법.
  6. 제1항에 있어서, 상기 제1 노출된 부분은 상기 제2 노출된 부분에 존재하지 않는 적어도 하나의 원소를 함유하는, 방법.
  7. 제1항에 있어서, 상기 제1 노출된 부분과 상기 제2 노출된 부분은 상이한 원자 조성물들을 갖는, 방법.
  8. 제1항에 있어서, 상기 제1 산화 프리커서와 상기 제2 산화 프리커서는 산소를 포함하는, 방법.
  9. 제1항에 있어서, 상기 제1 산화 프리커서와 상기 제2 산화 프리커서는 O2, O3, N2O, H2O, NO2 및 N2O2 중 하나 이상을 포함하는, 방법.
  10. 패터닝된 기판을 에칭하는 방법으로서,
    상기 패터닝된 기판을 기판 처리 챔버의 기판 처리 영역 내에 배치하는 단계 - 상기 패터닝된 기판은 제1 노출된 부분 및 제2 노출된 부분을 포함함 -;
    상기 기판 처리 챔버의 외부에 있고 상기 기판 처리 챔버 내의 원격 챔버 영역에 유체 결합된 원격 플라즈마 시스템 내로 불활성 가스를 유동시키는 단계;
    상기 원격 플라즈마 시스템에서 원격 플라즈마를 형성하여 플라즈마 유출물들을 생성하는 단계;
    상기 원격 챔버 영역 내로 상기 플라즈마 유출물들을 유동시키는 단계;
    상기 원격 챔버 영역 내로 산화 프리커서를 유동시키는 단계; 및
    상기 플라즈마 유출물들 및 상기 불활성 가스의 결합으로 상기 제1 노출된 부분을 에칭하는 단계를 포함하고, 상기 제1 노출된 부분은 제1 에칭율로 에칭되고 상기 제2 노출된 부분은 상기 제1 에칭율보다 낮은 제2 에칭율로 에칭되는, 방법.
  11. 제10항에 있어서, 상기 기판 처리 영역 내의 전자 온도는 상기 노출된 실리콘 산화물 부분을 에칭하는 동작 동안 0.5 eV 미만인, 방법.
  12. 제10항에 있어서, 상기 산화 프리커서는 상기 플라즈마 유출물들에 의해 주로 여기되는, 방법.
  13. 패터닝된 기판을 에칭하는 방법으로서,
    상기 패터닝된 기판을 기판 처리 챔버의 기판 처리 영역 내에 배치하는 단계 - 상기 패터닝된 기판은 제1 노출된 부분 및 제2 노출된 부분을 포함함 -;
    상기 기판 처리 영역에 유체 결합된 원격 플라즈마 영역 내로 불활성 가스를 유동시키면서 상기 원격 플라즈마 영역에 원격 플라즈마를 형성하여 플라즈마 유출물들을 생성하는 단계;
    상기 기판 처리 영역에서 상기 플라즈마 유출물들을 할로겐-함유 프리커서와 결합하는 단계; 및
    상기 플라즈마 유출물들 및 상기 할로겐-함유 프리커서의 결합으로 상기 제1 노출된 부분을 에칭하는 단계를 포함하고, 상기 제1 노출된 부분은 제1 에칭율로 에칭되고 상기 제2 노출된 부분은 상기 제1 에칭율보다 낮은 제2 에칭율로 에칭되는, 방법.
  14. 제13항에 있어서, 상기 할로겐-함유 프리커서는 Cl, Cl2, F, F2, NF3, CF4, HF 및 XeF2로 이루어진 그룹으로부터 선택된 프리커서를 포함하는, 방법.
  15. 제13항에 있어서, 상기 제1 에칭율은 상기 제2 에칭율을 약 80배 이상 초과하는, 방법.
KR1020187003372A 2015-07-07 2016-06-10 조정 가능한 원격 해리 KR102638568B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/793,508 US9922840B2 (en) 2015-07-07 2015-07-07 Adjustable remote dissociation
US14/793,508 2015-07-07
PCT/US2016/036851 WO2017007571A1 (en) 2015-07-07 2016-06-10 Adjustable remote dissociation

Publications (2)

Publication Number Publication Date
KR20180018824A true KR20180018824A (ko) 2018-02-21
KR102638568B1 KR102638568B1 (ko) 2024-02-21

Family

ID=57685574

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187003372A KR102638568B1 (ko) 2015-07-07 2016-06-10 조정 가능한 원격 해리

Country Status (6)

Country Link
US (1) US9922840B2 (ko)
JP (1) JP6824241B2 (ko)
KR (1) KR102638568B1 (ko)
CN (1) CN107924839B (ko)
TW (1) TWI699828B (ko)
WO (1) WO2017007571A1 (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10950500B2 (en) * 2017-05-05 2021-03-16 Applied Materials, Inc. Methods and apparatus for filling a feature disposed in a substrate
US11276559B2 (en) * 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
KR20220143158A (ko) 2018-01-15 2022-10-24 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 산화에 대한 아르곤 추가
US11131919B2 (en) 2018-06-22 2021-09-28 International Business Machines Corporation Extreme ultraviolet (EUV) mask stack processing

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060065367A1 (en) * 2004-09-30 2006-03-30 Tokyo Electron Limited Plasma processing system for treating a substrate
US20120211462A1 (en) * 2011-02-22 2012-08-23 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US20140054269A1 (en) * 2012-08-27 2014-02-27 Eric A. Hudson Plasma-enhanced etching in an augmented plasma processing system.
US20140302681A1 (en) * 2013-04-05 2014-10-09 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US8956980B1 (en) * 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
KR20150056641A (ko) * 2012-09-18 2015-05-26 어플라이드 머티어리얼스, 인코포레이티드 라디칼-성분 산화물 에칭

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1083593A1 (en) 1999-09-10 2001-03-14 Interuniversitair Micro-Elektronica Centrum Vzw Etching of silicon nitride by anhydrous halogen gas
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US8211808B2 (en) 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
US8435902B2 (en) 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
US8475674B2 (en) 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20120009796A1 (en) 2010-07-09 2012-01-12 Applied Materials, Inc. Post-ash sidewall healing
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US20120238108A1 (en) 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060065367A1 (en) * 2004-09-30 2006-03-30 Tokyo Electron Limited Plasma processing system for treating a substrate
US20120211462A1 (en) * 2011-02-22 2012-08-23 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
JP2014507073A (ja) * 2011-02-22 2014-03-20 アプライド マテリアルズ インコーポレイテッド 遠隔励起式のフッ素および水蒸気エッチング
US20140054269A1 (en) * 2012-08-27 2014-02-27 Eric A. Hudson Plasma-enhanced etching in an augmented plasma processing system.
KR20150056641A (ko) * 2012-09-18 2015-05-26 어플라이드 머티어리얼스, 인코포레이티드 라디칼-성분 산화물 에칭
US20140302681A1 (en) * 2013-04-05 2014-10-09 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US8956980B1 (en) * 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride

Also Published As

Publication number Publication date
TW201705276A (zh) 2017-02-01
JP2018522417A (ja) 2018-08-09
CN107924839A (zh) 2018-04-17
JP6824241B2 (ja) 2021-02-03
US9922840B2 (en) 2018-03-20
KR102638568B1 (ko) 2024-02-21
TWI699828B (zh) 2020-07-21
WO2017007571A1 (en) 2017-01-12
US20170011931A1 (en) 2017-01-12
CN107924839B (zh) 2022-06-14

Similar Documents

Publication Publication Date Title
US9837284B2 (en) Oxide etch selectivity enhancement
US10424485B2 (en) Enhanced etching processes using remote plasma sources
US10424464B2 (en) Oxide etch selectivity systems and methods
US9472412B2 (en) Procedure for etch rate consistency
US9378969B2 (en) Low temperature gas-phase carbon removal
US9406523B2 (en) Highly selective doped oxide removal method
US9881805B2 (en) Silicon selective removal
US9275834B1 (en) Selective titanium nitride etch
US9373522B1 (en) Titanium nitride removal
US9520303B2 (en) Aluminum selective etch
JP6553049B2 (ja) 窒化ケイ素の選択的なエッチング
US9299575B2 (en) Gas-phase tungsten etch
US20150371865A1 (en) High selectivity gas phase silicon nitride removal
US20150179464A1 (en) Dry-etch for selective tungsten removal
US20150279687A1 (en) Halogen-free gas-phase silicon etch
WO2015094495A1 (en) Procedure for etch rate consistency
KR102638568B1 (ko) 조정 가능한 원격 해리
US11328909B2 (en) Chamber conditioning and removal processes
US20160068969A1 (en) Integrated processing for microcontamination prevention

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right