JP2013021360A - ケイ素含有膜を調製するための方法 - Google Patents

ケイ素含有膜を調製するための方法 Download PDF

Info

Publication number
JP2013021360A
JP2013021360A JP2012214561A JP2012214561A JP2013021360A JP 2013021360 A JP2013021360 A JP 2013021360A JP 2012214561 A JP2012214561 A JP 2012214561A JP 2012214561 A JP2012214561 A JP 2012214561A JP 2013021360 A JP2013021360 A JP 2013021360A
Authority
JP
Japan
Prior art keywords
film
silicon
butoxysilane
deposition
atomic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012214561A
Other languages
English (en)
Other versions
JP5746119B2 (ja
Inventor
Liu Yang
ヤン リュ
Manchao Xiao
シャオ マンチャオ
Bing Han
ビン ハン
Kirk S Cuthill
エス.カットヒル カーク
Mark L O'neill
エル.オーニール マーク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2013021360A publication Critical patent/JP2013021360A/ja
Application granted granted Critical
Publication of JP5746119B2 publication Critical patent/JP5746119B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Thin Film Transistor (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Silicon Compounds (AREA)

Abstract

【課題】ケイ素含有膜を調製するための方法を提供する。
【解決手段】ケイ素、酸化物そして任意選択で窒素、炭素、水素およびホウ素を含む誘電体膜を形成する方法が提供される。さらに、例えば半導体ウェハーなどの加工対象物の上に誘電体膜またはコーティングを形成するための方法が提供される。
【選択図】図1

Description

[関連出願の相互参照]
本特許出願は、2010年2月4日付けの米国仮特許出願第61/301,375号の利益を請求するものである。
本明細書中で開示されているのは、さまざまな電子利用分野で使用するための、化学量論的または非化学量論的酸化ケイ素、酸窒化ケイ素または酸炭窒化ケイ素膜などの(ただしこれに限定されない)ケイ素含有材料または膜を調製するための方法および組成物である。
酸化ケイ素の薄膜は、一般に、その誘電特性のため、半導体製造において誘電体として使用されている。ケイ素ベースの半導体デバイスの製造においては、酸化ケイ素膜を、ゲート絶縁材、拡散マスク、側壁スペーサ、ハードマスク、反射防止コーティング、不動態化およびカプセル封入およびその他のさまざまな用途に使用することができる。その他の複合半導体デバイスの不導態化用にも、酸化ケイ素膜は重要性が増している。
二酸化ケイ素膜内には、シリカおよび酸素以外のその他の元素も存在してよい。これらのその他の元素は時として、結果として得られる膜の利用分野または所望の最終特性応じて、組成混合物および/または堆積プロセスの中に意図的に添加されてもよい。例えば、元素窒素(N)を酸化ケイ素膜に添加して、より低い漏洩電流などの一定の誘電体性能を提供するかもしれない酸窒化ケイ素膜を形成してもよい。膜の堆積温度を低下させるかもしれないGeドープされた酸化ケイ素を得るために、酸化ケイ素膜に元素ゲルマニウム(Ge)を添加してもよい。さらにその他の元素、例えばホウ素(B)または炭素(C)を酸化ケイ素膜に添加して、耐エッチング性を増大させてもよい。しかしながら、利用分野に応じて、膜内の一部の元素はたとえさらに低い濃度レベルであっても望ましくない場合がある。
例えば、エッチング停止層としてまたは単純に深紫外線(DUV)フォトレジストの下の誘電体層として二酸化ケイ素膜を使用する場合、膜内の少量の窒素がDUVフォトレジストと相互作用し、フォトレジストの材料特性を化学的に増幅するか、またはフォトレジストに毒性作用を及ぼし、フォトレジストの一部分を現像剤中で不溶にするかもしれない。その結果、残留フォトレジストは構造のパターン化されたフィーチャ縁部または側壁上に残るかもしれない。これは、半導体デバイスのフォトリソグラフィパターン化プロセスにとって有害であり得る。
窒素を含まない酸化ケイ素膜の別の例は、反射防止コーティング(ARC)の利用分野で見出すことができる。ARCは、レジスト画像形成中に下にある材料層からの反射を抑制し、これによりエネルギー感応性レジストの層内で正確なパターン複製が提供される。ただし、従来のARC材料は、例えば窒化ケイ素および窒化チタンなどの窒素を含んでいる。ARC層内の窒素の存在は、フォトレジスト材料の組成を化学的に改変するかもしれない。窒素とフォトレジスト材料の間の化学反応は、「フォトレジスト毒作用」と呼んでよい。「フォトレジスト毒作用」を受けた材料は、典型的なパターン化ステップに付された結果、フォトレジスト内のフィーチャが不精確に形成されたりまたはパターン化の後に過剰なフォトレジストが残留することになると考えられ、これらは共に、エッチングプロセスなどのPRプロセスに有害な影響を及ぼす可能性がある。例えば、窒素はフォトレジストとARCの界面近くで酸を中和し、フーティングとして公知の残渣形成を結果としてもたらすかもしれず、その結果さらに、フィーチャの底面と側壁の界面において所望の直角ではなくむしろ湾曲したまたは丸くなった外観がもたらされる可能性がある。
いくつかの利用分野については、典型的な熱化学気相成長(「CVD」)プロセスよりも低い堆積温度で酸化ケイ素膜を生産するために、プラズマ化学気相成長プロセス(「PECVD」)が用いられる。分子式Si(OC254を有するテトラエチルオキシシラン(「TEOS」)は、残留炭素汚染が最小限である酸化ケイ素膜のPECVDによる堆積のために、O2またはO3などの(ただしこれに限定されない)1つ以上の酸素源と組合せた形で使用可能な一般的な前駆物質である。TEOSは、安定した不活性の高蒸気圧液体として供給され、SiH4などのその他のケイ素含有前駆物質より危険性が少ない。
コスト(例えばより廉価な基材を使用する能力)およびサーマルバジェット(例えば温度感応性の高性能膜の一体化に起因する)などのうち1つ以上の理由のため、より低い堆積温度(例えば400℃未満)に移行するのが一般的な傾向である。さらに、PECVD TEOS膜については、ギャップ充填および一様性は、より低い温度において比較的優れているかもしれない。ただし、膜が化学量論組成をもたず、水素を富有し、膜密度が低くかつ/またはエッチング速度が高いことから、PECVD TEOS膜の膜品質はより低いものであるかもしれない。したがって、TEOSよりも性能が良い代替的前駆物質に対するニーズが存在する。
本明細書中で記述されているのは、窒素、炭素、ハロゲンおよび水素などの重要な元素を含まないかまたは代替的にはX線光電子分光法(XPS)により測定された場合に約0〜約30原子量パーセントの窒素を含むおよび/または約0〜約30原子量パーセントの炭素を含み、かつ5%以下の不均一性%を示す、ケイ素と酸素を含む材料または膜の形成方法である。不均一性%は、不均一性%=((最大−最小)/(2*平均))という標準的等式を用いて測定可能である。本明細書中で記述する方法および前駆物質を用いて堆積された膜は、一部のケースにおいて、温度、プラズマ、プラズマ様方法またはそれらの組合せを援用することなく、高い均一性を有する。同様に本明細書で開示されるのは、実質的に窒素を含まずかつ/または実質的に炭素を含まない、あるいは比較的低い量の窒素および炭素しか含まない誘電体膜またはコーティングを、例えば半導体ウェハーなどの加工対象物上に形成する方法である。
変形実施形態において、本明細書中に記述された方法および前駆物質は、制御された組成を有する窒素ドープされた材料を提供する比較的窒素含有量の低い材料を提供することができる。変形実施形態において、本明細書で記述されている方法および前駆物質は、制御された組成を有する炭素ドープされた酸化物材料を提供する、比較的炭素含有量の低い材料を提供することができる。これらの実施形態では、材料は、XPSで測定された場合に約0〜約30原子量パーセントの窒素および/または炭素を含んでいてよい。一部の実施形態では、使用される前駆物質は、炭素、窒素、塩素およびハロゲンを含めたその他の元素ならびにXPSにより定量可能なその他の種を検出不能な量しか伴わない、非常に純度の高いSiO2材料を製造することができる。
一つの態様においては、基材の少なくとも1つの表面上にケイ素および酸素を含む膜を形成するための方法において、
反応チャンバ内に基材の少なくとも1つの表面を提供するステップと、
該少なくとも1つの表面上に、以下の式I、IIおよびIII
Figure 2013021360
を有する前駆物質であって、式I、IIおよびIII中のR、R1およびR2が各々独立してアルキル基、アリール、アシル基またはそれらの組合せである前駆物質の群から選択される少なくとも1つを含むケイ素前駆物質および任意選択で酸素源を用いて、化学気相成長プロセスおよび原子層成長プロセスから選択される堆積プロセスによって膜を形成するステップと
を含み、誘電体膜がXPSにより測定した場合に約5原子%未満の窒素または炭素を含む方法が提供される。膜が窒素または炭素を含む実施形態において、形成ステップ中に窒素および/または炭素源も同じく導入されてよい。これらの実施形態では、NH3、N2O、NH2(CH3)およびその組合せなどの材料を含む(ただしこれに限定されない)例示的窒素源を、形成ステップおよび/または追加の導入ステップ中に導入してよい。炭素および窒素源は、一緒に1つの供給源であってもよい。
別の態様では、原子層成長(ALD)プロセスを介してケイ素および酸素を含む膜を形成する方法において、
a.基材をALD反応器内に設置するステップと、
b.該反応器内に以下の式I、IIおよびIII
Figure 2013021360
を有する前駆物質であって、式I、IIおよびIII中のR、R1およびR2が各々独立してアルキル基、アリール、アシル基またはそれらの組合せである前駆物質の群から選択される少なくとも1つを含むケイ素前駆物質および任意選択で酸素源を導入するステップと、
c.前記ALD反応器を気体でパージするステップと、
d.酸素源を前記ALD反応器内に導入するステップと、
e.前記ALD反応器を気体でパージするステップと、
f.所望の厚みの膜が得られるまでステップb〜dを反復するステップと
を含み、誘電体膜がXPSにより測定した場合に約5原子量%未満の炭素および/または窒素を含む方法が提供される。
さらなる態様においては、ALDまたはCVDプロセスを用いて基材の少なくとも1つの表面上に酸化ケイ素を含む膜を形成する方法において、
a.基材を反応器内に設置するステップと、
b.該反応器内に以下の式I、IIおよびIII
Figure 2013021360
を有する前駆物質であって、式I、IIおよびIII中のR、R1およびR2が各々独立してアルキル基、アリール、アシル基またはそれらの組合せである前駆物質の群から選択される少なくとも1つを含むケイ素前駆物質および任意選択で酸素源を導入して前記少なくとも1つの表面上に膜を堆積させるステップと
を含み、誘電体膜が、XPSにより測定した場合に約0原子量%〜約30原子量%の炭素および/または窒素を含む方法が提供される。
実施例1で記述されている方法を用いて堆積させた膜についてのX線光電子分光法(XPS)の結果を示す。 実施例2で記述されている方法にしたがってt−ブチルシラン、ジエチルシランおよびジ−tert−ブトキシシラン(DTBOS)を用いて堆積させた3つの例示的膜についての厚み均一性を示す。 表1で提供されたプロセス条件の1つを用いて前駆物質DTBOSを用いて堆積された例示的膜から得た誘電定数のプロットを示す。 3つの異なる堆積温度、つまり400℃、300℃、200℃における実施例中で記述されたBL1条件で堆積された膜のウェットエッチング速度(WER)の比較を示す。図4はDTBOSを用いて堆積させた膜が、全ての温度においてTEOS膜よりも低いWERを有していたことを示している。 実施例4の表3中で記述されたBL1条件の場合の、200℃および300℃の堆積でのTEOS対DTBOSについての漏洩電流と電場の関係を示すプロットを示す。 実施例4の表3中で記述されたBL2条件の場合の、200℃および300℃の堆積でのTEOS対DTBOSについての漏洩電流と電場の関係を示すプロットを示す。 実施例4の表3中で記述されたBL1条件の場合の、200℃および300℃の堆積でのTEOS対DTBOSについての漏洩電流と電場の関係を示すプロットを示す。 これらの前駆物質から堆積されたCVD膜内のビス(tert−ブチル)アミノシラン(BTBAS)と比べたDTBOSのダイナミック二次イオン質量分析データ(D−SIMS)を提供している。
本明細書中で記述されているのは、(例えば、不均一性%=(最大−最小)/(2*平均)という標準的等式を用いて測定された場合に5%以下の不均一性%を示す)均一性の高い誘電体膜を形成する方法である。本明細書中で記述されている方法を用いて製造される誘電体膜は、一般に主としてケイ素と酸素を含む。一部の実施形態において、この誘電体膜は、窒素、炭素、塩素およびハロゲン、そして水素などのその他のいかなる元素も実質的に含まない。本明細書で使用される「実質的に含まない」という用語は、XPSで測定した場合に2原子量%以下の窒素しか含まない膜を意味する。その他の実施形態において、誘電体膜は、約2原子%〜約30原子%の範囲内の量で窒素および/または炭素などのその他の元素を含み、プロセス条件またはプロセス内で用いられる添加剤に応じて、その他の元素を含んでいてよい。一部の実施形態において、本明細書中で記述されている方法は、プラズマを援用する必要なくかつ/または、低温(例えば600℃以下)で行なわれる。一変形実施形態において、本明細書中で記述されている方法は、低温(例えば450℃以下)の熱プロセスを用いて実施される。本明細書中で記述されている膜は誘電体膜であり、これは、これらの膜が典型的に、7以下または6以下または5以下の誘電定数を示すことを意味する。一部の実施形態では、生産された材料は同様に、ホウ素、アルミニウムおよび/または材料の好ましい特徴に寄与しうる他の元素などの元素も含んでいてよい。これらは、別個の添加剤の元素としてまたは主前駆物質の置換基としてプロセスに導入されてよい。
誘電体膜またはコーティングを形成するために使用される方法は、堆積プロセスである。本明細書中で開示されている方法に適した堆積プロセスの例としては、循環CVD(CCVD)、MOCVD(金属有機CVD)、熱化学気相成長、プラズマ化学気相成長(「PECVD」)、高密度PECVD、光CVD、プラズマ−光CVD(「PPECVD」)、低温化学気相成長、化学物質気相成長、高温フィラメント化学気相成長、液体ポリマー前駆物質のCVD、超臨界流体からの堆積および低エネルギーCVD(LECVD)が含まれるが、これに限定されない。一部の実施形態において、金属含有膜は、プラズマALD(PEALD)またはプラズマ循環CVD(PECCVD)プロセスを介して堆積される。本明細書中で使用される「化学気相成長プロセス」という用語は、基材が1つ以上の揮発性前駆物質に曝露され、この前駆物質が基材表面上で反応しかつ/または分解して所望の堆積を生成するあらゆるプロセスを意味する。本明細書で使用される「原子層成長プロセス」という用語は、さまざまな組成の基材上に一様な膜または材料を堆積させる、自己限定的な(例えば各反応サイクル内で堆積される膜材料の量は恒常である)逐次的界面化学を意味する。本明細書中で使用される前駆物質、試薬および供給源は、「気体」として記述される場合があるが、前駆物質は、直接的蒸発、バブリングまたは昇華を介して反応器内に不活性ガスを伴ってまたは伴わずに輸送される液体または固体のいずれかであり得るものと理解される。一部の場合においては、蒸発した前駆物質はプラズマ発生器内を通過できる。一実施形態において、誘電体膜は、ALDプロセスを用いて堆積される。別の実施形態において、誘電体膜は、CCVDプロセスを用いて堆積される。さらなる実施形態において、誘電体膜は、熱CVDプロセスを用いて堆積される。別の実施形態において、前駆物質は、最小限の反応しか発生させずに基材上に凝縮され、その後続いて後処理が行なわれて材料を固体にし、堆積が進行中の物品に対する接着を補助してよい。化学的前駆物質から膜を形成させるためのプロセス条件の使用方法は数多く存在するが、堆積した材料の最終的特性は化学的前駆物質またはこれらの前駆物質と組合せて使用される添加物の性質によってのみ定義できるということが認識される。
一部の実施形態において、本明細書中で開示された方法は、反応器への導入の前および/またはその間に前駆物質を分離するALDまたはCCVD方法を使用することによって、前駆物質の前反応を回避している。これに関連して、誘電体膜を堆積させるために、ALDまたはCCVDプロセスなどの堆積技術が使用される。一実施形態において、膜は、ケイ素含有前駆物質、酸素源またはその他の前駆物質または試薬のうちの1つ以上のものに基材表面を交互に曝露することによってALDプロセスを介して堆積される。膜の成長は、表面反応、各前駆物質または試薬のパルス長、そして堆積温度の自己限定的制御によって進行する。ただし、基材の表面がひとたび飽和した時点で、膜の成長は停止する。
一部の実施形態において、前駆物質は、未希釈(neat)で、または追加の反応物質または添加剤無しで導入されて、凝縮し、フィーチャを充填し、あるいは表面を平担化し、その後反応物質ステップが行なわれて前駆物質を反応させるかまたは固体を形成させる。一部の実施形態において、このプロセスは、酸化プロセス、触媒またはその他のエネルギー形態(化学、熱、放射、プラズマ、光子または任意のその他のイオン化または非イオン化放射エネルギー)を用いて、前駆物質および任意選択の添加物を修飾して固体材料を形成させる。
実質的に窒素を含まずケイ素および酸素を含む誘電体膜を形成するためには、ケイ素含有前駆物質が窒素を含まないことが望ましい。同様に、一部の実施形態においては、膜を比較的低温(例えば400℃以下)で堆積させるのに充分な程度の反応性を前駆物質が有することも望ましい。前駆物質に望まれる反応性にも関わらず、前駆物質は、経時的に有意ないかなる程度であれ劣化または変化を示さない(例えば一年に1%未満の変化)ほどの充分な安定性も同様に有していなければならない。さらに、これらのまたはその他の実施形態においては、プラズマの無い状態で堆積方法が実施されることが望ましい。理論に束縛されることなく、酸化に向かう置換されたシランの反応性は、ケイ素原子に結合されている水素原子の数に正比例するものと考えられている。
本明細書中で開示されている方法では、以下の式I、IIおよびIII
Figure 2013021360
を有する前駆物質であって、式I、IIおよびIII中のR、R1およびR2が各々独立してアルキル基、アリール、アシル基またはそれらの組合せである前駆物質の群から選択される少なくとも1つを含むケイ素前駆物質から選択されるケイ素含有前駆物質、任意選択で追加のケイ素含有前駆物質、任意選択で酸素源または試薬、そして任意選択で還元剤を用いて誘電体膜が形成される。堆積のための前駆物質材料の選択は、結果として得られる所望の誘電体材料または膜により左右される。例えば、前駆物質材料は、その化学元素含有量、その化学元素の化学量論比、および/またはCVDの下で形成される結果としての誘電体膜またはコーティングを理由として選択されてよい。前駆物質材料は、例えばコスト、安定性、非毒性、取扱い特性、室温で液相を維持する能力、揮発度、分子量またはそれらの組合せなどのさまざまなその他の特性を理由として選択されてもよい。
本明細書で開示されている方法の1つの実施形態においては、以下の式I、IIおよびIII
Figure 2013021360
を有する前駆物質であって、式I、IIおよびIII中のR、R1およびR2が各々独立してアルキル基、アリール、アシル基またはそれらの組合せである前駆物質の群から選択される少なくとも1つを含むケイ素前駆物質を用いて誘電体膜が形成される。式I〜IIIにおいて、そして明細書全体を通して、「アルキル」という用語は、1〜20個または1〜12個または1〜6個の炭素原子を有する直鎖、分岐または環状官能基を表している。例示的アルキル基としては、メチル、エチル、プロピル、イソプロピル、ブチル、イソブチル、sec−ブチル、tert−ブチル、ペンチル、ヘキシル、オクチル、デシル、ドデシル、テトラデシル、オクタデシル、イソペンチルおよびtert−ペンチルが含まれるが、これらに限定されない。式I〜IIIにおいておよび本明細書全体を通して、「アリール」という用語は、6〜12個の炭素原子を有する環状官能基を表わしている。例示的アリール基としては、フェニル、ベンジル、トリルおよびo−キシリルが含まれるが、これらに限定されない。
一部の実施形態においては、アルキル基、アリール基および/またはアクリル基のうちの1つ以上が置換されていてもされていなくてもよく、あるいは1個以上の原子または原子群が水素原子の代わりに置換されていてもよい。例示的置換基としては、酸素、硫黄、ハロゲン原子(例えばF、Cl、IまたはBr)、窒素、ホウ素およびリンが含まれるが、これらに限定されない。一部の実施形態において、式I〜IIIを有するケイ素含有前駆物質は、酸素原子を含む1つ以上の置換基を有していてよい。これらの実施形態では、堆積プロセス中の酸素源の必要性を回避しうる。その他の実施形態において、式I〜IIIを有するケイ素含有前駆物質は、酸素原子を含む1つ以上の置換基を有し、かつ酸素源も使用している。
一部の実施形態では、アルキル基、アリール基および/またはアシル基の1つ以上が飽和または不飽和であってよい。1つ以上のアルキル基またはアリール基が不飽和である実施形態において、それは1つ以上の2重または3重結合を含む。
式Iを有するケイ素含有前駆物質の実施例としては、tert−ブトキシシラン、イソプロポキシシラン、エトキシシラン、n−ブトキシシラン、イソブトキシシラン、メトキシランまたはフェノキシシランが含まれる。式IIを有するケイ素含有前駆物質の例としては、ジ−tert−ブトキシシラン、ジイソ−プロポキシシラン、ジエトキシシラン、ジ−n−ブトキシシラン、ジイソブトキシシラン、ジメトキシシランまたはジフェノキシシランが含まれる。式IIIを有するケイ素含有前駆物質の例としてはトリ−tert−ブトキシシラン、トリイソ−プロポキシシラン、トリエトキシシラン、トリ−n−ブトキシシラン、トリイソ−ブトキシシラン、トリメトキシシランまたはトリフェノキシシランが含まれる。本明細書中で記述されている方法の一実施形態において、ケイ素含有前駆物質は以下の前駆物質のうちの少なくとも1つを含む:
Figure 2013021360
1つの特定の実施形態において、ケイ素含有前駆物質はジ−tert−ブトキシルシランを含む。
一部の実施形態において、本明細書中で記述されている方法は、以上で説明した上記式I〜IIIを有するケイ素含有前駆物質以外の1つ以上の追加のケイ素含有前駆物質をさらに含んでいる。追加のケイ素含有前駆物質の例としては、有機ケイ素化合物、例えばシロキサン類(例えばヘキサメチルジシロキサン(HMDSO)およびジメチルシロキサン(DMSO));有機シラン類(例えばメチルシラン;ジメチルシラン;ビニルトリメチルシラン;トリメチルシラン;テトラメチルシラン;エチルシラン;ジシリルメタン;2,4−ジシラペンタン;1,2−ジシラノエタン;2,5−ジシラヘキサン;2,2−ジシリルプロパン;1,3,5−トリシラシクロヘキサンおよびこれらの化合物のフッ素化誘導体;フェニル含有有機ケイ素化合物(例えばジメチルフェニルシランおよびジフェニルメチルシラン);酸素含有有機ケイ素化合物、例えばジメチルジメトキシシラン;1,3,5,7−テトラメチルシクロテトラシロキサン;1,1,3,3−テトラメチルジシロキサン;1,3,5,7−テトラシラ−4−オキソ−ヘプタン;2,4,6,8−テトラシラ−3,7−ジオキソ−ノナン;2,2−ジメチル−2,4,6,8−テトラシラ−3,7−ジオキソ−ノナン;オクタメチルシクロテトラシロキサン;[1,3,5,7,9]−ペンタメチルシクロペンタシロキサン;1,3,5,7−タトラシラ−2,6−ジオキソ−シクロオクタン;ヘキサメチルシクロトリシロキサン;1,3−ジメチルジシロキサン;1,3,5,7,9−ペンタメチルシクロペンタシロキサン;ヘキサメトキシジシロキサンおよびこれらの化合物のフッ素化誘導体;および窒素含有有機ケイ素化合物(例えばヘキサメチルジシラザン;ジビニルテトラメチルジシラザン;ヘキサメチルシクロトリシラザン;ジメチルビス(N−メチルアセタミド)シラン;ジメチルビス−(N−エチルアセタミド)シラン;ビス(tert−ブチルアミノ)シラン(BTBAS)、ビス(tert−ブチルアミノ)メチルシラン(BTBMS)、ビス(N−メチルアセタミド)メチルビビルシラン;ビス(N−ブチルアセタミド)メチルビビルシラン;トリス(N−フェニルアセタミド)メチルシラン;トリス(N−エチルアセタミドビニルシラン;テトラキス(N−メチルアセタミド)シラン;ビス(ジエチルアミノキシ)ジフェニルシラン;トリス(ジエチルアミノキシ)メチルシラン;およびビス(トリメチルシリル)カルボジイミド)が含まれるが、これらに限定されない。
一部の実施形態において、ケイ素含有前駆物質は、少なくとも1つのN−Hフラグメントおよび少なくとも1つのSi−Hフラグメントを有する含窒素有機ケイ素前駆物質を含む。N−HフラグメントおよびSi−Hフラグメントの両方を含む適切な前駆物質には、例えばビス(tert−ブチルアミノ)シラン(BTBAS)、トリス(tert−ブチルアミノ)シラン、ビス(イソ−プロピルアミノ)シラン、トリス(イソ−プロピルアミノ)シランおよびそれらの混合物が含まれる。一実施形態においては、前駆物質は、式(R5NH)nSiR 6mH4-(n+m)を有し、式中R5およびR6は同じかまたは異なるものであり、アルキル、ビニルアルキル、フェニル、環状アルキル、フロオロアルキルおよびシリルアルキルからなる群から独立して選択され、nは1〜3の範囲内の数であり、mは0〜2の範囲内の数であり、「n+m」の合計は3以下の数である。別の実施形態では、ケイ素含有前駆物質は(R7 2N−NH)xSiR8 y4-(x+y)を有すヒドラジノシランを含み、式中R7およびR8は同じかまたは異なるものであり、アルキル、ビニル、アリル、フェニル、環状アルキル、フロオロアルキル、シリルアルキル類からなる群から独立して選択され、xは1〜2の範囲内の数であり、yは0〜2の範囲内の数であり、「x+y」の合計は3以下の数である。適切なヒドラジノシラン前駆物質の実施例としてはビス(1,1−ジメチルヒドラジノ)−シラン、トリス(1,1−ジメチルヒドラジノ)シラン、ビス(1,1−ジメチルヒドラジノ)−エチルシラン、ビス(1,1−ジメチルヒドラジノ)イソプロピルシラン、ビス(1,1−ジメチルヒドラジノ)ビニルシランおよびそれらの混合物が含まれるが、これらに限定されない。一部の実施形態において、前駆物質または添加剤はさらにハロゲン化シラン類、ボラン類、ボラジン類、ホウ素塩類およびその修飾された態様が含まれる。
堆積方法に応じて一部の実施形態においては、既定のモル体積つまり約0.1〜約1000ミクロモルで反応器内に1つ以上のケイ素含有前駆物質を導入してよい。この実施形態またはその他の実施形態において、ケイ素含有前駆物質を既定の時限つまり約0.001〜約500秒にわたりケイ素含有前駆物質を反応器内に導入してよい。
先に言及した通り、本明細書中で記述されている方法を用いて堆積された誘電体膜の一部は、酸素源、酸素を含む試薬または前駆物質を用いて酸素の存在下で形成されてよい。酸素源は、少なくとも1つの酸素源の形で反応器内に導入されてよくかつ/または、堆積プロセスの中で使用されるその他の前駆物質内に偶発的に存在していてもよい。適切な酸素源ガスは、例えば水(H2O)(例えば脱イオン水、精製水および/または蒸留水)、酸素(O2)、酸素プラズマ、オゾン(O3)、NO、NO2、一酸化炭素(CO)、二酸化炭素(CO2)およびそれらの組合せを含んでいてよい。一部の実施形態においては、酸素源は、約1〜約2000標準立方センチメートル(sccm)の範囲の流速で反応器内に導入される酸素源ガスを含み、この範囲は、反応プロセス、所望の材料、基材サイズ、堆積速度等々により左右される。酸素源は、前駆物質の前に、前駆物質と同時に、反復的循環式で前駆物質と逐次的に、または全ての前駆物質が導入された後で導入され得る。1つの特定の実施形態において、酸素源は水を含む。膜がALDまたは循環CVDプロセスにより堆積される実施形態において、前駆物質パルスは0.01秒超のパルス持続時間を有することができ酸素源は0.01秒超のパルス持続時間を有することができ、一方水は0.01秒超のパルス持続時間を有することができる。さらに別の実施形態において、パルス間のパージ持続時間は、0.01秒という低いものであり得、または間にパージ無く連続的にパルス送りされてよい。
本明細書中で開示されている堆積方法には、1つ以上のパージガスが関与していてよい。未消費の反応物質および/または反応副産物をパージするために用いられるパージガスは、一部の実施形態において、前駆物質と反応しない不活性ガスである。例示的不活性ガスとしては、Ar、N2、He、Xe、ネオン、H2およびその混合物が含まれるが、これらに限定されない。一部の実施形態においては、Arなどのパージガスが、約0.1〜1000秒の間約10〜約2000sccmの範囲内の流速で反応器内に供給され、こうして未反応の材料および反応器内に残っている場合があるあらゆる副産物をパージする。
一部の実施形態例えば誘電定数に窒素および/または炭素および/またはその他の種の元素がさらに含まれている実施形態において、反応器の中に窒素源などの追加の気体を導入してよい。添加剤の例としては、例えばNO、NO2、アンモニア、アンモニアプラズマ、ヒドラジン、モノアルキルヒドラジン、ジアルキルヒドラジン、炭化水素類、ヘテロ原子炭化水素類、ボラン類、ホウ素塩類、ボラジン類およびそれらの組合せが含まれてよい。
本明細書中で記述されている方法の一部の実施形態において、反応器または堆積チャンバの温度は、周囲温度(例えば25℃)から約700℃までの範囲内であってよい。ALDまたはCVD堆積のための例示的反応器温度は、25、50、75、100、125、150、175、200、225、250、275、300、325、350、375、400、425、450、475、500、525、550、575、600、625、650、675または700℃のいずれか1つ以上を端点として有する範囲を含む。特定の反応器温度の例としては、25℃〜375℃または75℃〜700℃または325℃〜675℃が含まれるが、これらに限定されない。この実施形態またはその他の実施形態において、圧力は、約0.1Torr〜約100Torr、または約0.1Torr〜約5Torrの範囲内であってよい。1つの特定の実施形態において、誘電体膜は、100mTorr〜600mTorrの範囲内の圧力で熱CVDプロセスを用いて堆積される。別の特定の実施形態において、誘電体膜は、1Torr未満の温度範囲でALDプロセスを用いて堆積される。
本明細書中で記述されている方法の一部の実施形態において、反応器または堆積チャンバの基材の温度は、周囲温度(例えば25℃)から約700℃までの範囲内であってよい。ALDまたはCVD堆積のための例示的基材温度は、25、50、75、100、125、150、175、200、225、250、275、300、325、350、375、400、425、450、475、500、525、550、575、600、625、650、675または700℃のいずれか1つ以上を端点として有する範囲を含む。特定の基材温度の例としては、25℃〜375℃または75℃〜700℃または325℃〜675℃が含まれるが、これらに限定されない。一部の実施形態において、基材温度は、堆積中の反応器温度と同じ温度であるかまたはこれと同じ温度範囲内にあってよい。その他の実施形態において、基材温度は、堆積中の反応器温度と異なっている。
前駆物質、酸素源、および/またはその他の前駆物質、供給源ガスおよび/または試薬を供給するそれぞれのステップは、結果として得られる誘電体膜の化学量論組成を変更するようにそれらの供給時間を変更することによって実施されてよい。
前駆物質、酸素源、還元剤、その他の前駆物質またはそれらの組合せの少なくとも1つに対してエネルギーが適用されて反応を誘発し、誘電体膜またはコーティングを基材上に形成させる。このようなエネルギーは、熱、プラズマ、パルスプラズマ、ヘリコンプラズマ、高密度プラズマ、誘導結合プラズマ、X線、電子ビーム、光子および遠隔プラズマ方法により提供され得るが、これらに限定されない。一部の実施形態においては、二次的無線周波数源を用いて、基材表面におけるプラズマ特性を修正することができる。堆積にプラズマが関与する実施形態においては、プラズマ発生プロセスは、プラズマが直接反応器内で発生する直接プラズマ発生プロセス、または反応器の外側でプラズマが発生し反応器内に供給される遠隔プラズマ発生プロセスを含んでいてよい。
ケイ素含有前駆物質および/またはその他の前駆物質は、さまざまな形でCVDまたはALD反応器などの反応チャンバに送出されてよい。一実施形態においては、液体送出システムが利用されてよい。一変形実施形態においては、液体送出およびフラッシュ蒸発プロセスの組合せ型ユニット、例えばShoreview, MNのMSP Corporationにより製造されるターボ蒸発器を利用して、揮発度の低い材料を容積的に送出できるようにし、前駆物質の熱分解のない再現性ある輸送および堆積を導いてもよい。液体送出調合物においては、本明細書中で記述されている前駆物質は、未希釈の液体形態で送出されてよく、あるいは前駆物質を含む溶媒調合物または組成物の形で利用されてもよい。こうして、一部の実施形態では、前駆物質調合物は、基材上に膜を形成するために所与の最終用途での応用において所望され有利であるような適切な性質を有する1つまたは複数の溶媒成分を含んでいてよい。
本明細書中で記述されている方法の一実施形態においては、CCVD、ALDまたはPEALDなどの循環堆積プロセスを利用してよく、ここでは、式I〜IIIを有する少なくとも1つのケイ素含有前駆物質およびその組合せ、そして任意選択でオゾン、酸素プラズマまたは水プラズマなどの酸素源が利用される。前駆物質キャニスタと反応チャンバを結ぶガスラインは、プロセス要件に応じて1つ以上の温度まで加熱され、コンテナの式I〜IIIを有するケイ素含有前駆物質が、直接的液体注入のため1つ以上の温度に保たれた蒸発器内に注入される。アルゴンおよび/またはその他の気体流をキャリヤガスとして用いて、前駆物質のパルス送り中、反応チャンバに少なくとも1つのケイ素含有前駆物質の蒸気を送出する一助にしてもよい。一部の実施形態においては、反応チャンバプロセスの圧力は、約1Torr以下である。典型的なALDまたはCCVDプロセスでは、酸化ケイ素基材などの基材は、錯体が基材の表面上に化学的に吸着できるようにするため最初にケイ素含有前駆物質に曝露される反応チャンバ内の加熱器段の上で加熱される。アルゴンなどのパージガスが、未吸収の余剰の錯体をプロセスチャンバからパージする。充分なパージングの後、反応チャンバ内に酸素源を導入して吸収された表面と反応させ、その後もう一度気体パージしてチャンバから反応副産物を除去してよい。プロセスサイクルを反復して、所望の膜厚みを達成することができる。この実施形態またはその他の実施形態において、本明細書中で記述されている方法の各ステップは、さまざまな順序で実施してよく、逐次的または同時に(例えば別のステップの少なくとも一部分の間)およびこれらの何らかの組合せで実施してよいものと理解される。前駆物質および酸素源ガスを供給するそれぞれのステップは、結果として得られる誘電体膜の化学量論組成を変更するようにそれらの供給時間の長さを変動させることによって実施してよい。
本明細書中で開示されている方法の別の実施形態において、誘電体膜は、
a.以下の式I、IIおよびIII
Figure 2013021360
を有する前駆物質であって、式I、IIおよびIII中のR、R1およびR2が各々独立してアルキル基、アリール、アシル基またはそれらの組合せである前駆物質の群から選択される少なくとも1つを含むケイ素前駆物質および任意選択で酸素源、窒素源またはそれらの組合せを導入して少なくとも1つのケイ素前駆物質を基材上に化学吸着させるステップと、
b.未反応の少なくとも1つのケイ素含有前駆物質をパージガスを用いてパージするステップと、
c.任意選択で酸素源を加熱した基材上に導入し、吸着した少なくとも1つのケイ素含有前駆物質と反応させるステップと、
d.任意選択で未反応の酸素源をパージするステップと
を含むALD堆積方法を用いて形成される。
上述の複数のステップは、本明細書中で記述されている方法のための1つのサイクルを定義しており、このサイクルは、誘電体膜の所望の厚みが得られるまで反復できる。この実施形態またはその他の実施形態において、本明細書中で記述されている方法の各ステップは、さまざまな順序で実施してよく、逐次的または同時に(例えば別のステップの少なくとも一部分の間)およびこれらの何らかの組合せで実施してよいものと理解される。1つまたは複数の前駆物質および任意選択で酸素源を供給するそれぞれのステップは、結果として得られる誘電体膜の化学量論組成を変更するようにそれらの供給時間の長さを変動させることによって実施してよい。多成分誘電体膜については、その他の前駆物質、例えばケイ素含有前駆物質、窒素含有前駆物質、還元剤またはその他の試薬を、ステップ「a」において反応器チャンバ内に交互に導入することができる。この実施形態においては、反応器温度は、周囲温度から600℃までの範囲にあってよい。この実施形態またはその他の実施形態におい、反応器の圧力は1Torr以下に維持されてよい。
本明細書中で記述されている方法のさらなる実施形態においては、誘電体膜は、熱CVDプロセスを用いて堆積される。この実施形態において、この方法は、周囲温度から約700℃または400〜700℃の温度に加熱された反応器内に1つ以上の基材を設置するステップと、以下の式I、IIおよびIII
Figure 2013021360
を有する前駆物質であって、式I、IIおよびIII中のR、R1およびR2が各々独立してアルキル基、アリール、アシル基またはそれらの組合せである前駆物質の群から選択される少なくとも1つを含むケイ素前駆物質および任意選択で酸素源、窒素源またはそれらの組合せから選択される供給源を前記反応器内に導入して誘電体膜を前記1つ以上の基材上に堆積させるステップとを含み、前記反応器は導入ステップの間100mTorr〜600mTorrの圧力に維持される。一部の実施形態において、CVD反応器の圧力は、約0.01T〜約1Tの範囲内にあり得る。例えばO2などの反応ガスの流速は、5sccm〜200sccmの範囲であり得る。1つ以上のケイ素含有前駆物質蒸気の流速は、5sccm〜200sccmの範囲内にあり得る。堆積温度は、反応器壁温度と同じである。それは、周囲温度〜約700℃または約400℃〜約700℃の範囲内にあり得る。堆積時間は、所望の厚みをもつ膜を生成するようにプロセスについて予め設定されている。堆積速度は、堆積温度、O2の流速、キャリヤガス(He)の流速、ケイ素含有前駆物質の液体質量流、蒸発器の温度および/または反応器の圧力を含めた(ただしこれらに限定されない)1つ以上の加工パラメータによって左右されてよい。蒸発器の温度は20℃〜150℃の範囲内であり得る。材料の堆積速度は、毎分0.1nm〜1000nmの範囲内であり得る。この速度は、例えば堆積温度、蒸発器温度、LFCの流量、反応性添加剤の流速および/またはCVD反応器における圧力などの非限定的なパラメータのいずれか1つを変動させることによって制御可能である。
さらに別の実施形態において、この方法は、循環CVDプロセスを用いて実施可能である。この実施形態では、循環CVDプロセスのために同じALD反応器を使用することができる。以上で記述したALD方法と比べた、均一で窒素を含まない膜を堆積させるための循環CVDプロセスが有する差異の1つは、ケイ素前駆物質および酸素前駆物質の用量がALDに用いられる用量よりも大きいものであり得、したがって堆積速度がALDよりもはるかに高いものであり得る、という点にある。堆積温度は、およそ周囲温度から約700℃までまたは400℃〜約700℃までの範囲であってよい。
一部の実施形態においては、結果として得られた誘電体膜またはコーティングを、プラズマ処理、化学処理、紫外線曝露、電子ビーム曝露および/またはその他の処理に曝露して、膜の1つ以上の特性に影響を及ぼすことができる。
本明細書中で記述されている誘電体膜は、7以下の誘電定数を有する。好ましくは、膜は、約6以下、または約5以下、または約4以下の誘電定数を有する。
以上で言及した通り、本明細書中で記述されている方法を使用して基材の少なくとも一部分の上に誘電体膜を堆積してもよい。適切な基材の例としては、ケイ素、SiO2、Si34、有機シリカガラス(OSG)、フッ素化シリカガラス(FSG)、炭化ケイ素、水素化炭化ケイ素、窒化ケイ素、水素化窒化ケイ素、炭窒化ケイ素、水素化炭窒化ケイ素、ホウ窒化物、反射防止コーティング、フォトレジスト、有機ポリマー、多孔質有機および無機材料、銅およびアルミニウムなどの金属、および拡散障壁層例えばTiN、Ti(C)N、TaN、Ta(C)N、Ta、WまたはWN(ただしこれらに限定されない)が含まれるが、これらに限定されない。膜は、さまざまな後続する加工ステップ例えば化学機械平担化(CMP)および異方性エッチングプロセスと相容性をもつ。基材は均一であってもパターン化されていてもよく、平滑であってもフィーチャを有していてもよく、平面的であってもなくてもよい。
堆積された誘電体膜には、コンピュータチップ、光学素子、磁気情報記憶装置、支持用材料または基材上のコーティング、微小電気機械システム(MEMS)、ナノ電気機械システム、薄膜トランジスタ(TFT)、および液晶ディスプレイ(LCD)を含めた(ただしこれらに限定されない)利用分野がある。
以下の実施例は、本明細書中で記述されている誘電体膜を調製するための方法を例示するものであり、いかなる形であれそれを限定するように意図されたものではない。
以下の実施例においては、別段の記述のないかぎり、特性は、抵抗率が中程度(8〜12Ωcm)の単晶シリコンウェハー基材上に堆積された試料膜から得たものである。当該研究において、CVD堆積は、低圧化学気相成長(LPCVD)水平炉またはATV PEO612炉を用いて実施された。前駆物質は、前駆物質材料のための蒸気圧力に基づいて調整されたライン温度および蒸気引抜きを用いて炉に送出された。この研究のために使用した原子層成長のツールは、加熱した前駆物質の送出のための環境的オーブンが取付けられたR&D設計の水平管形炉である。システムは、室温から700℃まで堆積を実施することができる。全てのプラズマベースの堆積は、TEOSプロセスキットを用い、Advanced Energy 2000無線周波数(RF)発生器の付いた200mmのDXZチャンバ内でApplied Materials Precision 5000システム上で実施された。
以下の実施例において、誘電体膜の厚みおよび光学的特性、例えば屈折率は、例えばFilmTek 2000 SEエリプソメータ上など、標準的反射率測定法または偏光解析法測定システムを用いて、および周知のデータフィッティング技術を用いて実施された。
膜の化学組成の特徴づけは、マルチチャンネルプレート検出器(MCD)およびAl単色X線源が装備されたPhysical Electronics 5000 Versa Probe XPS Spectrometerを用いて達成される。XPSデータはAlKαX線励起(25mAおよび15kV)を用いて収集される。低解像度探索スペクトルは、117eVの通過エネルギー、50ミリセカンドのドウエル時間そして1.0eV/ステップで収集される。高解像度領域スペクトルは、23.5eVの通過エネルギー、50msecのドウエル時間、0.1eV/ステップで収集される。分析領域は、45°の取り出し角で100μmである。定量的元素分析は、高解像度領域スペクトルからのピーク面積を測定し、伝達関数修正された原子感度因子を適用することによって、決定された。データ収集にはPHI Summitソフトウェアが使用され、データ分析にはCasa XPSソフトウェアが使用される。エッチング速度は、203nmのSiO2/Siに対して較正され、およそ120Å/分である。
エッチング試験は、緩衝酸化物エッチング(「BOE」)を形成するため、水中の40%NH4F6部分と水中49%HF水溶液1部分の体積比を有する6:1の緩衝酸化物エッチング溶液中で実施される。例示的誘電体膜を30秒間HF溶液中に入れ、その後脱イオン(DI)水中で洗い流し、乾燥させてから、エッチング中の材料損失を再度測定する。膜が完全にエッチングされるまで、プロセスを反復する。その後、エッチング時間対エッチング済み厚みの勾配からエッチング速度を計算する。膜を、比較用酸化ケイ素膜と共に、エッチング前後の膜表面を横断する3つの異なる点におけるそれらの厚みについて測定する。
DTGS KBR検出器およびKBrビームスプリッタが備わったThermo Nicolet Nexus 470システムまたはそれに類するシステムを用いて、ウェハー上でフーリエ変換赤外分光法(FTIR)データを収集した。類似した媒体抵抗率のウェハー上で背景スペクトルを収集して、スペクトルからCO2と水を削除した。典型的に、4cm-1の解像度での32回の走査を収集することにより、4000〜400cm-1の範囲内でデータを得た。全ての膜を一般に基準線補正し、500nmの膜厚みに強度を正規化し、対象のピーク面積および高さを決定した。
各試料膜の誘電定数は、ASTM規格D150−98にしたがって決定された。例えばMDC 802 B-150 Mercury Probeを用いて測定したC−V曲線から、誘電定数kを計算する。これは、試料を保持し測定すべき膜上に電気接点を形成するプローブステージ、Keithley 236ソースメータおよびC−V測定用のHP4284A LCR計で構成されている。比較的低い電気抵抗率(0.02ohm−cm未満のシート抵抗)を有するSiウェハーが、C−V測定用の膜を堆積するために使用される。膜に対する電気接点を形成させるために、前記接点モードが使用される。液体金属(水銀)がタンクからウェハーの表面まで薄い管を通して押し出され、2つの導電性ある接点を形成する。接点面積は、水銀を押出した管の直径に基づいて計算される。このとき、誘電定数は、k=キャパシタンス×接点面積/膜厚という公式から計算される。
実施例1:ジ−tert−ブトキシシラン(DTBOS)を用いた化学気相成長による酸化ケイ素膜の堆積
前駆物質DTBOSおよび酸素源としての酸素を用いて、例示的酸化ケイ素膜を堆積した。各膜についての堆積条件は表1に提供されている。各膜の特性は、表2に提供されている。
Figure 2013021360
Figure 2013021360
炭素および窒素などの元素を含まない高均一性、高純度膜である実施例1に由来する例示的膜の1つの典型的XPSが、図1に示され、異なる元素の組成も表3に列挙されている。表1および表3の両方からわかるように、炭素または窒素のいずれも膜中に検出されていない。
Figure 2013021360
Figure 2013021360
実施例2:膜の厚み均一性
本明細書中で記述されている方法および組成を用いて形成された窒素を含まない二酸化ケイ素膜は、エリプソメータを用いてその厚みが測定されている。現在利用可能な方法を用いて堆積された窒素二酸化ケイ素膜の低い均一性とは対照的に、本発明で記述している方法を用いて堆積された膜は、基材(またはウェーハ)内部で膜均一性の大幅な改善を示す。前記発明を用いた膜と既存の方法を用いた膜の間の膜厚均一性の比較が、図2に提供されており、図中、X軸はウェハー基材における測定位置を表わし、y軸は膜の平均厚みからの各点における厚みの偏差を表わす。図2から、本明細書中で記述されている方法を用いて堆積された膜が、その他の膜に比べてウェハー基材全体にわたってはるかに均一性が高いことがわかる。
薄膜の厚み均一性に関して一般に使用される公式、すなわち
均一性=(最大厚み−最小厚み)/(2*平均)*100%
本明細書中で記述されている方法を用いて形成された膜の厚み均一性は、表4で提供されている。表4の結果は、本明細書中で記述されている方法からの膜均一性が、既存の方法(前駆物質)を用いて形成された膜よりも10倍超優れていることを示している。
Figure 2013021360
実施例3:Kおよび誘電定数
本明細書中で記述されている方法を用いて形成された酸化ケイ素膜の誘電定数は、図3に示されたC−Vプロットから導出される。膜の公知の厚みおよび使用された水銀プローブの接触面積について、膜の誘電定数は4.47であることが分かる。
実施例4:異なるプロセス条件の下でのジ−tert−ブトキシシラン前駆物質およびテトラエチルオキシシランを用いたプラズマCVDにより堆積された膜の比較
以下の実施例においては、別段の記述のないかぎり、抵抗率が中程度(8〜12Ωcm)の単結晶シリコンウェハー基材上に堆積された試料膜から特性を得た。堆積温度は200、300および400℃であった。
表5は、前駆物質つまりジ−tert−ブトキシシラン(DTBOS)および比較用前駆物質テトラエチルオキシシラン(TEOS)を比較するのに使用された3つの異なる加工条件のまとめを提供している。3つの異なる加工条件には、BL−1、BL−2およびBL−3と標識されている。
Figure 2013021360
表6は、BL1条件についてのTEOSとDTBOSのK値、堆積速度およびウェットエッチング速度の比較を提供する。DTBOSの堆積速度は、同じ前駆物質体積流量についてTEOSよりも高い。このことは、DTBOSがPECVD堆積に関してTEOSよりも効率が良い場合があることを示している。さらに、DTBOS堆積膜のWERは、TEOS堆積膜のものと等しいかまたはそれ以上である。このことは、DTBOS前駆物質を用いて堆積されたSiO2膜の密度が、同等かまたは優れていることを暗示している。
Figure 2013021360
表7は、BL2加工条件を用いたTEOS堆積膜およびDTBOS堆積膜のK値、堆積速度およびウェットエッチング速度の比較を提供する。DTBOSの堆積速度は、同じ前駆物質体積流量についてTEOSよりも高い。このことは、PECVD堆積に関してDTBOS前駆物質の効率の方が高いことを証明している。しかしながら、WERは、TEOR膜のものと等しいかまたはそれ以上である。このことは、DTBOSから形成されたSiO2膜の密度が同等かまたは優れていることを暗示している。
Figure 2013021360
表8は、BL3加工条件についてのTEOSとDTBOSのK値、堆積速度およびウェットエッチング速度の比較を提供する。DTBOSの堆積速度は、同じ前駆物質体積流量についてTEOSと同等である。しかしながら、WERは、TEOS膜の場合よりも明らかに優れている。このことは、DTBOSから形成されたSiO2膜の密度が低いことを暗示している。同様に、DTBSについてのK値はより低いものであり、水分吸収が少ないことを暗示している。
Figure 2013021360
図4は、表3で記述されている基準線条件および堆積温度の全て(例えばBL−1、BL−2、およびBL−3、ならびに200°、300°および400℃)を用いて堆積された膜のWERの比較を示す。DTBOS膜は、同じKについてWERが低く、酸化物膜の密度がより高く、品質もより高いものであることを暗示している。したがって、DTBOSは、PECVDの堆積について比較的低い温度でTEOSよりも優れた品質の膜を生産することができる。
下記の表9は、以上の表5の中で定義されたプロセス条件BL1、BL2およびBL3の下で異なる温度でのTEOSとDTBOSの絶縁破壊電圧(Vbd)の比較を提供している。一般に、絶縁破壊電圧は8〜12MV/cmであり、2つの前駆物質の間で同程度である。図5、6および7は、200℃および300℃の堆積でのTEOS堆積膜対DTBOS堆積膜についての漏洩電流と電場の関係を表わすプロットを示している。
図5は、BL1条件についての200℃および300℃の堆積でのTEOS対DTBOSについての漏洩電流と電場の関係を示すプロットを提供している。DTBOSは、BL1の場合、TEOSに比べて200℃で高いKおよびWERを有することから、膜の漏洩に対する影響も見られる。しかしながら、これは、DTBOSがTEOSよりも低い漏洩性能を示す唯一の条件である。300℃のデータおよび図6および7に見られるように、DTBOSのSiO2の漏洩は一般に、TEOSのSiO2漏洩よりも優れている。
図6は、BL2条件についての200℃および300℃の堆積でのTEOS対DTBOSについての漏洩電流と電場の関係を示すプロットを提供している。DTBOSは、より高いD/Rを有するにせよ、DTBOS SiO2膜の漏洩はTEOSのものよりも低く、優れた電気的品質が実証され、WERデータが裏づけられている。
図7は、BL3条件についての200℃および300℃の堆積でのTEOS対DTBOSについての漏洩電流と電場の関係を示すプロットを提供している。BL3全体で、漏洩は、TEOSよりもDTBOSにおいて低い。
Figure 2013021360
図8には、ビス(tert−ブチル)アミノシラン(aka.BTBAS)と比べたDTBOSのダイナミック二次イオン質量分析データ(D−SIMS)が提供されている。BTBASについてのXPSデータから、CVDプロセスが典型的に約10原子%のC(水素を除く)を提供することが公知である。これは、DTBOS膜中の炭素レベルが検出不能である表3に匹敵する。D−SIMSデータは、およそ2ケタ低い炭素含有量を示し、BTBAS XPSデータに対する比較から推論されるこれらの膜中の実際の炭素レベルが0.1原子%未満であり得ることを示唆している。
DTBOSからのALD堆積データは、表10中に提供されている。これらの膜についての適切な屈折率によって、酸化ケイ素の堆積が実証されている。
Figure 2013021360
本発明は同様に、tert−ブトキシシラン、イソプロポキシシラン、エトキシシラン、n−ブトキシシラン、イソブトキシシラン、メトキシラン、フェノキシシラン、ジ−tert−ブトキシシラン、ジイソ−プロポキシシラン、ジエトキシシラン、ジ−n−ブトキシシラン、ジイソブトキシシラン、ジメトキシシラン、ジフェノキシシラン、トリ−tert−ブトキシシラン、トリイソ−プロポキシシラン、トリエトキシシラン、トリ−n−ブトキシシラン、トリイソ−ブトキシシラン、トリメトキシシランまたはトリフェノキシシランを収納する、高純度低デッドスペースバルブを有する入口および出口を備えた電解研磨されたステンレス鋼容器を含む、以上で記述した通りの、反応物質を伴うパッケージも含んでいる。
本発明の反応物質および方法は、光学デバイス、磁気情報記憶装置、支持用材料または基材上のコーティング、微小電気機械システム(MEMS)、ナノ電気機械システム、薄膜トランジスタ(TFT)、および液晶ディスプレイ(LCD)を製造するために使用可能である。

Claims (14)

  1. 反応チャンバ内に基材の少なくとも1つの表面を提供するステップと、
    前記反応チャンバ内に以下の式I、IIおよびIII
    Figure 2013021360
    を有する前駆物質であって、式I、IIおよびIII中のR、R1およびR2が各々独立してアルキル基、アリール、アシル基またはそれらの組合せである前駆物質の群から選択される少なくとも1つを含むケイ素前駆物質を導入して誘電体膜を形成する形成ステップと
    を含む、基材の少なくとも1つの表面上に誘電体膜を形成するための方法。
  2. 酸素源、窒素源またはそれらの組合せから選択される少なくとも1つの供給源が前記反応チャンバ内に導入される、請求項1に記載の方法。
  3. 前記形成ステップが、循環化学気相成長、プラズマ化学気相成長または原子層成長から選択される少なくとも1つである、請求項1に記載の方法。
  4. 前記ケイ素前駆物質がジ−tert−ブトキシシランを含む、請求項1に記載の方法。
  5. 前記ケイ素前駆物質がジ−tert−ペントキシシランを含む、請求項1に記載の方法。
  6. 前記酸素源が酸素を含む、請求項2に記載の方法。
  7. 前記酸素源がオゾンを含む、請求項2に記載の方法。
  8. a.基材をALD反応器内に設置するステップと、
    b.該ALD反応器内に以下の式I、IIおよびIII
    Figure 2013021360
    を有する前駆物質であって、式I、IIおよびIII中のR、R1およびR2が各々独立してアルキル基、アリール、アシル基またはそれらの組合せである前駆物質の群から選択される少なくとも1つを含むケイ素前駆物質を導入するステップと、
    c.前記ALD反応器を気体でパージするステップと、
    d.酸素源を前記ALD反応器内に導入するステップと、
    e.前記ALD反応器を気体でパージするステップと、
    f.所望の厚みの誘電体膜が得られるまでステップb〜dを反復するステップと
    を含み、前記誘電体膜がXPSにより測定した場合に約30原子量%以下の窒素を含む、原子層成長プロセスを介してケイ素および酸素を含む誘電体膜を形成する方法。
  9. 窒素源が前記反応チャンバ内に導入される、請求項1に記載の方法。
  10. 熱CVDプロセスを使用し、前記誘電体膜がXPSにより測定した場合に約30原子量%以下の窒素を含む、請求項1に記載の方法。
  11. 酸素源、窒素源またはそれらの組合せから選択される少なくとも1つの供給源が前記反応チャンバ内に導入される、請求項10に記載の方法。
  12. Siabcdefの組成を有し、式中、aが10〜50原子%、bが10〜70原子%、cが0〜30原子%、dが0〜30原子%、eが0〜50原子%、そしてfが0〜30原子%である、請求項1に記載の方法から生成された膜。
  13. tert−ブトキシシラン、イソプロポキシシラン、エトキシシラン、n−ブトキシシラン、イソブトキシシラン、メトキシラン、フェノキシシラン、ジ−tert−ブトキシシラン、ジイソ−プロポキシシラン、ジエトキシシラン、ジ−n−ブトキシシラン、ジイソブトキシシラン、ジメトキシシラン、ジフェノキシシラン、トリ−tert−ブトキシシラン、トリイソ−プロポキシシラン、トリエトキシシラン、トリ−n−ブトキシシラン、トリイソ−ブトキシシラン、トリメトキシシランまたはトリフェノキシシランを収納する高純度低デッドスペースバルブを有する入口および出口を備えた電解研磨されたステンレス鋼容器。
  14. 光学デバイス、磁気情報記憶装置、支持用材料または基材上のコーティング、微小電気機械システム(MEMS)、ナノ電気機械システム、薄膜トランジスタ(TFT)および液晶ディスプレイ(LCD)からなる群から選択される、請求項1に記載の方法を用いて製造されたデバイス。
JP2012214561A 2010-02-04 2012-09-27 ケイ素含有膜を調製するための方法 Active JP5746119B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US30137510P 2010-02-04 2010-02-04
US61/301,375 2010-02-04
US13/015,720 US8703625B2 (en) 2010-02-04 2011-01-28 Methods to prepare silicon-containing films
US13/015,720 2011-01-28

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2011022459A Division JP2011171730A (ja) 2010-02-04 2011-02-04 ケイ素含有膜を調製するための方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2015091938A Division JP6359999B2 (ja) 2010-02-04 2015-04-28 ケイ素含有膜を調製するための方法

Publications (2)

Publication Number Publication Date
JP2013021360A true JP2013021360A (ja) 2013-01-31
JP5746119B2 JP5746119B2 (ja) 2015-07-08

Family

ID=44530600

Family Applications (5)

Application Number Title Priority Date Filing Date
JP2011022459A Pending JP2011171730A (ja) 2010-02-04 2011-02-04 ケイ素含有膜を調製するための方法
JP2012214598A Active JP5746120B2 (ja) 2010-02-04 2012-09-27 ケイ素含有膜を調製するための方法
JP2012214561A Active JP5746119B2 (ja) 2010-02-04 2012-09-27 ケイ素含有膜を調製するための方法
JP2015091938A Active JP6359999B2 (ja) 2010-02-04 2015-04-28 ケイ素含有膜を調製するための方法
JP2017155363A Active JP6480527B2 (ja) 2010-02-04 2017-08-10 ケイ素含有膜を調製するための方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2011022459A Pending JP2011171730A (ja) 2010-02-04 2011-02-04 ケイ素含有膜を調製するための方法
JP2012214598A Active JP5746120B2 (ja) 2010-02-04 2012-09-27 ケイ素含有膜を調製するための方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2015091938A Active JP6359999B2 (ja) 2010-02-04 2015-04-28 ケイ素含有膜を調製するための方法
JP2017155363A Active JP6480527B2 (ja) 2010-02-04 2017-08-10 ケイ素含有膜を調製するための方法

Country Status (4)

Country Link
US (2) US8703625B2 (ja)
JP (5) JP2011171730A (ja)
KR (4) KR20110090838A (ja)
CN (2) CN103397307B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10707073B2 (en) 2017-09-05 2020-07-07 Asm Ip Holding B.V. Film forming method and patterning method

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8703625B2 (en) * 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
TW201319299A (zh) * 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
KR102140719B1 (ko) * 2012-03-09 2020-08-03 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 디스플레이 디바이스를 위한 배리어 물질
US9460912B2 (en) * 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
KR101512315B1 (ko) * 2012-10-02 2015-04-15 모진희 실리콘이 포함된 도금액 및 이를 이용한 실리콘이 도금된 기판 제조방법
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US20140295141A1 (en) * 2013-03-27 2014-10-02 E I Du Pont De Nemours And Company Making the Surface of an Article Visibly Line Free
JP5852147B2 (ja) * 2014-01-23 2016-02-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US20150275355A1 (en) * 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
WO2016038744A1 (ja) * 2014-09-12 2016-03-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
EP3209813B1 (en) * 2014-10-24 2019-03-13 Versum Materials US, LLC Compositions and methods using same for deposition of silicon-containing film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102650626B1 (ko) * 2015-02-06 2024-03-21 버슘머트리얼즈 유에스, 엘엘씨 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이의 사용 방법
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US10763103B2 (en) * 2015-03-31 2020-09-01 Versum Materials Us, Llc Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
CN107614749A (zh) * 2015-05-22 2018-01-19 美国道康宁公司 五氯二硅烷
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
KR102613423B1 (ko) * 2015-12-21 2023-12-12 버슘머트리얼즈 유에스, 엘엘씨 규소-함유 막의 증착을 위한 조성물 및 이를 사용하는 방법
CN106941103A (zh) * 2016-01-04 2017-07-11 中芯国际集成电路制造(北京)有限公司 Nand存储器的形成方法
JP6523186B2 (ja) * 2016-02-01 2019-05-29 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10049882B1 (en) 2017-01-25 2018-08-14 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device including forming a dielectric layer on a structure having a height difference using ALD
WO2019012797A1 (ja) * 2017-07-13 2019-01-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
CN108546927B (zh) * 2018-07-23 2019-12-03 业成科技(成都)有限公司 以化学气相沉积长碳链硅烷化合物作为气密防水之方法
WO2020069901A1 (en) * 2018-10-02 2020-04-09 Evatec Ag Plasma enhanced atomic layer deposition (peald) apparatus
KR20230085953A (ko) 2018-10-19 2023-06-14 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
JP7365895B2 (ja) * 2019-12-25 2023-10-20 東京エレクトロン株式会社 基板処理方法および基板処理装置
US11594409B2 (en) * 2020-02-28 2023-02-28 Applied Materials, Inc. Systems and methods for depositing low-k dielectric films
US20210384601A1 (en) * 2020-06-03 2021-12-09 Silcotek Corp. Dielectric article
US11970769B2 (en) * 2021-06-24 2024-04-30 Asm Ip Holding B.V. Cyclical deposition methods
CN115125512A (zh) * 2022-07-11 2022-09-30 杭州师范大学 利用四甲基二硅氧烷热分解沉积技术的基材表面惰性处理方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006100833A (ja) * 2004-09-28 2006-04-13 Air Products & Chemicals Inc 多孔質の低誘電率組成物並びにそれを作製及び使用するための方法
JP2009147299A (ja) * 2007-10-03 2009-07-02 Applied Materials Inc Si及び金属ナノ結晶核形成のためのプラズマ表面処理

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4579965A (en) * 1985-01-24 1986-04-01 Union Carbide Corporation Process for preparing vinyl-tri-(tertiary substituted) alkoxysilanes
US5177234A (en) * 1991-06-03 1993-01-05 Dow Corning Corporation Preparation of alkoxysilanes by contacting a solution of hydrogen fluoride in an alcohol with silicon
GB9117744D0 (en) * 1991-08-16 1991-10-02 Shell Int Research Polymerization of cycloolefins and catalytic system suitable for use therein
US5204141A (en) * 1991-09-18 1993-04-20 Air Products And Chemicals, Inc. Deposition of silicon dioxide films at temperatures as low as 100 degree c. by lpcvd using organodisilane sources
JP3166329B2 (ja) 1992-08-14 2001-05-14 ソニー株式会社 サンプルサーボ装置
JP2884968B2 (ja) * 1992-11-17 1999-04-19 東亞合成株式会社 シリコン酸化膜の製造方法
JP3061255B2 (ja) 1995-08-18 2000-07-10 キヤノン販売株式会社 成膜方法
US6667232B2 (en) * 1998-12-08 2003-12-23 Intel Corporation Thin dielectric layers and non-thermal formation thereof
CN1336902A (zh) * 1999-09-17 2002-02-20 三井化学株式会社 制备氟化硅化合物的方法
US6436822B1 (en) 2000-11-20 2002-08-20 Intel Corporation Method for making a carbon doped oxide dielectric material
SG98468A1 (en) * 2001-01-17 2003-09-19 Air Prod & Chem Organosilicon precursors for interlayer dielectric films with low dielectric constants
JP2002299441A (ja) * 2001-03-30 2002-10-11 Jsr Corp デュアルダマシン構造の形成方法
JP2003158127A (ja) 2001-09-07 2003-05-30 Arieesu Gijutsu Kenkyu Kk 成膜方法、成膜装置、及び半導体装置
KR20030043380A (ko) * 2001-11-28 2003-06-02 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
US20080268177A1 (en) * 2002-05-17 2008-10-30 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
US6846515B2 (en) 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US7384471B2 (en) * 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US7105460B2 (en) 2002-07-11 2006-09-12 Applied Materials Nitrogen-free dielectric anti-reflective coating and hardmask
TW200408015A (en) * 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
CN1739190A (zh) * 2003-01-17 2006-02-22 艾克塞利斯技术公司 多孔Low-K材料的无氟等离子体固化方法
JP2004233254A (ja) * 2003-01-31 2004-08-19 Asahi Denka Kogyo Kk 高純度薬品の供給装置、これを用いた高純度薬品の供給方法
US7713592B2 (en) * 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US20040180551A1 (en) * 2003-03-13 2004-09-16 Biles Peter John Carbon hard mask for aluminum interconnect fabrication
JP4032044B2 (ja) * 2003-06-17 2008-01-16 株式会社半導体プロセス研究所 成膜方法、半導体装置の製造方法及び半導体装置
KR100697505B1 (ko) * 2003-06-27 2007-03-20 어플라이드 마이크로스트럭쳐스, 인코포레이티드 박막 필름 및 코팅을 생성하기 위해 반응성 증기를 제어도포하는 장치 및 방법
JP4401912B2 (ja) * 2003-10-17 2010-01-20 学校法人早稲田大学 半導体多層配線板の形成方法
JP2005197561A (ja) 2004-01-09 2005-07-21 Hitachi Kokusai Electric Inc 基板処理装置
US7097878B1 (en) * 2004-06-22 2006-08-29 Novellus Systems, Inc. Mixed alkoxy precursors and methods of their use for rapid vapor deposition of SiO2 films
JP4541080B2 (ja) * 2004-09-16 2010-09-08 東京応化工業株式会社 反射防止膜形成用組成物およびこれを用いた配線形成方法
SG121180A1 (en) * 2004-09-28 2006-04-26 Air Prod & Chem Porous low dielectric constant compositions and methods for making and using same
JP2006120920A (ja) * 2004-10-22 2006-05-11 Tokyo Ohka Kogyo Co Ltd シリカ系被膜形成用塗布液
US20060133955A1 (en) * 2004-12-17 2006-06-22 Peters David W Apparatus and method for delivering vapor phase reagent to a deposition chamber
US20060183055A1 (en) 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7601652B2 (en) * 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
JP2007123633A (ja) * 2005-10-28 2007-05-17 Tokyo Ohka Kogyo Co Ltd シリカ系被膜形成用組成物および該組成物から得られたシリカ系被膜
US20070173071A1 (en) * 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
WO2008018981A2 (en) * 2006-08-04 2008-02-14 Dow Corning Corporation Silicone resin and silicone composition
ES2426666T3 (es) * 2007-01-12 2013-10-24 Utilx Corporation Composición y procedimiento para restaurar un cable eléctrico e inhibir la corrosión en el núcleo conductor de aluminio
CN101312129A (zh) * 2007-02-15 2008-11-26 气体产品与化学公司 提高介电膜的材料性能的活化化学方法
JP4735601B2 (ja) * 2007-05-14 2011-07-27 ソニー株式会社 原子層蒸着法を用いた薄膜形成方法
KR20150036815A (ko) * 2007-09-18 2015-04-07 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소 함유 막의 형성 방법
US8703625B2 (en) * 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP2012122002A (ja) * 2010-12-09 2012-06-28 Daicel Corp 付加硬化性メタロシロキサン化合物
IT1403278B1 (it) * 2010-12-20 2013-10-17 Polimeri Europa Spa Precursore di catalizzatore e catalizzatore per la (co)polimerizzazione delle alfa-olefine ad alta temperatura

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006100833A (ja) * 2004-09-28 2006-04-13 Air Products & Chemicals Inc 多孔質の低誘電率組成物並びにそれを作製及び使用するための方法
JP2009147299A (ja) * 2007-10-03 2009-07-02 Applied Materials Inc Si及び金属ナノ結晶核形成のためのプラズマ表面処理

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10707073B2 (en) 2017-09-05 2020-07-07 Asm Ip Holding B.V. Film forming method and patterning method

Also Published As

Publication number Publication date
JP5746120B2 (ja) 2015-07-08
CN102191479A (zh) 2011-09-21
US20150249007A1 (en) 2015-09-03
JP5746119B2 (ja) 2015-07-08
KR20130032343A (ko) 2013-04-01
KR20140105701A (ko) 2014-09-02
JP2013016858A (ja) 2013-01-24
JP2011171730A (ja) 2011-09-01
KR20110090838A (ko) 2011-08-10
CN103397307A (zh) 2013-11-20
CN103397307B (zh) 2017-07-07
KR101950952B1 (ko) 2019-02-21
JP6359999B2 (ja) 2018-07-18
JP2015156514A (ja) 2015-08-27
CN102191479B (zh) 2013-09-18
JP6480527B2 (ja) 2019-03-13
JP2018014503A (ja) 2018-01-25
US20110215445A1 (en) 2011-09-08
KR101950956B1 (ko) 2019-02-21
US9502234B2 (en) 2016-11-22
KR20170004942A (ko) 2017-01-11
US8703625B2 (en) 2014-04-22

Similar Documents

Publication Publication Date Title
JP6480527B2 (ja) ケイ素含有膜を調製するための方法
KR101070953B1 (ko) 실리콘을 포함하는 유전 필름 및 이를 제조하는 방법
TWI623543B (zh) 含硼化合物、組合物及含硼膜的沉積方法
US8940648B2 (en) Process for producing silicon and oxide films from organoaminosilane precursors
US9905415B2 (en) Methods for depositing silicon nitride films
JP6092902B2 (ja) 薄膜トランジスター機器上にケイ素含有膜を製造する方法
KR101856143B1 (ko) 실리콘-기반 필름 및 이를 형성시키는 방법
TW202035430A (zh) 用於含矽膜的組合物及使用其的方法
EP2363512A1 (en) Methods to prepare silicon-containing films
TWI431147B (zh) 製備含矽膜的方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130920

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131001

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20131226

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140107

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140331

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140708

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20141007

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20141010

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150107

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150407

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150507

R150 Certificate of patent or registration of utility model

Ref document number: 5746119

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250