CN107614749A - 五氯二硅烷 - Google Patents

五氯二硅烷 Download PDF

Info

Publication number
CN107614749A
CN107614749A CN201680028698.5A CN201680028698A CN107614749A CN 107614749 A CN107614749 A CN 107614749A CN 201680028698 A CN201680028698 A CN 201680028698A CN 107614749 A CN107614749 A CN 107614749A
Authority
CN
China
Prior art keywords
silicon
film
nitrogen
precursor
precursor compound
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201680028698.5A
Other languages
English (en)
Inventor
X·周
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nanda optoelectronic semiconductor materials Co.,Ltd.
Original Assignee
Dow Corning Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dow Corning Corp filed Critical Dow Corning Corp
Publication of CN107614749A publication Critical patent/CN107614749A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Silicates, Zeolites, And Molecular Sieves (AREA)
  • Ceramic Products (AREA)

Abstract

本发明公开了一种用于沉积的硅前体化合物,所述硅前体化合物包含五氯二硅烷;一种用于成膜的组合物,所述组合物包含硅前体化合物和以下中的至少一种:惰性气体、分子氢、碳前体、氮前体、和氧前体;一种在基材上形成含硅膜的方法,所述方法利用所述硅前体化合物,并且所述含硅膜由此形成。

Description

五氯二硅烷
本发明整体涉及用于成膜的前体化合物和组合物、经由沉积装置用前体化合物或组合物形成膜的方法、以及通过该方法形成的膜。
单质硅和其他硅材料(诸如氧化硅、碳化硅、氮化硅、碳氮化硅和碳氮氧化硅)具有各种已知的用途。例如,在用于电子或光伏器件的电子电路的制造中,硅膜可用作半导体、绝缘层或牺牲层。
已知的制备硅材料的方法可以使用一种或多种硅前体。这些硅前体的用途不限于制备用于电子或光伏半导体应用的硅。例如,硅前体可用于制备硅基润滑剂、弹性体和树脂。
我们看到电子和光伏产业中对于改善的硅前体的持久需求。我们认为改善的前体将能够使沉积温度降低和/或制造出更精细的半导体特征结构,从而得到性能更好的电子和光伏器件。
发明内容
我们已经发现了一种改善的硅前体。本发明提供以下每个实施方案:
一种用于沉积的前体化合物,该前体化合物包含五氯二硅烷(下文称为“硅前体化合物”)。
一种用于成膜的组合物,该组合物包含硅前体化合物和以下中的至少一种:惰性气体、分子氢、碳前体、氮前体、和氧前体。
一种在基材上形成含硅膜的方法,该方法包括使由五氯二硅烷组成的硅前体的蒸气在存在基材的情况下经受沉积条件,从而在基材上形成含硅膜,其中含硅膜是硅氮膜或硅氧膜,并且该方法利用原子层沉积。
根据该方法形成的膜。
具体实施方式
以引用的方式将发明内容和说明书摘要并入本文。下文进一步描述上文概述的发明实施方案、用途和优点。
本文中使用各种常见的规则来描述本发明的多个方面。例如,所有的物质状态都是在25℃和101.3kPa下测定,除非另外指明。所有%均按重量计,除非另外指明或指示。除非另外指明,否则所有%值均基于用于合成或制备组合物的所有成分的总量,所述总量合计为100%。在其中包括属和亚属的任何马库什群组包括属中的亚属,例如,在“R为烃基或烯基”中,R可以为烯基,或者R可以为烃基,其除了别的亚属外包括烯基。对于美国的实务,本文引用的所有美国专利申请公布和专利或者其一部分(如果仅引用该部分的话)据此在并入的主题不与本说明书相冲突的程度上以引用的方式并入本文,在任何这种冲突的情况下,应以本说明书为准。
本文中使用各种专利术语来描述本发明的多个方面。例如,“另选地”表示不同的和有区别的实施方案。“比较例”意指非发明实验。“包含”及其变型(包括、含有)是开放式的。“由...组成”及其变型是封闭式的。“接触”意指形成物理接触。“可”提供一个选择,而不是必要的。“任选地”意指不存在或者存在。
本文中使用各种化学术语来描述本发明的多个方面。所述术语的含义对应于它们由IUPAC公布的定义,除非本文中另有定义。为了方便起见,对某些化学术语进行了定义。
术语“沉积”为在特定位置上产生浓缩物质的方法。该浓缩物质在尺度上可以或可不受到限制。沉积的示例为形成膜、形成棒以及形成颗粒的沉积。
术语“膜”意指在一个尺度受到限制的材料。受限的尺度可以被表征为“厚度”,并且被表征为在所有其他条件相同的情况下随着沉积所述材料以形成膜的过程的时间长度增加而增加的尺度。
术语“卤素”意指氟、氯、溴或碘,除非另有定义。
术语“IUPAC”是指国际理论化学与应用化学联合会(International Union ofPure and Applied Chemistry)。
术语“不含”意指没有或完全不存在。
“元素周期表”意指IUPAC在2011年公布的版本。
术语“前体”是指含有指示元素的原子并且在通过沉积方法形成的膜中可用作元素源的物质或分子。
术语“分离”意指造成在物理上分开,从而不再直接接触。
术语“基材”意指具有至少一个表面的物理支撑体,在该表面上可承载另一种材料。
本发明提供用于成膜的硅前体化合物和组合物。硅前体化合物尤其适用于形成含硅膜的沉积方法,但是硅前体化合物不限于此类应用。例如,硅前体化合物可用于其它应用,例如作为用于制备硅氧烷或硅氮烷材料的反应物。本发明还提供形成膜的方法和根据该方法形成的膜。
硅前体化合物具有化学名五氯二硅烷,它的通式为HSiCl2SiCl3。当硅前体化合物用于本发明组合物和方法时,该硅前体化合物可具有99面积%(GC)至99.9999999面积%(GC)的纯度。
可以任何方式提供硅前体化合物。例如,可合成或换句话讲获取硅前体化合物用于该方法。在一个实施方案中,硅前体化合物通过热分解(在600℃至800℃下加热)三氯硅烷(HSiCl3)来合成。用于合成硅前体化合物的另外的实施方案包括在存在或不存在硅的情况下还原偶合四氯化硅与氢、四氯化硅和三氯硅烷之间的脱氯化氢偶合、硅或金属硅化物诸如硅化镁和硅化铜的氢氯化反应、高级氯硅烷如全氯新戊硅烷的氢氯化反应、用金属氢化物如LiH、LiAlH4、NaH、NaAlH4、LiAl(OBu-t)3H、LiAl(Bu-i)2(OBu-t)H、二异丁基氢化铝、双二氢铝钠(Vitride)、NaBH4、Mg(BH4)2、(CH3)4N(BH4)、KB(C2H5)3H、三仲丁基硼氢化钠(N-Selectride)、三仲丁基硼氢化锂(L-Selectride)、三仲丁基硼氢化钾(K-Selectride)和三戊基硼氢化钾(KS-Selectride)部分还原六氯二硅烷、以及二硅烷的氯化。硅前体化合物可经由蒸发或汽提来分离。
如上所述,用于成膜的组合物包含硅前体化合物和以下至少中的一种:惰性气体、分子氢、碳前体、氮前体、和氧前体,或者惰性气体、氮前体、和氧前体。分子氢可与硅前体化合物一起在组合物中使用,用于形成单质硅膜,其包括非晶态的多晶硅和单晶膜。分子氢、碳前体、氮前体或氧前体的蒸气或气体状态本文一般可称为另外的反应气体。
根据该方法的一个实施方案,碳前体可与硅前体化合物一起在组合物中使用,用于形成硅碳膜。硅碳膜包含Si和C原子并可包含碳化硅。碳前体可包含、或者基本上由以下物质组成、或者由以下物质组成:C、H、和任选的Si原子。当碳前体分别用于形成碳氮化硅膜或碳氧化硅膜的方法时,包含C、H、和任选的Si原子的碳前体还可包含N或O原子,或者当碳前体用于形成碳氮氧化硅膜的方法时,还可包含N和O原子。基本上由C、H、和任选的Si原子组成的碳前体不含N和O原子,但是可任选地具有一个或多个卤素原子(例如Cl)。由C和H原子组成的碳前体的示例是烃如烷烃。由C、H和Si原子组成的碳前体的示例是烃基硅烷,诸如丁基二硅烷或四甲基硅烷。
根据该方法的一个实施方案,氮前体可与硅前体化合物一起在组合物中使用,用于形成硅氮膜。硅氮膜包含Si和N原子以及任选的C和/或O原子,并且可包含氮化硅、氧氮化硅、或碳氮氧化硅。氮化硅可为SixNy,其中下标x为1、2或3,并且下标y为1至5的整数。氮前体可包含N原子和任选的H原子,或者氮前体可基本上由N原子和任选的H原子组成,或者氮前体可由N和任选的H原子组成。当氮前体分别用于形成碳氮化硅膜或氧氮化硅膜的方法时,包含N和任选的H原子的氮前体还可包含C或O原子,或者当氮前体用于形成碳氮氧化硅膜的方法时,还可包含C和O原子。基本上由N原子和任选的H原子组成的氮前体不含C和O原子,但是可任选地具有一个或多个卤素原子(例如Cl)。由N原子组成的氮前体的一个示例是分子氮。由N和H原子组成的氮前体的一个示例是氨和肼。由O和N原子组成的氮前体的一个示例是一氧化氮(N2O)和二氧化氮(NO2)。
根据该方法的一个实施方案,氧前体可与硅前体化合物一起在组合物中使用,用于形成硅氧膜。硅氧膜包含Si和O原子以及任选的C和/或N原子,并且可包含氧化硅、碳氧化硅、氧氮化硅、或碳氮氧化硅。氧化硅可为SiO或SiO2。氧前体可包含O原子和任选的H原子,或者可基本上由O原子和任选的H原子组成,或者可由O原子和任选的H原子组成。当氧前体分别用于形成碳氧化硅膜或氧氮化硅膜的方法时,包含O原子和任选的H原子的氧前体还可包含C或N原子,或者当氧前体用于形成碳氮氧化硅膜的方法时,还可包含C和N原子。由O原子组成的氧前体的示例是分子氧和臭氧。臭氧可以最高至5%v/v的空气或最高至14%v/v的分子氧递送。由O和H原子组成的氧前体的示例是水和过氧化氢。由O和N原子组成的氧前体的示例是一氧化氮和二氧化氮。
惰性气体可与任何一种上述前体以及组合物或方法的任何实施方案组合使用。惰性气体的示例是氦气、氩气、以及它们的混合物。例如,在本方法的一个实施方案中氦气可与硅前体化合物和分子氢组合使用,其中形成的含硅膜是单质硅膜。另选地,在本方法的一个实施方案中氦气可与硅前体化合物以及碳前体、氮前体和氧前体中的任一种一起使用,其中形成的含硅膜分别是硅碳膜、硅氮膜、或硅氧膜。
通过该方法形成的膜是包含Si的材料,并且限于一个维度,其可称为材料的厚度。含硅膜可为单质硅膜、硅碳膜、硅氮膜、或硅氧膜。(例如氮化硅、碳氮化硅、氧氮化硅、或碳氮氧化硅膜,或者硅氮膜或硅氧膜(例如氮化硅、氧化硅)。通过该方法形成的单质硅膜缺少C、N和O原子并且可为非晶态或结晶Si材料。通过该方法形成的硅碳膜包含Si和C原子以及任选的N和/或O原子。通过该方法形成的硅氮膜包含Si和N原子以及任选的C和/或O原子。通过该方法形成的硅氧膜包含Si和O原子以及任选的C和/或N原子。
该膜可用于电子器件和光伏应用。例如氮化硅膜可形成绝缘层、钝化层、或介于电容器中的多晶硅层之间的介质层。
形成膜的方法利用原子层沉积装置。在该方法中利用的沉积装置一般基于形成膜的期望方法来选择,并且可以是本领域技术人员已知的任何沉积装置。
在某些实施方案中,沉积装置包括物理气相沉积装置。在这些实施方案中,沉积装置通常选自溅射装置、原子层沉积沉积装置(包括等离子体增强和热原子层沉积装置)、和直流(DC)磁控溅射装置,或者沉积装置是原子层沉积装置。每个这些物理气相沉积装置的最佳操作参数基于在该方法中利用的硅前体化合物,以及其中经由利用的沉积装置形成膜的期望应用。在某些实施方案中,所述沉积装置包括溅射装置。溅射装置可以是例如离子束溅射装置、反应性溅射装置、或离子辅助溅射装置。
然而,优选地,该沉积装置包括原子层沉积装置。在使用原子层沉积装置的实施方案中,形成膜的方法可称为原子层沉积方法,并且包括等离子体增强原子层沉积(PEALD)、空间原子层沉积(SALD)和热原子层沉积(TALD)。原子层沉积方法在本领域中是公知的。
在利用化学气相沉积装置的方法的实施方案中,化学气相沉积装置可选自例如可流动化学气相装置、热化学气相沉积装置、等离子体增强化学气相沉积装置、光化学气相沉积装置、电子回旋共振装置、感应联接等离子体装置、磁约束等离子体装置、低压化学气相沉积装置和喷射气相沉积装置。每个这些化学气相沉积装置的最佳操作参数基于在该方法中利用的硅前体化合物,以及其中经由利用的沉积装置形成膜的期望应用。在某些实施方案中,所述沉积装置包括等离子增强化学气相沉积装置。在其它实施方案中,沉积装置包括低压化学气相沉积装置。
在化学气相沉积中,用于形成膜的气体通常在沉积室中混合并反应。该反应形成气态的合适膜元素或分子。该元素或分子随后沉积在基材(或晶片)上并积聚以形成膜。化学气相沉积一般需要施加能量于***,诸如加热沉积室和基材。
气态物质的反应在本领域中是公知的,并且任何常规化学气相沉积(CVD)技术可经由本发明方法进行。例如,可使用的方法诸如简单的热气相沉积、等离子体增强化学气相沉积(PECVD)、电子回旋共振(ECRCVD)、大气压化学气相沉积(APCVD)、低压化学气相沉积(LPCVD)、超高真空化学气相沉积(UHVCVD)、气溶胶辅助化学气相沉积(AACVD)、直接液体注入化学气相沉积(DLICVD)、微波等离子体-辅助化学气相沉积(MPCVD)、远距等离子体-增强化学气相沉积(RPECVD)、原子层化学气相沉积(ALCVD)、热丝化学气相沉积(HWCVD)、混合物理-化学气相沉积(HPCVD)、快速热化学气相沉积(RTCVD)、和气相外延化学气相沉积(VPECVD)、光辅助化学气相沉积(PACVD)、火焰辅助化学气相沉积(FACVD)、或任何相似的技术。
当使用等离子体增强原子层沉积方法时,等离子体包括合成气体等离子体、氮等离子体、或在作为载气的氮气或氩气中的氨等离子体、或氧等离子体。合成气体包含氮气和氢气。本领域的技术人员将理解合成气体的组成。
可利用化学气相沉积以形成具有很多种厚度的膜,膜的厚度取决于膜的期望最终用途。例如,膜可具有几纳米的厚度或几微米的厚度,或者更大或更小的厚度(或者介于这些值之间的厚度)。这些膜可任选地被以下涂层覆盖:诸如SiO2涂层、SiO2/改性陶瓷氧化物层、含硅涂层、含硅碳涂层、含碳化硅涂层、含硅氮涂层、含氮化硅涂层、含硅氮碳涂层、含硅氧氮涂层、和/或类金刚石涂层。此类涂层和它们的沉积方法在本领域中是公知的。
在该方法中利用的基材不受限制。在某些实施方案中,基材仅受在沉积室温度和环境条件下的热和化学稳定性的需要的限制。因此,基材可为例如玻璃、金属、塑料、陶瓷、半导体,包括但不限于硅(例如单晶硅、多晶硅、非晶硅等等)。
基材可具有平坦的或图案化的表面。图案化的表面具有范围在1至500,或者1至50,或者10至50的长宽比的特征。CVD或ALD膜可在两个平坦的或图案化的基材表面上共形。
本方法的实施方案可包括包含一氧化二氮(N2O)的反应性环境。此类反应性环境在本领域中是公知的。在这些实施方案中,该方法一般涉及在存在一氧化二氮的情况下分解硅前体化合物。此种方法的一个示例描述于美国专利US 5,310,583中。利用一氧化二氮可改变在化学气相沉积方法中形成的所得膜的组成。
利用的化学气相沉积装置以及由此产生的化学气相沉积方法一般通过平衡多个因素进行选择,包括但不限于硅前体化合物、期望的膜纯度、基材的几何构型、和经济上的考虑。
在化学气相沉积和原子层沉积中操纵的主要操作变量包括但不限于反应器温度、基材温度、压力、气相硅前体化合物的浓度、任何另外的反应气体的浓度(例如任何碳前体、氮前体、和/或氧前体的气体浓度)、总气流、和基材。化学气相沉积和原子层沉积通过化学反应产生,其包括但不限于高温分解、氧化、还原、水解、以及它们的组合。选择化学气相沉积和原子层沉积的最佳温度需要理解硅前体化合物和所选化学反应的动力学和热力学两者。
常规的化学气相沉积和原子层沉积方法一般需要非常高的反应器温度,例如大于600℃,例如600℃至1000℃。然而,据信硅前体化合物可在低得多的反应器温度下用于化学气相沉积和原子层沉积。例如,该方法可在反应器温度100℃至700℃,或者200℃至700℃,或者200℃至600℃,或者200℃至500℃,或者200℃至400℃,或者100℃至300℃的反应器温度下进行。进行该方法的反应器温度可为等温的或动态的。
化学气相和原子层沉积方法一般在0.01托至100托,或者0.01托至10托,或者0.1至10托,或者1至10托的压力下进行。
化学气相沉积和原子层沉积方法一般涉及生成前体、将前体转移至反应室中、并且将前体吸收至加热基材上或使前体发生化学反应并随后吸收至基材上。下文示出化学气相沉积方法的概述,用于示出大量可用选项中的一些。这些方法可由本领域技术人员调整适于原子层沉积。
化学气相和原子层沉积方法沉积0.01纳米至1微米,或者0.1至100纳米,或者1至100纳米,或者10至100nm的厚度的膜。
在热化学气相沉积中,通过使蒸气形式的硅前体化合物流经加热基材上方来沉积膜。当蒸气形式的硅前体化合物接触加热基材时,硅前体化合物一般反应和/或分解以形成膜。
在PECVD中,使蒸气形式的硅前体化合物通过等离子体场以形成反应性物质而使其发生反应。反应性物质随后聚集并沉积在基材上,形成膜。一般来讲,PECVD相对于热化学气相沉积的优点在于可使用更低的基材温度。在PECVD中利用的等离子体包含来源于多种源的能量,诸如放电、在射频或微波范围内的电磁场、激光或粒子束。一般来讲,PECVD利用在适度功率密度(0.1-5瓦特/平方厘米(W/cm2))下的射频(10千赫兹(kHz)-102兆赫兹(MHz))或微波能量(0.1-10千兆赫(GHz)),但是可改变这些变量中的任一个。然而通常调节沉积装置的特定频率、功率、和压力。
在AACVD中,硅前体化合物溶解在化学介质中以形成混合物。该混合物包含硅前体化合物并且将化学介质包封在传统的气溶胶中。气溶胶雾化并将硅前体化合物导入加热室中,其中硅前体化合物发生分解和/或化学反应。AACVD的一个优点是在无需真空的情况下形成膜的能力。
所选的沉积方法和操作参数将对膜的结构和性质具有影响。一般来讲,控制膜结构的取向、其中膜聚结的方式、膜的均匀度、和膜的结晶/非晶态结构是可能的。
需注意,有利于期望沉积的环境也可用于沉积室。例如,反应性环境诸如空气、氧、氧等离子体、氨、胺、肼等,或者惰性环境,全部可用于本文。
另外,本发明还提供根据本方法形成的膜。膜的组成和结构不仅是沉积装置及其参数的函数,而且是利用的硅前体化合物和在该方法期间是否存在任何反应性环境的函数。硅前体化合物可与任何其它已知的前体化合物组合使用,或者可在不含任何其它前体化合物的方法中使用。
因为硅前体化合物缺少至少一个Si-N键和Si-C键以及Si-O键,该硅前体化合物可用于形成单质硅膜。
另选地,硅前体化合物可与传统上用于形成包含结晶硅或氮化硅的硅膜的其它硅基前体化合物一起使用。在此类实施方案中,膜可为例如结晶的或外延的。取决于在该方法期间是否存在反应性环境,除硅和氮之外,膜还可包含氧和/或碳。
硅前体化合物的纯度可以通过29Si-NMR、反相液相色谱法或更可能的是通过后文所述的气相色谱法(GC)来测定。例如,由GC测定的纯度可以从60面积%至≤100面积%(GC)、作为另外一种选择从70面积%至≤100面积%(GC)、作为另外一种选择从80面积%至≤100面积%(GC)、作为另外一种选择从90面积%至≤100面积%(GC)、作为另外一种选择从93面积%至≤100面积%(GC)、作为另外一种选择从95面积%至≤100面积%(GC)、作为另外一种选择从97面积%至≤100面积%(GC)、作为另外一种选择从99.0面积%至≤100面积%(GC)。每个≤100面积%(GC)可以独立地如先前所定义。
通过以下的非限制性实施例来进一步说明本发明,并且本发明实施方案可以包括以下非限制性实施例的特征和限制的任意组合。环境温度为约23℃,除非另外指明。下表包括实施例中使用的缩写。
缩写
sccm 标准立方厘米/分钟
摄氏度
WER 湿法蚀刻速率
GPC 每循环测得的生长,单位为埃(A)
RI 折射率
nm 纳米
RF 等离子体功率,单位为瓦特
SiN 氮化硅
HF 氟化氢
最小值 分钟
FG 合成气体(10%H2和余量N2)
气相色谱法-热导率检测器(GC-TCD)条件:长度30米、内径0.32mm的毛细管柱,并且在毛细管柱的内表面上含有涂层形式的0.25μm厚固定相,其中该固定相由苯基甲基硅氧烷组成。载气为以每分钟105mL的流速使用的氦气。GC仪器是Agilent 7890A型气相色谱仪。入口温度为150℃。GC实验温度分布由下列温度组成:在50℃下浸泡(保持)2分钟、以15℃/分钟的速率升温到250℃、然后在250℃浸泡(保持)10分钟。
GC-MS仪器和条件:通过电子碰撞电离和化学电离气相色谱-质谱法(EI GC-MS和CI GC-MS)来分析样品。Agilent 6890GC条件包括具有30米(m)×0.25毫米(mm)×0.50微米(μm)膜构造的DB-1柱。柱箱程序为在50℃浸泡2分钟、以15℃/分钟升温至250℃、以及在250℃下浸泡10分钟。氦载气的流动为70mL/分钟的恒定流量和50∶1的分流进样。Agilent5973MSD条件包括从15至800道尔顿的MS扫描范围、使用5%NH3和95%CH4的定制CI气体混合物的EI电离和CI电离。
29Si-NMR仪器和溶剂:使用Varian 400MHz汞光谱仪。使用C6D6作为溶剂。
1H-NMR仪器和溶剂:使用Varian 400MHz汞光谱仪。使用C6D6作为溶剂。
实施例(Ex)A(假想例):五氯二硅烷的合成:在700℃的温度下加热三氯硅烷以产生五氯二硅烷,并且从所得反应混合物中蒸馏五氯二硅烷以提供纯化的五氯二硅烷。
实施例1(假想例):利用LPCVD,使用硅前体化合物形成单质硅膜:使用LPCVD反应器和包含硅前体化合物并与LPCVD反应器流体连通的鼓泡器,加热包含硅前体化合物的鼓泡器至40℃以提高其蒸气压。然后使氢气载气流过鼓泡器以携带硅前体化合物的蒸气进入LPCVD反应器中,其中LPCVD反应器容纳有加热到700℃的多个竖直取向并间隔开的硅晶片,从而在该晶片上形成共形的单质硅膜。
实施例2:利用原子层沉积(ALD),使用硅前体化合物形成氮化硅膜。使用ALD反应器和包含硅前体化合物并与ALD反应器流体连通的罐(即,“鼓泡器”)。包含硅前体化合物的鼓泡器保持在室温(即,大约23℃)。ALD反应器随后用氩气吹扫,其中ALD反应器包含加热到500或600℃的多个水平取向并间隔开的硅晶片(如下表所示)。随后硅前体化合物的蒸气流入ALD反应器中10秒。ALD反应器随后用氩气再次吹扫以去除任何残留的硅前体化合物蒸气。接下来,氨气流入ALD反应器中10秒。随后重复上述步骤序列(硅前体、吹扫、氨气)直至在晶片上形成期望厚度的共形氮化硅膜。表中的一个循环相当于一个如下序列:十秒的前体给料、后接十秒的Ar吹扫、后接十秒的氨气给料、并且后接十秒的Ar吹扫。
实施例3(假想例):利用LPCVD,使用硅前体化合物和氨(NH3)形成氮化硅膜:使用LPCVD反应器和包含硅前体化合物并与LPCVD反应器流体连通的鼓泡器,加热包含硅前体化合物的鼓泡器至40℃以提高其蒸气压。然后使He载气流过鼓泡器,以携带硅前体化合物的蒸气进入LPCVD反应器中,其中LPCVD反应器容纳有氨蒸气和被加热到500℃的多个竖直取向并间隔开的硅晶片,从而在该晶片上形成共形的氮化硅膜。
实施例4(假想例):利用PECVD,使用硅前体化合物和氨形成氮化硅膜:使用PECVD反应器和与PECVD反应器流体连通的鼓泡器,加热包含硅前体化合物的鼓泡器至40℃以提高其蒸气压。然后使He载气流过鼓泡器,以携带硅前体化合物的蒸气进入PECVD反应器中,其中PECVD反应器具有氨衍生的等离子体并含有被加热到500℃的多个水平取向并间隔开的硅晶片,从而在该晶片上形成共形的氮化硅膜。
实施例5:利用包含氮原子的气体物质PEALD,使用硅前体化合物形成氮化硅膜。使用PEALD反应器和包含硅前体化合物并与PEALD反应器流体连通的罐(“鼓泡器”)。包含硅前体化合物的鼓泡器保持在室温。PEALD反应器随后用氮气吹扫,其中PEALD反应器包含加热到350至500℃的多个水平取向并间隔开的硅晶片。(如下表每次运行所示)。随后硅前体化合物的蒸气流入ALD反应器中。ALD反应器随后用氮气再次吹扫以去除任何残留的硅前体化合物蒸气。接下来,包含氮原子的物质(例如氨、氮、氮和氢的混合物)流入等离子体功率开启的ALD反应器中。ALD反应器随后用氮气再次吹扫以去除由等离子体产生的任何残留的反应性物质。随后重复上述步骤序列直至在晶片上形成期望厚度的共形氮化硅膜。下表中的一个循环相当于一个如下序列:一秒的前体给料、后接30秒的氮气吹扫、后接十五秒的等离子体处理、并且后接30秒的氮气吹扫。
实施例6(假想例):利用LPCVD,使用硅前体化合物形成氧化硅膜:使用LPCVD反应器和与LPCVD反应器流体连通的鼓泡器,加热包含硅前体化合物的鼓泡器至40℃以提高其蒸气压。然后使He载气流过鼓泡器,以携带硅前体化合物的蒸气进入LPCVD反应器中,其中LPCVD反应器具有氧气氛并含有被加热到500℃的多个竖直取向并间隔开的硅晶片,从而在该晶片上形成共形的氧化硅膜。
实施例7:利用原子层沉积(ALD),使用硅前体化合物形成氧化硅膜。使用ALD反应器和包含硅前体化合物并与ALD反应器流体连通的鼓泡器。包含硅前体化合物的鼓泡器保持在室温。ALD反应器用Ar吹扫,其中ALD反应器包含加热到400至600℃的多个水平取向并间隔开的硅晶片。(下表指示特定运行的精确温度)。随后硅前体化合物的蒸气流入ALD反应器中。ALD反应器用Ar再次吹扫以去除任何残留的硅前体化合物蒸气。随后臭氧流入ALD反应器中。重复上述步骤序列直至在晶片上形成期望厚度的共形氧化硅膜。下表中的一个循环相当于一个如下序列:三秒的前体给料、后接10秒的氩气吹扫、后接10秒的臭氧处理、并且后接10秒的氩气吹扫。
前体 氧源气体(sccm) 温度(℃) GPC(A/循环)
PCDS Ozone=20 400 0.09
PCDS Ozone=20 500 0.25
PCDS Ozone=20 600 0.54
HCDS Ozone=20 500 0.17
实施例7(假想例):利用甲烷和PECVD,使用硅前体化合物形成碳化硅膜:使用PECVD反应器和与PECVD反应器流体连通的鼓泡器,加热包含硅前体化合物的鼓泡器至40℃以提高其蒸气压。然后使He载气流过鼓泡器,以携带硅前体化合物的蒸气进入PECVD反应器中,其中PECVD反应器具有甲烷衍生的等离子体并含有被加热到500℃的多个水平取向并间隔开的硅晶片,从而在该晶片上形成共形的碳化硅膜。
以下的权利要求是以引用方式并入本文中,并且术语“权利要求”可用术语“方面”代替。本发明的实施方案也包括这些所得的带编号的方面。

Claims (9)

1.一种在基材上形成含硅膜的方法,所述方法包括使由五氯二硅烷组成的硅前体的蒸气在存在所述基材的情况下经受沉积条件,从而在所述基材上形成含硅膜,其中所述含硅膜是硅氮膜或硅氧膜,并且所述方法利用原子层沉积。
2.根据权利要求1所述的方法,包括使所述硅前体的第一蒸气和氮前体(包括分子氮、氨、胺、肼、或者它们中任意两种或三种的组合)的第二蒸气在存在所述基材的情况下经受沉积条件,从而在所述基材上形成所述含硅膜,其中所述含硅膜是所述硅氮膜。
3.根据权利要求1所述的方法,包括使所述硅前体的第一蒸气和氧前体(包括分子氧、臭氧、一氧化氮、二氧化氮、水、过氧化氢、或者它们中任意两种或三种的组合)的第二蒸气在存在所述基材的情况下经受沉积条件,从而在所述基材上形成所述含硅膜,其中所述含硅膜是所述硅氧膜。
4.根据权利要求2-4中任一项所述的方法,其中所述基材被加热并置于被配置用于原子层沉积的沉积反应器中,所述方法包括重复进料所述硅前体的所述第一蒸气、用惰性气体吹扫、将所述第二蒸气进料到所述沉积反应器中、并且用惰性气体吹扫,从而在所述加热基材上形成所述含硅膜,其中所述进料可为相同的或不同的。
5.根据权利要求1所述的方法,其中所述原子层沉积是等离子体增强原子层沉积,并且其中所述等离子体是在氮气或氩气中的氨等离子体,或者其中所述等离子体是合成气体、氮气、或氧等离子体。
6.根据权利要求3所述的方法,其中所述蒸气沉积条件缺少碳和氧,并且所述硅氮膜包括氮化硅膜。
7.根据前述权利要求中任一项所述的方法,其中所述基材是半导体材料。
8.一种用于形成硅氮膜的组合物,所述组合物包含由五氯二硅烷组成的硅前体和氮前体。
9.根据权利要求9所述的组合物在形成硅氮膜的方法中的用途。
CN201680028698.5A 2015-05-22 2016-05-19 五氯二硅烷 Pending CN107614749A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562165302P 2015-05-22 2015-05-22
US62/165302 2015-05-22
PCT/US2016/033263 WO2016191194A1 (en) 2015-05-22 2016-05-19 Pentachlorosilane

Publications (1)

Publication Number Publication Date
CN107614749A true CN107614749A (zh) 2018-01-19

Family

ID=56097314

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680028698.5A Pending CN107614749A (zh) 2015-05-22 2016-05-19 五氯二硅烷

Country Status (7)

Country Link
US (1) US10157735B2 (zh)
EP (1) EP3298177A1 (zh)
JP (1) JP6689886B2 (zh)
KR (3) KR20210024208A (zh)
CN (1) CN107614749A (zh)
TW (1) TWI773643B (zh)
WO (1) WO2016191194A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6486049B2 (ja) * 2014-09-25 2019-03-20 デンカ株式会社 ペンタクロロジシランの製造方法並びに該方法により製造されるペンタクロロジシラン
US9633838B2 (en) * 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US10049882B1 (en) * 2017-01-25 2018-08-14 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device including forming a dielectric layer on a structure having a height difference using ALD
US11117807B2 (en) * 2017-06-23 2021-09-14 Jiangsu Nata Opto-Electronic Materials Co. Ltd. Method of making aluminum-free neopentasilane
TWI791547B (zh) * 2017-07-31 2023-02-11 中國大陸商南大光電半導體材料有限公司 製備五氯二矽烷之方法及包含五氯二矽烷之經純化的反應產物
KR102273754B1 (ko) 2019-06-14 2021-07-06 엘지전자 주식회사 모터 어셈블리 및 그 제조 방법

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1841676A (zh) * 2005-03-28 2006-10-04 东京毅力科创株式会社 使用原子层沉积法的氮化硅膜的形成方法
CN101079449A (zh) * 2006-05-22 2007-11-28 株式会社东芝 半导体器件及其制造方法
CN102191479A (zh) * 2010-02-04 2011-09-21 气体产品与化学公司 制备含硅膜的方法
US20120108079A1 (en) * 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
CN104284997A (zh) * 2012-03-09 2015-01-14 气体产品与化学公司 在薄膜晶体管器件上制备含硅膜的方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5310583A (en) 1992-11-02 1994-05-10 Dow Corning Corporation Vapor phase deposition of hydrogen silsesquioxane resin in the presence of nitrous oxide
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US20050145177A1 (en) * 2003-12-30 2005-07-07 Mcswiney Michael Method and apparatus for low temperature silicon nitride deposition
JP2015528011A (ja) * 2012-07-20 2015-09-24 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Ald/cvdシリコン含有膜用のオルガノシラン前駆体
JP6267080B2 (ja) * 2013-10-07 2018-01-24 東京エレクトロン株式会社 シリコン窒化物膜の成膜方法および成膜装置
US9969756B2 (en) * 2014-09-23 2018-05-15 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés George Claude Carbosilane substituted amine precursors for deposition of Si-containing films and methods thereof

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1841676A (zh) * 2005-03-28 2006-10-04 东京毅力科创株式会社 使用原子层沉积法的氮化硅膜的形成方法
CN101079449A (zh) * 2006-05-22 2007-11-28 株式会社东芝 半导体器件及其制造方法
CN102191479A (zh) * 2010-02-04 2011-09-21 气体产品与化学公司 制备含硅膜的方法
US20120108079A1 (en) * 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
CN104284997A (zh) * 2012-03-09 2015-01-14 气体产品与化学公司 在薄膜晶体管器件上制备含硅膜的方法

Also Published As

Publication number Publication date
KR20180005221A (ko) 2018-01-15
KR20210024208A (ko) 2021-03-04
WO2016191194A1 (en) 2016-12-01
KR20220016293A (ko) 2022-02-08
TW201704244A (zh) 2017-02-01
JP6689886B2 (ja) 2020-04-28
EP3298177A1 (en) 2018-03-28
US20180076025A1 (en) 2018-03-15
TWI773643B (zh) 2022-08-11
JP2018517294A (ja) 2018-06-28
WO2016191194A8 (en) 2017-11-09
US10157735B2 (en) 2018-12-18

Similar Documents

Publication Publication Date Title
CN107614749A (zh) 五氯二硅烷
JP6864086B2 (ja) 酸化ケイ素膜の堆積のための組成物及び方法
JP6934045B2 (ja) トリクロロジシラン
CN108431012B (zh) 三(乙硅烷基)胺
CN109790036B (zh) 氯二硅氮烷
WO2016191199A1 (en) Diisopropylaminopentachlorodisilane
JP6668504B2 (ja) アミノクロロヒドリドジシラン
TWI792947B (zh) 熱沉積含矽膜的組合物及方法
US11485642B2 (en) SiH-free vinyldisilanes
JP2024508907A (ja) シリコン及びホウ素を含む膜用の組成物及びそれを用いる方法
JP2002280383A (ja) 絶縁膜形成材料及び絶縁膜の形成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
CB02 Change of applicant information
CB02 Change of applicant information

Address after: michigan

Applicant after: DOW CORNING Corp.

Address before: michigan

Applicant before: DOW CORNING Corp.

TA01 Transfer of patent application right
TA01 Transfer of patent application right

Effective date of registration: 20210622

Address after: Delaware, USA

Applicant after: DDP special electronic materials 9 Co.,Ltd.

Address before: michigan

Applicant before: DOW CORNING Corp.

Effective date of registration: 20210622

Address after: 239000, 117 Xincheng Avenue, Shitan Industrial Park, Shizi Town, Quanjiao County, Chuzhou City, Anhui Province

Applicant after: Nanda optoelectronic semiconductor materials Co.,Ltd.

Address before: No. 67, Pingsheng Road, Shengpu Town, Suzhou Industrial Park, Suzhou City, Jiangsu Province

Applicant before: JIANGSU NATA OPTO-ELECTRONIC MATERIAL Co.,Ltd.

Effective date of registration: 20210622

Address after: 67 Pingsheng Road, Shengpu Town, Suzhou Industrial Park, Suzhou City, Jiangsu Province

Applicant after: JIANGSU NATA OPTO-ELECTRONIC MATERIAL Co.,Ltd.

Address before: Delaware, USA

Applicant before: DDP special electronic materials 9 Co.,Ltd.

RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20180119