JP2010283343A - 半導体構造および半導体構造を製作する方法 - Google Patents

半導体構造および半導体構造を製作する方法 Download PDF

Info

Publication number
JP2010283343A
JP2010283343A JP2010114085A JP2010114085A JP2010283343A JP 2010283343 A JP2010283343 A JP 2010283343A JP 2010114085 A JP2010114085 A JP 2010114085A JP 2010114085 A JP2010114085 A JP 2010114085A JP 2010283343 A JP2010283343 A JP 2010283343A
Authority
JP
Japan
Prior art keywords
semiconductor
lateral
nanowires
semiconductor material
nanotubes
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2010114085A
Other languages
English (en)
Inventor
Carole Pernel
カロル・ペルネル
Cecilia Dupre
セシリア・デュプレ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Commissariat a lEnergie Atomique et aux Energies Alternatives CEA
Original Assignee
Commissariat a lEnergie Atomique CEA
Commissariat a lEnergie Atomique et aux Energies Alternatives CEA
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Commissariat a lEnergie Atomique CEA, Commissariat a lEnergie Atomique et aux Energies Alternatives CEA filed Critical Commissariat a lEnergie Atomique CEA
Publication of JP2010283343A publication Critical patent/JP2010283343A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/02546Arsenides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02653Vapour-liquid-solid growth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24273Structurally defined web or sheet [e.g., overall dimension, etc.] including aperture
    • Y10T428/24322Composite web or sheet
    • Y10T428/24331Composite web or sheet including nonapertured component

Abstract

【課題】支持体(101)と支持体上に構築された少なくとも1つのブロック(104)とを含む半導体構造(100)を提供する。
【解決手段】ブロックは、第1の半導体材料ベースの層(106、106、106)と第1の材料とは異なる第2の半導体材料ベースの層(107、107、107)とを交互に含むスタックであって、前記層(106、106、106)が層(107、107、107)より大きな寸法を有し、したがってスタックが横方向の歯状のプロファイル(108、308)を有する、スタックと、歯状のプロファイル(108)によって形成された空間を充填する複数のスペーサ(112、112、112、113、113、113)であって、第1の材料とは異なる第3の材料から作られるスペーサとを含む。
【選択図】図1

Description

本発明は、半導体構造、ならびにこの半導体構造を製作する方法に関する。本発明は、半導体材料(Si、Ge、SiGe、GaAsなど)からのナノワイアをベースとする、またはナノチューブ、通常カーボンナノチューブ半導体をベースとする電子構成部品の分野で、特に興味深い応用例に適用される。
過去数年のうちに、ナノ物体のマイクロエレクトロニクス、たとえば半導体ナノワイア、またはCNTとして知られているカーボンナノチューブへの関心が広く明らかになった。
たとえば、動作速度および消費という2つの通常両立しない要因を改善できる半導体ナノワイアチャネル構造を有する電界効果トランジスタの場合がそうである。
動作速度を増大させるためには、具体的には、トランジスタの寸法を低減させることができる。しかし、トランジスタ寸法を低減させることは、たとえば、ソースとドレインを互いに近づけてチャネルの長さをますます短くすることを含む。これは、短チャネル効果など、トランジスタの適正動作に有害な影響を招くことがある。したがって、トランジスタチャネルの長さが短縮されるにつれて、ドレインおよびソースは、通常ゲートによって制御されるチャネル導通にますます強い影響を及ぼす。「短チャネル効果」は、とりわけチャネル長およびドレイン電圧に伴って閾値電圧の低下を引き起こし、オフ状態でのトランジスタリークの増大を招く。これは、集積回路性能の改善とはほとんど両立しない。
上述の問題に対する周知の解決策は、短チャネル効果を低減できるいくつかの半導体ナノワイアから形成されたチャネルを含むトランジスタを提案することからなる。
シリコン半導体ナノワイアを形成する周知の方法は、VLS−CVD(気相−液相−固相−化学的気相成長)による成長である。この方法に関する最初の研究は、60年代に登場した。この技法の原理は、基板の表面に金粒子を堆積させること、次いでアセンブリを400℃に迫る温度まで加熱することからなる。基板上に事前に堆積させた金粒子は、343℃でSiとの共融混合物を形成する。ガス流、たとえばSiClにより、触媒の役割を果たすAu−Si混合物の液滴上でSiを還元させることができる。Au−Si混合物の液滴は沈澱するSiを吸収し、その結果、Siナノワイアを成長させる。2000年以来、ナノワイアの化学組成、結晶構造、欠陥、およびドープ条件のより良好な制御を可能にするMBE(分子線エピタキシ)およびCBE(化学ビームエピタキシ)の金属触媒作用などの研究が、この技法を補完してきた。しかし、そのようなタイプの方法では、ナノワイアの位置決め、構成、および分布の制御を得るのは非常に困難である。
前述の問題のないこのボトムアップ式の手法の代替法は、トップダウン式の手法を使用することからなる。たとえば、そのような手法は、非特許文献1に記載されている。この処理で具体的には、水平および垂直に分布させたシリコンナノワイアのマトリックスを利用するマルチチャネル電界効果トランジスタを得ることができる。これを行うため、第1のステップは、エピタキシによって、絶縁体上半導体またはSOI(シリコンオンインシュレータ)タイプの基板上に薄層のスタックから構成される超格子を成長させることからなる。たとえば、スタックは、SiGeなどの第1の材料ベースの層とSiなどの第2の材料ベースの層とを交互にすることによって形成される。次いで、電子ビームリソグラフィによって、次いで異方性エッチング、次いで等方性および選択性エッチングの連続ステップによって、ナノワイアマトリックスが得られる。
しかし、この処理にも特定の難点がある。実際には、得られるナノワイアの密度は、「ピッチ」、すなわちウェーハに平行な方向の2つのワイア間の間隔によって制限される。したがって、間隔を低減させるには、フォトリソグラフィより良好な分解能を提供する電子ビームリソグラフィ(もしくは「イービーム(ebeam)」リソグラフィ)または任意の他の最新式リソグラフィ技法(たとえば、極紫外線EUVリソグラフィなど)を使用することが不可欠である。しかし、電子ビームリソグラフィの場合、近接効果(電子の後方散乱)のため、ナノワイア間の距離を引き続き低減させるのは困難であると思われる。こうしてナノワイア間の間隔が比較的広いので、固定寸法のナノワイアマトリックスに対して解放される電流密度の増大は困難になる。したがって、この制限は大きな欠点をもたらし、というのは、ナノワイアマトリックストランジスタへの関心はまさに、特に従来のプレーナトランジスタに関して、単一のナノワイアによって解放される低電流密度を補償する高い電流密度を得るために高いナノワイア密度を求めることにあるからである。
この間隔の問題は、スペーサを利用してワイアの列を2倍またさらには4倍にすることによって解決することができる。そのような技法について具体的には、非特許文献2に記載されている。しかし、そのような技法は追加のステップを含み、処理をかなり複雑にする。
さらに、3次元構造を得るための上述のトップダウン式の手法では、カーボンナノチューブなどのナノチューブを組み込むことができず、ナノチューブは、成長によってのみ得られる。カーボンナノチューブを水平に組み込んだ一例が、特許文献1に記載されている。同文献によれば、CNTは基板の平面内に構成される。したがって、この技法では、3次元CNTを得ることはできない。
国際公開第2007/126412号 国際公開第2006/010684号
「Novel 3D integration process for highly scalable Nano−Beam stacked channels GAA (NBG) FinFETs with HfO2/TiN gate stack」(Ernstら、IEEE International Electron Devices Meeting IEDM、997〜999頁,Technical Digest 2006) 「Sub−20nm CMOS FinFET Technologies」(Choiら、IEDM Tech. Dig.、421頁、2001年) 「Self assembled monolayers on silicon for molecular electronics」(Aswalら、Analytica chimica acta 2005) 「Electroless deposition and electrical resistivity of sub−100 nm Cu films on SAMs: State of the art」(Glickmanら、Microelectronic Engineering 84 (2007) 2466−2470)
この状況において、本発明の目的は、前述の問題のない半導体構造を提供すること、そしてボトムアップ式の手法に従って3次元ナノワイアまたはカーボンナノチューブなどのナノチューブを組み込み、高密度のナノワイアまたはナノチューブを得られるようにすることである。
この目的のため、本発明は、
− 支持体と、
− 前記支持体上に構築された少なくとも1つのブロックとを含み、前記ブロックが、
第1の半導体材料ベースの層と前記第1の半導体材料とは異なる第2の半導体材料ベースの層とを交互に含むスタックであって、前記第1の半導体材料ベースの前記層が前記第2の半導体材料ベースの層より大きな寸法を有し、したがって前記スタックが横方向の歯状のプロファイル(tooth profile)を有する、スタックと、
前記スタックが実質的に平坦な横方向の表面を有するように、前記歯状のプロファイルによって形成された空間を充填する複数のスペーサであって、前記第1の半導体材料とは異なる第3の材料から作られ、したがって前記ブロックの側面のそれぞれが、前記第1の材料ベースの横方向のバンドと前記第3の材料ベースの横方向のバンドとを交互に有する、スペーサとを含み、
前記ブロックの側面の少なくとも1つが、前記第1の半導体材料ベースの横方向のバンド上で排他的に、または前記第3の材料ベースの横方向のバンド上で排他的に、ナノチューブまたはナノワイアの成長を促進する材料によって部分的に覆われ、ナノチューブまたはナノワイアの成長を促進する前記材料が、前記第1の半導体材料ベースの横方向のバンドを排他的に、または前記第3の材料ベースの横方向のバンドを排他的に被覆する、半導体構造を提案する。
本発明のために、少なくとも1つの2材料垂直側面(水平基板に対して)を含むナノ構造が得られる。言い換えれば、この垂直側面は、2つの異なる材料、通常Siなどの半導体材料(第1の半導体材料)およびたとえば窒化物Siなどの絶縁材料(第3の材料)から作られる交互のバンドから構成される。異なる材料のこれらの交互のバンドにより、たとえばシリコンナノワイアを成長させる金粒子(ナノドット)の形で、1列のバンド(たとえば、第1の材料であるSiのバンド)を、ナノチューブまたはナノワイアの成長のための触媒材料で被覆することができる。この触媒被覆は選択的であり、言い換えれば、触媒材料は、第1の材料から作られる第1の列のバンドだけを被覆し、スペーサを形成する第3の材料から作られるバンドを被覆しない。この被覆は、たとえば、シリコン表面の機能化によって、またはシリコン表面をシリコン処理すること(すなわち、NiSiの形成)によって得ることができる。そのような構造により、垂直で横方向の表面からいくつかの高さでナノワイアまたはナノチューブを成長させることができる。
スペーサ(たとえば、金属スペーサ)によって形成されたバンド上で選択的に触媒被覆を行うことも可能であることに留意されたい。次いでこれらの触媒被覆を、金属スペーサ上の電解堆積によって得ることができる。
通常、2材料表面により、2つの材料のうちの1つをベースとするバンド上だけに触媒を堆積させることができ、次いで垂直の横方向の表面からナノワイアまたはナノチューブを成長させることができる。本発明による構造では、リソグラフィステップ(前述の非特許文献1参照)をなくすことによって、ナノワイアまたはナノチューブを成長させてマトリックスを得ることができる。このようにして、この構造により、間隔をはるかに低減させたナノワイア(リソグラフィを利用する処理に関する通常80nmに対して10nm)を組み込むことができる。したがって、この構成により、ナノワイア組込み密度、および固定寸法のマトリックスによって解放される電流密度を増大させることができる。
本発明による構造はまた、個別に、またはすべての技術的に可能な組合せに従って考慮される、以下の特徴の1つまたは複数を有しうる。
− 材料は、ナノチューブまたはナノワイアの成長のための触媒材料である。
− 本発明による構造は互いに対向する2つのブロックを含み、したがってナノチューブまたはナノワイアの成長を促進する前記材料で被覆された前記2つのブロックの横方向のバンドが互いに対向する。
− 前記2つのブロックは複数のナノワイアまたはナノチューブによって接続され、前記ナノワイアまたは前記ナノチューブはそれぞれ、ナノチューブまたはナノワイアの成長を促進する材料で被覆された第1のブロックの横方向のバンドを、ナノチューブまたはナノワイアの成長を促進する材料で被覆された、前記第1のブロックの横方向のバンドに対向する第2のブロックの横方向のバンドに接続する。
− ナノチューブまたはナノワイアの成長を促進する前記材料は、前記バンドに沿って間隔をあけて配置された粒子または「ナノドット」の形で、前記横方向のバンドを部分的に被覆する。
− 前記第1の半導体材料ベースの前記層および/または前記第2の半導体材料ベースの前記層の厚さは、10〜50nmの間である。
− 前記第1の半導体材料はSiであり、前記第2の半導体材料はSiGeである。
− 前記スペーサは絶縁材料から作られ、ナノチューブまたはナノワイアの成長を促進する前記材料は、前記第1の半導体材料ベースの横方向のバンドを排他的に被覆する。
− 前記スペーサは、HTO(高熱酸化物)SiOなどの第1の誘電体材料から作られる第1の部分およびSiタイプの窒化シリコンなどの第2の誘電体材料から作られる第2の部分によって形成され、前記第2の誘電体材料は、横方向のバンドの前記第3の材料に対応する。
− 前記スペーサの前記第3の材料は金属であり、ナノチューブまたはナノワイアの成長を促進する前記材料は、前記金属ベースの横方向のバンドを排他的に被覆する。
− 前記支持体は、SOI(シリコンオンインシュレータ)、Si、またはGe基板などの半導体層を含む基板である。
本発明の別の目的は、
− 支持体と
− 前記支持体上に構築された少なくとも1つのブロックとを含み、前記ブロックが、
第1の半導体材料ベースの層と前記第1の半導体材料とは異なる第2の半導体材料ベースの層とを交互に含むスタックであって、前記第1の半導体材料ベースの前記層が前記第2の半導体材料ベースの層より大きな寸法を有し、したがって前記スタックが横方向の歯状のプロファイルを有する、スタックと、
前記スタックが実質的に平坦な横方向の表面を有するように、前記歯状のプロファイルによって形成された空間を充填する複数のスペーサであって、前記第1の半導体材料とは異なる第3の材料から作られ、したがって前記ブロックの側面のそれぞれが、前記第1の材料ベースの横方向のバンドと前記第3の材料ベースの横方向のバンドとを交互に有する、スペーサとを含む、半導体構造を製作する方法において、
前記第1の半導体材料ベースの横方向のバンド上で排他的に、または前記第3の材料ベースの横方向のバンド上で排他的に、前記ブロックの側面の少なくとも1つを、ナノチューブまたはナノワイアの成長を促進する材料で部分的に被覆するステップを含み、前記被覆するステップが選択的であり、したがってナノチューブまたはナノワイアの成長を促進する前記材料が、前記第1の半導体材料ベースの横方向のバンドを排他的に、または前記第3の材料ベースの横方向のバンドを排他的に被覆する、方法である。
本発明による方法はまた、個別に、またはすべての技術的に可能な組合せに従って考慮される、以下の特徴の1つまたは複数を有しうる。
− ナノチューブまたはナノワイアの成長を促進する材料は、ナノチューブまたはナノワイアの成長のための触媒材料である。
− 前記被覆するステップは、前記第1の半導体材料ベースの横方向のバンドに対する機能化ステップであり、その後に、機能化したバンド上に金属を堆積させるステップが続く。
− 前記第1の半導体材料はシリコンであり、前記被覆するステップはシリコン処理ステップである。
− 前記第3の材料は金属であり、前記被覆するステップは、前記第3の材料ベースの横方向のバンド上に金属を電解堆積させるステップである。
− 本発明による方法は、
支持体上に半導体層のスタックを形成するステップであって、前記スタックが、第1の半導体材料ベースの層と前記第1の半導体材料とは異なる第2の半導体材料ベースの層とを交互に積み重ねて含み、またその側面に、前記第2の半導体材料ベースの溝および前記第1の半導体材料ベースの突出部分によって形成された歯状のプロファイルを含む、ステップと、
前記歯状プロファイルによって形成された溝を充填するスペーサを製作するステップとを含み、
− 前記スペーサが絶縁スペーサであり、前記スペーサを製作するステップが、
歯状のプロファイルを有するスタック上に第1の誘電体材料をコンフォーマルに堆積させるステップと、
第2の誘電体材料を堆積させるステップと、
第1の誘電体材料に対して第2の誘電体材料を選択的かつ部分的に除去するステップとを含む。
本発明の別の目的は、それぞれトランジスタチャネルを形成する複数のナノワイアまたはナノチューブを含む、本発明による構造を組み込むトランジスタである。
本発明の他の特徴および利点は、添付の図を参照すれば、決して限定する目的ではなく例示を目的とする以下の記載から明らかになるであろう。
本発明の第1の実施形態による半導体構造を示す図である。 図1からの半導体構造のブロックの1つの側面図である。 図1に示す半導体構造を製作する方法の異なるステップを示す図である。 図1に示す半導体構造を製作する方法の異なるステップを示す図である。 図1に示す半導体構造を製作する方法の異なるステップを示す図である。 図1に示す半導体構造を製作する方法の異なるステップを示す図である。 図1に示す半導体構造を製作する方法の異なるステップを示す図である。 図1に示す半導体構造を製作する方法の異なるステップを示す図である。 図1に示す半導体構造を製作する方法の異なるステップを示す図である。 図1に示す半導体構造を製作する方法の異なるステップを示す図である。 図1に示す半導体構造を製作する方法の異なるステップを示す図である。 本発明の第2の実施形態による半導体構造を示す図である。 図4からの半導体構造のブロックの1つの側面図である。
すべての図で、共通の要素を同じ参照番号で記載する。
以下では直交記号[o,i,j,k]を参照する。平面[o,i,k]は紙面を画定し、ベクトルjは紙面に垂直である。
図1は、本発明の第1の実施形態による半導体構造100を示す。
構造100は、
− 支持体101であって、
たとえばシリコンベースの第1の半導体層102を含み、その上に
埋設されたSiOベースの酸化物層などの絶縁層103が構築される、支持体101と、
− 水平方向iに沿って間隔をあけて配置された2つの半導体ブロック104および105とを含む。
平面[o,i,j]は、支持体101の水平面に対応する。
2つのブロック104および105はそれぞれ同一の構造を有し、垂直方向kに沿って積み重ねた層のスタックを含む。このスタックは、たとえばSiなどの第1の半導体材料ベースの106、106、および106で示す層と、第1の半導体材料とは異なるたとえばSiGeなどの第2の半導体材料ベースの107、107、および107で示す層とから交互に形成される。第2の材料は、第1の材料に対して選択的にエッチングできるように優先的に選択される。2つのブロック104および105はそれぞれ、たとえばSiのハードマスク上層117をさらに含む。
第1の半導体材料ベースの層106、106、および106ならびに第2の半導体材料ベースの層107、107、および107は通常、方向kに沿って10〜50nmの間の厚さを有する。
第1の半導体材料ベースの層106、106、および106の方向iに沿った長さは、第2の半導体材料ベースの層107、107、および107の長さより長くなるように選択され、したがって交互の層106、106、および106ならびに交互の層107、107、および107は、歯状または凹んだ横方向のプロファイルを有する。図1では、このプロファイルを破線108で示す。
その結果、各ブロック104および105は、その2つの側面110および111のそれぞれに、SiGeの「溝」とSiの突出する起伏部分(relief part)とを有する歯状のプロファイルを含む。したがって、各ブロック104および105は組織化された垂直のトポグラフィを有し、Si層およびSiGe層の厚さを介して寸法が制御される。歯状のプロファイル108によって形成された空間109は、それぞれの側面110および111で、それぞれ絶縁スペーサ112、112、および112(側面110)によって、また絶縁スペーサ113、113、および113(側面111)によって完全に充填される。ここでは、スペーサは、Siタイプの窒化シリコンなどの誘電体材料から作られた実質的に平行6面体の棒の形で作られる。後に図3a〜3iを参照して説明する製造方法に関連する技術上の理由で、HTOタイプのSiOなどの別の誘電体材料で作られる層114によって、スペーサを部分的に包むこともできる。
図2は、ブロック104の側面111の平面[O,j,k]に沿った図を示す。この面111は、第1の半導体材料(通常Si)ベースの115、115、および115で示すバンドと、Siタイプの窒化シリコンなどの誘電体材料ベースの116、116、および116で示すバンドとを交互に含む。
第1の半導体材料ベースのバンド115、115、および115は、ナノチューブ(通常、CNTカーボンナノチューブ)またはナノワイア(通常、Siナノワイアなどの半導体ナノワイア)の成長を促すことができる触媒材料で被覆される。この被覆は、粒子118またはナノドットの形をとる。たとえば、Siナノワイアの成長にとって優れた触媒を構成するAu粒子(Siベースのバンド115、115、および115を被覆する)を利用することができる。構造100を得る方法についての説明で後に分かるように、このナノドット118の被覆は、たとえば、Si表面の機能化によって得ることができる。2つのタイプのバンド115、115、および115(Si)ならびに116、116、および116(絶縁)に対して2つの異なる材料を有することで、バンド116、116、および116を被覆することなく、バンド115、115、および115を触媒材料で選択的に被覆できることに留意されたい。
次いで、これらの粒子118を利用して、ブロック104の横方向の表面111とブロック105の横方向の表面110との間に存在する閉じ込められた構造内で、ナノワイア119の水平の成長を実施する。本発明による構造のため、ナノワイアの垂直のスタック(方向kに沿って)だけでなくナノワイアの水平の分布(方向jに沿って)も得ることができ、したがってナノワイアの3次元構成が得られることに留意されたい。したがって、この構造により、具体的には、水平および垂直に分布されたシリコンナノワイアのマトリックスを利用するマルチチャネル構造を得ることができ、マルチチャネル電界効果トランジスタを製作することができる。
第1に、本発明による構造100により、ナノワイア/ナノチューブの成長触媒または前駆体を2材料の垂直な横方向の表面上に堆積させることができ、次いで2つのブロック104および105の横方向の表面111および110に存在する閉じ込められた空間内にナノワイア/ナノチューブを成長させることができる。
上述の製作方法は、より具体的にはSiナノワイアの製作に関するが、本発明による構造では、より一般的に、他の半導体(通常、Ge、SiGe、GaAs)または金属材料ベースのナノワイアのスタックだけでなくナノチューブ(グラフェン、Si)も製作することができる。
上述の本発明による構造100は、ナノワイアがトランジスタチャネルであるマルチチャネルトランジスタの製作に関して、特に興味深い応用例に適用される。したがって、図1に示す構造100から、各ナノワイア119の周りにゲート酸化物を製作することが可能である。このゲート酸化物は、たとえば、ナノワイア119のシリコンの酸化によって、または誘電体層(たとえば、HfO2)の堆積によって得ることができる。酸化物層の厚さは通常、少なくとも2nmに等しい。次いで、ナノワイア119のゲート酸化物間に存在する体積を充填するゲートオールアラウンドを製作することができる。このゲートオールアラウンドの堆積は、たとえば、ドープポリシリコン、または金属とドープポリシリコンの混合物の堆積によって得ることができる。ゲート堆積方法は、たとえば、ナノワイア119のアセンブリを被覆するコンフォーマルな堆積を得るために使用されるCVD(化学的気相成長)タイプの方法である。
図3a〜3iは、図1に示す半導体構造100を製作する方法の異なるステップを示す。
図3aに示すこの方法の第1のステップは、絶縁体上半導体タイプとなりうる、基板上に薄層を積み重ねるステップからなり、第1の半導体層201、たとえばシリコンベース層を含み、その上に絶縁層202、たとえばSiOベースの埋設された酸化物層が構築され、それ自体が第2の半導体層203によって覆われる。ここでは、この第2の半導体層203は、Siなどの第1の半導体材料ベースである。
次いで、第1の半導体材料Siベースの203、204、および204で示す層と、第1の材料とは異なるSiGeなどの第2の材料ベースの204、204、および204で示す層とを交互にすることによって形成されたスタック205が製作される。第2の材料は、第1の材料に対して選択的にエッチングできる可能性が高い材料である。
層204,...,204は、たとえば、第2の半導体層203からのいくつかの連続するエピタキシから形成された半導体層である。
スタック205が作られた後、ハードマスク層208が堆積され、この層は、たとえば、Siなどの誘電体材料ベースであり、またはスタック205をたとえばプラズマエッチングなどのエッチングから保護できる別の材料ベースである。次いで、図示しないが感光性樹脂層、たとえばポリイミドベースの樹脂がハードマスク層208上に堆積され、トレンチを製作する少なくとも1つのパターンを含む樹脂マスクが、たとえばフォトリソグラフィ方法(「ディープUV」リソグラフィとして知られている)によって樹脂層内に画定される。本発明による方法は標準的なリソグラフィ方法を利用すること、そしてより良好な分解能を提供する電子ビームリソグラフィを利用する必要はないことに留意されたい。
次いで(図3b)、ハードマスク層208上で異方性エッチング、たとえばCH+HeO+CFベースのプラズマエッチングが実施される。
次いで本発明では、ハードマスク208の下に位置する層203、204,...,204をエッチングしてハードマスク208のパターンをスタック205内に複写するステップを実施する。エッチングは異方性エッチングであり、たとえばHBr+Cl+HeOベースのプラズマを使用して実施される。
図3bは、スタック205の異方性エッチングを行い、それぞれSiおよびSiGeの交互の層203、204,...,204を含む2つのスタック211および212に分離した後に得られるトレンチ210を示す。
次いで、それぞれのスタック211および212上で第2のエッチング(図3c)が実施される。この第2のエッチングは等方的かつ選択的であり、したがって層203、204,...,204の一部をスタック211および212から部分的に除去する。この場合、たとえば第2の材料(SiGe)ベースの層204、204、および204が除去される。したがってここでは、エッチングは、第1の半導体材料Siに対して選択的な第2の半導体材料SiGeの等方性エッチングである。そのようなエッチングは、たとえばCF+Oベースのプラズマを使用して実施することができる。スタック211および212の頂部はハードマスク208によって保護されるので、第2のエッチングにより、第2の材料(SiGe)ベースの層204、204、および204のうちのそれぞれのスタック211および212の側面の部分を、スタック211および212の側面または横方向の面で除去することができる(図3c)。第1の半導体材料Siベースの層203、204、および204の全長は、第2の半導体材料SiGeベースの層204、204、および204の全長より長い。
その結果、第2のエッチングステップ後、それぞれのスタック211および212は、その側面またはそのそれぞれの横方向の面に、第2の半導体材料SiGeベースの「溝」213および第1の半導体材料Siベースの突出部分214によって形成された歯状のプロファイルを含む。
図3dに示す次のステップは、スタック211および212の周辺部を覆い、後のエッチングステップ中にスタック211および212を保護するのに使用される(障壁層)、第1の誘電体材料215、たとえばHTO(高熱酸化物)タイプのSiOのコンフォーマルな堆積を実施するステップからなる。
この同じ図3dに適合させ、次いで、たとえばSiの第2の誘電体材料216の別の堆積を実施して、スタック211および212を覆い、第2の半導体材料SiGeベースの「溝」213を充填する。
次いで、第2の誘電体材料216を除去して、第2の半導体材料SiGeベースの「溝」213内のみでこの材料を保持する。
この除去は、第2のSi誘電体材料216の第1の異方性エッチング(図3e)を介して実施され、たとえばCF+HBrプラズマエッチングによって、第1のHTO誘電体材料215に対して選択的である。この第1のエッチングにより、第2のSi誘電体材料216の上部部分220の除去だけでなく、構造211および212の基部にある水平表面上のこの第2の材料216の除去も行うことができる。
次いで(図3f)、たとえばSF+HBrプラズマエッチングによって、第1のHTO誘電体材料215に対して選択的である第2のSi誘電体材料216の等方性エッチングが実施される。このエッチングは、スタック211および212の横方向の部分221上のみで第2のSi誘電体材料216を部分的に除去するように実施される。したがって、スペーサ217が形成され、第2の半導体材料SiGeベースの「溝」213によって形成された空間を充填する。等方性エッチングは、スペーサ217が形成された後、それぞれのスタック211および212がその側面に、新しい平坦なまたは実質的に平坦な表面を含むようなエッチングである。
したがって、図3fに示すステップでは、SiおよびHTOベースの絶縁スペーサ217を製作することができる。したがって、スタック211および212の平坦な横方向の表面上に、絶縁バンド(Si+HTO)および半導体バンド(Si)が交互に作製される。
図3gに適合させ、層202上、第1の半導体材料Siベースの層203、204、および204の側面上、また層208の上部部分上に依然として存在する第1の誘電体HTO材料215の残りが除去される。この除去は、たとえばHF清浄によって得ることができる。
図3hに示すステップは、一方のSiおよび他方のHTO/窒化物の2材料構造の反応選択性を有利に利用するステップからなり、ナノワイアの成長を促進できる触媒をHTO/窒化物上ではなくシリコン上に選択的に堆積させ、ここで、Siは半導体であり、HTO/窒化物は絶縁体である。次いで、シリコン表面の機能化のため、この堆積が実施される。機能化とは、1つまたは複数の化学分子を横方向のシリコンバンド上に取り付けるまたは接合する動作を指すと理解される。この機能化の目的は、Siバンドに特定の特性を与えることである(この例では、ナノワイア/ナノチューブの後の成長を促進すること)。
機能化は、HTO/窒化物バンドを覆うことなく、Siバンドに粒子またはナノドット218(たとえば、Au)を敷くステップからなる。
実際には、天然のSiO(厚さ1nm程度)の薄層がSi層上に形成されることが最も多く、したがって、Au被覆はSiO上に実施されるはずである。
天然のSiOバンドの機能化(または表面的な化学特性の修正)は、自己集合膜またはSAMS(自己集合単分子層)を堆積させるステップからなる。このタイプの機能化については、非特許文献3に具体的に記載されている。SAMを形成するのに利用される分子は通常、疎水性の表面基および親水性のアンカー基から構成され、どちらもアルキル鎖によって接続される。主に疎水鎖間に存在するファンデルワールス相互作用のため、鎖は、濃度の高い組織化された構造を形成する表面に対して直角に配置される傾向がある。
第1に、その天然の酸化物層に覆われたSi表面を清浄にして(たとえばRCAタイプの化学清浄を介して)、表面上に−OH基を作製し、良好な接合を得ることができる。これらの水酸基の存在により、表面では親水性が非常に高くなり、薄い水膜が自然に貯留される。
清浄したバンドの存在下で、シランの分子(通常トリクロロシランRSiClであり、この化学式で、Rは疎水性の表面基で終わるアルキル鎖である)が配置され、これらの親水性のアンカー基(Si−Cl)を水層の方へ自発的に位置決めし、一方アルキル鎖(疎水性)の先端は離れていく傾向がある。
水層はSi−Cl基を加水分解して、トリヒドロシロキサンSi−(OH)の先端を形成する。
液体薄層の存在により、分子の平面移動を可能にし、したがって分子がともに近づき、隣接する分子間の縮合反応を促進する傾向がある。分子間にSi−O−Si結合が生成され、したがって2次元分子層を形成する。基板と形成された膜との間に、同じ架橋反応が生じる。したがって、SiO表面上に共有結合されたシランの単分子層が得られる。
次いで、アルキル基の端部では、チオールなどの基(たとえば、SH)が固定され得る。このチオール基は、Auとの反応性が非常に高く、したがって、既に処理した表面上に金を堆積させることによって、金−硫黄の共有結合が得られる。
金の堆積は、HTO/窒化物のバンド上ではなく、天然のSiOのバンド上で選択的に行われる(−OH基は表面に生成されない)。適切なAu濃度を選択することによって、Auナノドットの個別の堆積を半導体バンド上で行うことができ、このようにして、Siバンドに粒子またはナノドット218を敷くことができる。非特許文献4では、SAM上で金属堆積を実施する様々な例について記載している。堆積は通常、個別のアイランドの形で行われる。SAM上の金属の堆積は、無電解タイプの電流供給を用いない酸化還元、電気メッキ、またはコロイド状粒子堆積方法などの様々な技法によって得ることができる。
上記は、天然の酸化物の機能化に関するが、天然のSiO層の形成を経ることなくSiバンドを直接機能化することも可能である。
さらに、Auなどの他の金属を接合することが可能である。たとえば、Ni、Co、Pt、Pd、Rh、Ru、Fe、Al、Ag、またはCuなどの金属の接合を考慮することができる。
図3hに示す機能化ステップは、シリコン処理ステップに置き換えることができ、このようにして、横方向のSiバンド上で、NiSiまたはPtSiタイプの被覆が生成される。トランジスタの能動部分の接触抵抗を低減させるために金属シリサイドを使用することが知られている。シリサイドアイランド形成は、当業者には知られている現象である。ここでは、シリコン処理を利用して、ナノチューブまたはナノワイアの成長を促進できるNiSiまたはPtSi金属触媒被覆を製作する。これを行うため、NiまたはPtの金属層を堆積させることから開始し、次いでアニールを実施してNiSiまたはPtSi合金を得ることができる。
次いで、残りのNiまたはPt(すなわち、Siと反応していない)、ならびにHTO/窒化物の絶縁バンド上に堆積させたNiまたはPtが、化学清浄によって除去される。
金属粒子218の被覆もまた、Siバンド上の金属の電気化学的堆積によって得られうる。
次いで、図3iに示すステップは、それぞれスタック211および212の平坦な横方向のSi表面上に位置する2つのナノドット218を接続するナノワイア(通常、Siナノワイア)219の成長を実施するステップからなる。説明を明らかにするために、図3iは、互いに対向する2つのナノドット218のそれぞれを接続するナノワイア219を示す。成長は偶発的であるが、ナノワイアが互いに対向する2つのナノドットを接続する確率は比較的小さいことに留意されたい。通常、したがってナノワイアの成長により、2つのブロック211および212を接続することができるが、ナノワイアは必ずしも互いに対向する2つのナノドットを接合するわけではなく、また必ずしも基板と完全に平行であるわけではない。平行なナノワイアを得るための1つの解決策は、ナノワイアに好ましい結晶成長軸を利用するステップを構成することができる。この成長は、VLS−CVD(気相−液相−固相−化学的気相成長)処理によって、またはナノワイアの成長を可能にする任意の他の処理によって実施することができる。その結果、構造100は、図1に示す構造と同一になる(図1の粒子118は図3iの粒子218に対応し、図1のナノワイア119は図3iのナノワイア219に対応する)。
図4は、本発明の第2の実施形態による半導体構造300を示す。
構造300は、
− 支持体301であって、
たとえばシリコンベースの第1の半導体層303を含み、その上に
埋設されたSiOベースの酸化物層(BOX)などの絶縁層302が構築される、支持体301と、
− 水平方向iに沿って間隔をあけて配置された2つの半導体ブロック304および305とを含む。
平面[o,i,j]は、支持体301の水平面に対応する。
2つのブロック304および305はそれぞれ同一の構造を有し、垂直方向kに沿って積み重ねた層のスタックを含む。このスタックは、たとえばSiなどの第1の半導体材料ベースの306、306、および306で示す層と、第1の半導体材料とは異なるたとえばSiGeなどの第2の半導体材料ベースの307、307、および307で示す層とから交互に形成される。第2の材料は、第1の材料に対して選択的にエッチングできるように優先的に選択される。
2つのブロック304および305はそれぞれ、たとえばSiのハードマスク上層317をさらに含む。
第1の半導体材料ベースの層306、306、および306ならびに第2の半導体材料ベースの層307、307、および307は通常、方向kに沿って10〜50nmの間の厚さを有する。
第1の半導体材料ベースの層306、306、および306の方向iに沿った長さは、第2の半導体材料ベースの層307、307、および307の長さより長くなるように選択され、したがって交互の層306、306、および306ならびに交互の層307、307、および307は、歯状または凹んだ横方向のプロファイルを有する。図4では、このプロファイルを破線308で示す。
その結果、各ブロック304および305は、その2つの側面310および311のそれぞれに、SiGeの「溝」とSiの突出する起伏部分とを有する歯状のプロファイルを含む。したがって、各ブロック304および305は組織化された垂直のトポグラフィを有し、Si層およびSiGe層の厚さを介して寸法が制御される。歯状のプロファイル308によって形成された空間309は、それぞれの側面310および311で、それぞれ金属スペーサ312、312、および312(側面310)によって、また金属スペーサ313、313、および313(側面311)によって完全に充填される。ここでは、スペーサは、Co、Ni、またはCuなどの金属材料から作られた実質的に平行6面体の棒の形で作られる。
図5は、ブロック304の側面311の平面[O,j,k]に沿った図を示す。この面311は、第1の半導体材料(通常Si)ベースの315、315、および315で示すバンドと、金属材料ベースの316、316、および316で示すバンドとを交互に含む。
金属材料ベースのバンド316、316、および316は、ナノチューブまたはナノワイアの成長を促すことができる金属触媒材料で被覆される。この被覆は、金属粒子318の形をとる。たとえば、Siナノワイアの成長にとって優れた触媒を構成するAu粒子を利用することができ、またはカーボンナノチューブの成長のためにNiもしくはFe粒子を利用することができる。金属粒子318の被覆は、たとえば金属バンド上の金属の電解堆積によって得ることができる。2つのタイプのバンド315、315、および315(Si)ならびに316、316、および316(金属、したがってSiより良好な導体)に対して2つの異なる材料を有することで、バンド315、315、および315を被覆することなく、触媒材料のバンド316、316、および316を電解堆積によって選択的に被覆できることに留意されたい。
次いで、これらの粒子318を利用して、ブロック304の横方向の表面311とブロック305の横方向の表面310との間に存在する閉じ込められた構造内で、ナノワイア319の水平の成長を実施する。
BOX絶縁層302により、第1の半導体層303によって形成された基板からナノワイア319をより良好に絶縁することができる。
Au粒子を利用して、カーボンナノチューブの成長を阻止することもできる。この実施形態によれば、Au粒子は触媒として作用せず、カーボンナノチューブは、Auで覆われていないバンド315、315、および315(Si)上で優先的に成長する。そのような成長処理は、たとえば、特許文献2に記載されている。
もちろん、本発明によるデバイスおよび方法は、図1〜5を参照して、決して限定する目的ではなく例示を目的として説明した実施形態に限定されるものではない。
具体的には、本発明について、2つのナノ構造ブロックを有する構造の場合をより具体的に説明したが、ナノワイアまたはナノチューブが単一の2材料ブロックの横方向のバンドから形成され、そのナノワイアまたはナノチューブアセンブリが、単一の材料から形成された同じブロックにともに接続される、単一の2材料ブロックを有することも可能である。
100 半導体構造
101 支持体
102 第1の半導体層
103 絶縁層
104 半導体ブロック
105 半導体ブロック
106 第1の半導体材料ベースの層
106 第1の半導体材料ベースの層
106 第1の半導体材料ベースの層
107 第2の半導体材料ベースの層
107 第2の半導体材料ベースの層
107 第2の半導体材料ベースの層
108 歯状のプロファイル
109 空間
110 側面
111 側面
112 絶縁スペーサ
112 絶縁スペーサ
112 絶縁スペーサ
113 絶縁スペーサ
113 絶縁スペーサ
113 絶縁スペーサ
114 層
115 第1の半導体材料ベースのバンド、Siベースのバンド
115 第1の半導体材料ベースのバンド、Siベースのバンド
115 第1の半導体材料ベースのバンド、Siベースのバンド
116 誘電体材料ベースのバンド
116 誘電体材料ベースのバンド
116 誘電体材料ベースのバンド
117 ハードマスク上層
118 粒子、ナノドット
119 ナノワイア
201 第1の半導体層
202 絶縁層
203 第2の半導体層、第1の半導体材料Siベースの層
204 第2の材料ベースの層
204 第1の半導体材料Siベースの層
204 第2の材料ベースの層
204 第1の半導体材料Siベースの層
204 第2の材料ベースの層
205 スタック
208 ハードマスク層
210 トレンチ
211 スタック、構造
212 スタック、構造
213 第2の半導体材料SiGeベースの「溝」
214 第1の半導体材料Siベースの突出部分
215 第1のHTO誘電体材料
216 第2のSi誘電体材料
217 絶縁スペーサ
218 粒子、ナノドット
219 ナノワイア
220 上部部分
300 半導体構造
301 支持体
302 絶縁層
303 第1の半導体層
304 半導体ブロック
305 半導体ブロック
306 第1の半導体材料ベースの層
306 第1の半導体材料ベースの層
306 第1の半導体材料ベースの層
307 第2の半導体材料ベースの層
307 第2の半導体材料ベースの層
307 第2の半導体材料ベースの層
308 歯状のプロファイル
309 空間
310 側面
311 側面
312 金属スペーサ
312 金属スペーサ
312 金属スペーサ
313 金属スペーサ
313 金属スペーサ
313 金属スペーサ
315 第1の半導体材料ベースのバンド
315 第1の半導体材料ベースのバンド
315 第1の半導体材料ベースのバンド
316 金属材料ベースのバンド
316 金属材料ベースのバンド
316 金属材料ベースのバンド
317 ハードマスク上層
318 金属粒子
319 ナノワイア

Claims (19)

  1. 支持体(101、301)と、
    前記支持体上に構築された少なくとも1つのブロック(104、105、304、305)とを含み、前記ブロックが、
    第1の半導体材料ベースの層と前記第1の半導体材料とは異なる第2の半導体材料ベースの層とを交互に含むスタックであって、前記第1の半導体材料ベースの前記層が前記第2の半導体材料ベースの前記層より大きな寸法を有し、前記スタックが横方向の歯状のプロファイルを有する、スタックと、
    前記スタックが実質的に平坦な横方向の表面を有するように、前記歯状のプロファイル(108)によって形成された空間を充填する複数のスペーサ(112、112、112、113、113、113、312、312、312、313、313、313)であって、前記スペーサ(112、112、112、113、113、113、312、312、312、313、313、313)が、前記第1の半導体材料とは異なる第3の材料から作られ、前記ブロック(104、105、304、305)の側面(110、111、310、311)のそれぞれが、前記第1の材料ベースの横方向のバンド(115、115、115、315、315、315)と前記第3の材料ベースの横方向のバンド(116、116、116、316、316、316)とを交互に有する、スペーサ(112、112、112、113、113、113、312、312、312、313、313、313)とを含み、
    前記ブロックの前記側面(110、111、310、311)の少なくとも1つが、前記第1の半導体材料ベースの前記横方向のバンド(115、115、115)上で排他的に、または前記第3の材料ベースの前記横方向のバンド(316、316、316)上で排他的に、ナノチューブまたはナノワイア(119、319)の成長を促進する材料(118、318)によって部分的に覆われ、ナノチューブまたはナノワイアの成長を促進する前記材料(118、318)が、前記第1の半導体材料ベースの前記横方向のバンド(115、115、115)を排他的に、または前記第3の材料ベースの前記横方向のバンド(316、316、316)を排他的に被覆する、半導体構造(100、300)。
  2. 前記材料が、ナノチューブまたはナノワイア(119、319)の成長のための触媒材料(118、318)である、請求項1に記載の半導体構造(100、300)。
  3. 前記構造が、互いに対向する2つのブロック(104、105、304、305)を含み、ナノチューブまたはナノワイアの成長を促進する前記材料で被覆された前記2つのブロックの前記横方向のバンドが互いに対向する、請求項1または2のいずれか一項に記載の半導体構造(100、300)。
  4. 前記2つのブロック(104、105、304、305)が複数のナノワイアまたはナノチューブ(119、319)によって接続され、前記ナノワイアまたは前記ナノチューブ(119、319)がそれぞれ、ナノチューブまたはナノワイアの成長を促進する前記材料で被覆された第1の前記ブロック(104、304)の横方向のバンドを、ナノチューブまたはナノワイアの成長を促進する前記材料で被覆された、第1の前記ブロック(104、304)の前記横方向のバンドに対向する第2の前記ブロック(105、305)の横方向のバンドに接続する、請求項3に記載の半導体構造(100、300)。
  5. ナノチューブまたはナノワイアの成長を促進する前記材料が、前記バンドに沿って間隔をあけて配置された粒子または「ナノドット」(118、318)の形で、前記横方向のバンドを部分的に被覆する、請求項1から4のいずれか一項に記載の半導体構造(100、300)。
  6. 前記第1の半導体材料ベースの前記層および/または前記第2の半導体材料ベースの前記層の厚さが10〜50nmの間である、請求項1から5のいずれか一項に記載の半導体構造(100、300)。
  7. 前記第1の半導体材料がSiであり、前記第2の半導体材料がSiGeである、請求項1から6のいずれか一項に記載の半導体構造(100、300)。
  8. 前記スペーサ(112、112、112、113、113、113)が絶縁材料から作られ、ナノチューブまたはナノワイアの成長を促進する前記材料が、前記第1の半導体材料ベースの前記横方向のバンド(115、115、115)を排他的に被覆する、請求項1から7のいずれか一項に記載の半導体構造(100)。
  9. 前記スペーサ(112、112、112、113、113、113)が、SiOなどの第1の誘電体材料から作られる第1の部分およびSiタイプの窒化シリコンなどの第2の誘電体材料から作られる第2の部分(114)によって形成され、前記第2の誘電体材料が、前記横方向のバンドの前記第3の材料に対応する、請求項8に記載の半導体構造(100)。
  10. 前記スペーサ(312、312、312、313、313、313)の前記第3の材料が金属であり、ナノチューブまたはナノワイアの成長を促進する前記材料が、前記金属ベースの前記横方向のバンド(316、316、316)を排他的に被覆する、請求項1から7のいずれか一項に記載の半導体構造(300)。
  11. 前記支持体(101、301)が、SOI、Si、またはGeタイプの基板などの半導体層を含む基板である、請求項1から10のいずれか一項に記載の半導体構造(100、300)。
  12. 支持体と、
    前記支持体上に構築された少なくとも1つのブロックとを含み、前記ブロックが、
    第1の半導体材料ベースの層と前記第1の半導体材料とは異なる第2の半導体材料ベースの層とを交互に積み重ねて含むスタックであって、前記第1の半導体材料ベースの前記層が前記第2の半導体材料ベースの前記層より大きな寸法を有し、前記スタックが横方向の歯状のプロファイルを有する、スタックと、
    前記スタックが実質的に平坦な横方向の表面を有するように、前記歯状のプロファイルによって形成された空間を充填する複数のスペーサであって、前記第1の半導体材料とは異なる第3の材料から作られ、前記ブロックの側面のそれぞれが、前記第1の材料ベースの横方向のバンドと前記第3の材料ベースの横方向のバンドとを交互に有する、スペーサとを含む、半導体構造を製作する方法において、
    前記第1の半導体材料ベースの前記横方向のバンド上で排他的に、または前記第3の材料ベースの前記横方向のバンド上で排他的に、前記ブロック(211、212)の前記側面の少なくとも1つを、ナノチューブまたはナノワイア(219)の成長を促進する材料(218)で部分的に被覆するステップを含み、前記被覆するステップが選択的であり、ナノチューブまたはナノワイアの成長を促進する前記材料(218)が、前記第1の半導体材料ベースの前記横方向のバンドを排他的に、または前記第3の材料ベースの前記横方向のバンドを排他的に被覆する、方法。
  13. ナノチューブまたはナノワイア(219)の成長を促進する前記材料が、ナノチューブまたはナノワイア(219)の成長のための触媒材料(218)である、請求項12に記載の方法。
  14. 支持体上に半導体層のスタック(211、212)を形成するステップであって、前記スタックが、第1の半導体材料ベースの層(203、204、204)と前記第1の半導体材料とは異なる第2の半導体材料ベースの層(204、204、204)とを交互に積み重ねて含み、その側面に、前記第2の半導体材料ベースの溝(213)および前記第1の半導体材料ベースの突出部分(214)によって形成された歯状のプロファイルを含む、ステップと、
    前記歯状のプロファイルによって形成された前記溝(213)を充填するスペーサ(217)を製作するステップとを含む、請求項12または13のいずれか一項に記載の方法。
  15. 前記スペーサ(217)が絶縁スペーサであり、前記スペーサを製作するステップが、
    歯状のプロファイルを有する前記スタック(211、212)上に第1の誘電体材料(215)をコンフォーマルに堆積させるステップと、
    第2の誘電体材料(216)を堆積させるステップと、
    前記第1の誘電体材料(215)に対して前記第2の誘電体材料(216)を選択的かつ部分的に除去するステップとを含む、請求項14に記載の方法。
  16. 前記被覆するステップが、前記第1の半導体材料ベースの前記横方向のバンドに対する機能化ステップであり、その後に、前記機能化したバンド上に金属を堆積させるステップが続く、請求項12から15のいずれか一項に記載の方法。
  17. 前記第1の半導体材料がシリコンであり、前記被覆するステップがシリコン処理ステップである、請求項12から15のいずれか一項に記載の方法。
  18. 前記第3の材料が金属であり、前記被覆するステップが、前記第3の材料ベースの前記横方向のバンド上に金属を電解堆積させるステップである、請求項12から14のいずれか一項に記載の方法。
  19. 請求項1から11のいずれか一項に記載の構造を組み込むトランジスタであって、前記構造が、それぞれトランジスタチャネルを形成する複数のナノワイアまたはナノチューブを含む、トランジスタ。
JP2010114085A 2009-05-19 2010-05-18 半導体構造および半導体構造を製作する方法 Pending JP2010283343A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
FR0953309A FR2945891B1 (fr) 2009-05-19 2009-05-19 Structure semiconductrice et procede de realisation d'une structure semiconductrice.

Publications (1)

Publication Number Publication Date
JP2010283343A true JP2010283343A (ja) 2010-12-16

Family

ID=40947605

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010114085A Pending JP2010283343A (ja) 2009-05-19 2010-05-18 半導体構造および半導体構造を製作する方法

Country Status (4)

Country Link
US (1) US8384069B2 (ja)
EP (1) EP2254146B1 (ja)
JP (1) JP2010283343A (ja)
FR (1) FR2945891B1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9647071B2 (en) 2015-06-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. FINFET structures and methods of forming the same
KR20180091939A (ko) * 2016-01-05 2018-08-16 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 수평 게이트 올 어라운드 디바이스들을 위한 나노와이어들을 제조하기 위한 방법

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2933237B1 (fr) * 2008-06-25 2010-10-29 Commissariat Energie Atomique Architecture d'interconnexions horizontales a base de nanotubes de carbone.
CN102723408B (zh) * 2011-03-29 2015-04-15 清华大学 半导体外延结构的制备方法
KR20120100630A (ko) * 2011-03-04 2012-09-12 삼성전자주식회사 반도체소자와 그 제조방법 및 반도체소자를 포함하는 전자장치
CN104054181B (zh) * 2011-12-30 2017-10-20 英特尔公司 全包围栅晶体管的可变栅极宽度
US9484447B2 (en) 2012-06-29 2016-11-01 Intel Corporation Integration methods to fabricate internal spacers for nanowire devices
US9029835B2 (en) * 2012-12-20 2015-05-12 Intel Corporation Epitaxial film on nanoscale structure
GB201321949D0 (en) * 2013-12-12 2014-01-29 Ibm Semiconductor nanowire fabrication
US9257450B2 (en) * 2014-02-18 2016-02-09 Stmicroelectronics, Inc. Semiconductor device including groups of stacked nanowires and related methods
US10134759B2 (en) 2014-02-18 2018-11-20 Stmicroelectronics, Inc. Semiconductor device including groups of nanowires of different semiconductor materials and related methods
US9224750B1 (en) * 2014-06-04 2015-12-29 Macronix International Co., Ltd. Multi-layer memory array and manufacturing method of the same
US9543440B2 (en) * 2014-06-20 2017-01-10 International Business Machines Corporation High density vertical nanowire stack for field effect transistor
US10037397B2 (en) 2014-06-23 2018-07-31 Synopsys, Inc. Memory cell including vertical transistors and horizontal nanowire bit lines
US9400862B2 (en) 2014-06-23 2016-07-26 Synopsys, Inc. Cells having transistors and interconnects including nanowires or 2D material strips
US9361418B2 (en) 2014-06-23 2016-06-07 Synopsys, Inc. Nanowire or 2D material strips interconnects in an integrated circuit cell
US9449820B2 (en) 2014-12-22 2016-09-20 International Business Machines Corporation Epitaxial growth techniques for reducing nanowire dimension and pitch
TWI598994B (zh) * 2015-01-13 2017-09-11 東京威力科創股份有限公司 奈米線結構之形成方法
CN106504991B (zh) * 2015-09-03 2021-08-27 应用材料公司 用于制造半导体应用的水平全环栅极器件的纳米线的方法
US9647139B2 (en) * 2015-09-04 2017-05-09 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer
US9570299B1 (en) 2015-09-08 2017-02-14 International Business Machines Corporation Formation of SiGe nanotubes
KR102315275B1 (ko) 2015-10-15 2021-10-20 삼성전자 주식회사 집적회로 소자 및 그 제조 방법
US9496263B1 (en) * 2015-10-23 2016-11-15 International Business Machines Corporation Stacked strained and strain-relaxed hexagonal nanowires
US9362355B1 (en) * 2015-11-13 2016-06-07 International Business Machines Corporation Nanosheet MOSFET with full-height air-gap spacer
US9614040B1 (en) * 2016-02-02 2017-04-04 International Business Machines Corporation Strained silicon germanium fin with block source/drain epitaxy and improved overlay capacitance
US9711607B1 (en) * 2016-04-15 2017-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. One-dimensional nanostructure growth on graphene and devices thereof
JP6780015B2 (ja) 2016-04-25 2020-11-04 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 水平ゲートオールアラウンドデバイスのナノワイヤの空隙スペーサ形成
US9966416B2 (en) * 2016-05-03 2018-05-08 Tsinghua Univeristy Three dimensional complementary metal oxide semiconductor carbon nanotube thin film transistor circuit
US10177199B2 (en) 2016-05-03 2019-01-08 Tsinghua University Method for making three dimensional complementary metal oxide semiconductor carbon nanotube thin film transistor circuit
US9853129B2 (en) * 2016-05-11 2017-12-26 Applied Materials, Inc. Forming non-line-of-sight source drain extension in an nMOS finFET using n-doped selective epitaxial growth
US10388729B2 (en) * 2016-05-16 2019-08-20 Globalfoundries Inc. Devices and methods of forming self-aligned, uniform nano sheet spacers
US11004985B2 (en) 2016-05-30 2021-05-11 Samsung Electronics Co., Ltd. Semiconductor device having multi-thickness nanowire
KR20170135115A (ko) * 2016-05-30 2017-12-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10332986B2 (en) * 2016-08-22 2019-06-25 International Business Machines Corporation Formation of inner spacer on nanosheet MOSFET
US10312229B2 (en) 2016-10-28 2019-06-04 Synopsys, Inc. Memory cells including vertical nanowire transistors
CN111370466A (zh) 2016-11-21 2020-07-03 华为技术有限公司 一种场效应晶体管及其制作方法
US10541318B2 (en) * 2017-04-28 2020-01-21 International Business Machines Corporation Prevention of extension narrowing in nanosheet field effect transistors
KR102277610B1 (ko) 2017-06-29 2021-07-14 삼성전자주식회사 반도체 장치의 제조 방법
US10818777B2 (en) * 2017-10-30 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11165032B2 (en) * 2019-09-05 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor using carbon nanotubes
US11387319B2 (en) * 2019-09-11 2022-07-12 International Business Machines Corporation Nanosheet transistor device with bottom isolation
US11251304B2 (en) * 2020-04-22 2022-02-15 International Business Machines Corporation Wrap-around bottom contact for bottom source/drain

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2811004B2 (ja) * 1988-05-23 1998-10-15 日本電信電話株式会社 金属薄膜成長方法および装置
FR2860780B1 (fr) * 2003-10-13 2006-05-19 Centre Nat Rech Scient Procede de synthese de structures filamentaires nanometriques et composants pour l'electronique comprenant de telles structures
US7602069B2 (en) * 2004-03-31 2009-10-13 Universität Duisburg-Essen Micro electronic component with electrically accessible metallic clusters
US7129097B2 (en) 2004-07-29 2006-10-31 International Business Machines Corporation Integrated circuit chip utilizing oriented carbon nanotube conductive layers
JP2009528254A (ja) 2006-03-03 2009-08-06 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ 空間的に配列したナノチューブ及びナノチューブアレイの作製方法
KR100723882B1 (ko) * 2006-06-15 2007-05-31 한국전자통신연구원 실리콘 나노점 박막을 이용한 실리콘 나노와이어 제조 방법
US7754600B2 (en) * 2007-03-01 2010-07-13 Hewlett-Packard Development Company, L.P. Methods of forming nanostructures on metal-silicide crystallites, and resulting structures and devices
FR2925761B1 (fr) * 2007-12-20 2010-08-20 Commissariat Energie Atomique Procede de realisation d'une connexion electrique, a base de nanotubes, entre deux surfaces en regard et circuit integre la comportant
KR101071218B1 (ko) * 2008-06-19 2011-10-10 한양대학교 산학협력단 탄소나노튜브 3차원 네트워크를 포함하는 유무기 복합체, 그 제조방법 및 이를 이용하여 제조된 전자소자
US20110127492A1 (en) * 2009-11-30 2011-06-02 International Business Machines Corporation Field Effect Transistor Having Nanostructure Channel

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9647071B2 (en) 2015-06-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. FINFET structures and methods of forming the same
KR101745785B1 (ko) * 2015-06-15 2017-06-12 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Finfet 구조물 및 그것의 형성 방법
US10134847B2 (en) 2015-06-15 2018-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US10720496B2 (en) 2015-06-15 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
KR20180091939A (ko) * 2016-01-05 2018-08-16 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 수평 게이트 올 어라운드 디바이스들을 위한 나노와이어들을 제조하기 위한 방법
JP2019500756A (ja) * 2016-01-05 2019-01-10 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法
KR102577628B1 (ko) * 2016-01-05 2023-09-13 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 수평 게이트 올 어라운드 디바이스들을 위한 나노와이어들을 제조하기 위한 방법

Also Published As

Publication number Publication date
US20100295024A1 (en) 2010-11-25
US8384069B2 (en) 2013-02-26
FR2945891A1 (fr) 2010-11-26
EP2254146A1 (fr) 2010-11-24
FR2945891B1 (fr) 2011-07-15
EP2254146B1 (fr) 2021-03-10

Similar Documents

Publication Publication Date Title
JP2010283343A (ja) 半導体構造および半導体構造を製作する方法
JP4493344B2 (ja) カーボン・ナノチューブ電界効果トランジスタ半導体デバイス及びこれの製造方法
US9431520B2 (en) Graphene nanoribbons and carbon nanotubes fabricated from SiC fins or nanowire templates
JP5883432B2 (ja) 電界効果トランジスタ(fet)およびそれを形成する方法
JP5353009B2 (ja) 半導体装置の製造方法および半導体装置
CN103563080B (zh) 具有嵌入的栅电极的自对准碳电子装置
US9312223B2 (en) Method for fabricating a carbon nanotube interconnection structure
JP5245385B2 (ja) グラフェンシートの製造方法、半導体装置の製造方法および半導体装置
JP4814487B2 (ja) 絶縁層の厚さが電極間の間隔を形成する単一電子トランジスタ及び製造方法
US7101761B2 (en) Method of fabricating semiconductor devices with replacement, coaxial gate structure
CN103518255A (zh) 具有减小寄生电阻的带电单层的碳场效应晶体管
CN102668150B (zh) 具有纳米结构沟道的场效应晶体管
JP2011192667A (ja) トランジスタおよびその製造方法
KR100666187B1 (ko) 나노선을 이용한 수직형 반도체 소자 및 이의 제조 방법
CN102640290B (zh) 自对准碳化物源极/漏极fet
JP2010541229A (ja) 集積電子回路におけるワイヤー部の形成方法
TWI452008B (zh) 奈米結構的製造方法及奈米結構於三維結構之應用
KR20130084562A (ko) 그래핀을 이용한 수직 나노선 구조의 나노 소자 및 그 형성 방법
JP2013021149A (ja) グラフェンの合成方法並びに半導体装置及びその製造方法
US10243156B2 (en) Placement of carbon nanotube guided by DSA patterning
JP5770671B2 (ja) 電界効果トランジスタ、製造用基板、およびその製造方法
KR20100025601A (ko) 다중채널 fet 소자 및 그 제조방법