KR102277610B1 - 반도체 장치의 제조 방법 - Google Patents

반도체 장치의 제조 방법 Download PDF

Info

Publication number
KR102277610B1
KR102277610B1 KR1020170082278A KR20170082278A KR102277610B1 KR 102277610 B1 KR102277610 B1 KR 102277610B1 KR 1020170082278 A KR1020170082278 A KR 1020170082278A KR 20170082278 A KR20170082278 A KR 20170082278A KR 102277610 B1 KR102277610 B1 KR 102277610B1
Authority
KR
South Korea
Prior art keywords
spacer
layer
forming
dummy gate
film
Prior art date
Application number
KR1020170082278A
Other languages
English (en)
Other versions
KR20190002005A (ko
Inventor
김태원
탁용석
박기연
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020170082278A priority Critical patent/KR102277610B1/ko
Priority to US15/865,531 priority patent/US10403739B2/en
Priority to CN201810593036.3A priority patent/CN109216197B/zh
Publication of KR20190002005A publication Critical patent/KR20190002005A/ko
Application granted granted Critical
Publication of KR102277610B1 publication Critical patent/KR102277610B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Composite Materials (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

반도체 장치의 제조 방법이 제공된다. 반도체 장치의 제조 방법은, 기판 상에 희생층 및 반도체층이 교대로 적층된 적층 구조물을 형성하고, 상기 적층 구조물 상에 더미 게이트 구조물을 형성하고, 상기 더미 게이트 구조물을 마스크로 이용하여 상기 적층 구조물을 식각하여 리세스를 형성하고, 상기 리세스에 의해 노출된 상기 희생층의 일부를 식각하고, 식각된 상기 희생층 상에 제1 스페이서막을 형성하고, 상기 제1 스페이서막 상에 상기 제1 스페이서막과 다른 물질을 포함하는 제2 스페이서막을 형성하고, 상기 제2 스페이서막의 일부를 제거하고, 잔존하는 상기 제2 스페이서막 상에 제3 스페이서막을 형성하는 것을 포함한다.

Description

반도체 장치의 제조 방법{Method for fabricating semiconductor device}
본 발명은 반도체 장치의 제조 방법에 관한 것이다.
반도체 장치의 밀도를 높이기 위한 스케일링(scaling) 기술 중 하나로서, 기판 상에 핀(fin) 또는 나노와이어(nanowire) 형상의 실리콘 바디를 형성하고 실리콘 바디의 표면 위에 게이트를 형성하는 멀티 게이트 트랜지스터(multi gate transistor)가 제안되었다.
이러한 멀티 게이트 트랜지스터는 3차원의 채널을 이용하기 때문에, 스케일링하는 것이 용이하다. 또한, 멀티 게이트 트랜지스터의 게이트 길이를 증가시키지 않아도, 전류 제어 능력을 향상시킬 수 있다. 뿐만 아니라, 드레인 전압에 의해 채널 영역의 전위가 영향을 받는 SCE(short channel effect)를 효과적으로 억제할 수 있다.
본 발명이 해결하고자 하는 과제는, 보이드(void) 없이 내부 스페이서를 형성하여 신뢰성을 향상시킨 반도체 장치를 제공하는 것이다.
본 발명이 해결하고자 하는 다른 과제는, 보이드 없이 내부 스페이서를 형성하여 신뢰성을 향상시킨 반도체 장치의 제조 방법을 제공하는 것이다.
본 발명이 해결하려는 과제들은 이상에서 언급한 과제들로 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상기 과제를 해결하기 위한 본 발명의 기술적 사상에 따른 반도체 장치의 제조 방법의 몇몇 실시예는, 기판 상에 희생층 및 반도체층이 교대로 적층된 적층 구조물을 형성하고, 상기 적층 구조물 상에 더미 게이트 구조물을 형성하고, 상기 더미 게이트 구조물을 마스크로 이용하여 상기 적층 구조물을 식각하여 리세스를 형성하고, 상기 리세스에 의해 노출된 상기 희생층의 일부를 식각하고, 식각된 상기 희생층 상에 제1 스페이서막을 형성하고, 상기 제1 스페이서막 상에 상기 제1 스페이서막과 다른 물질을 포함하는 제2 스페이서막을 형성하고, 상기 제2 스페이서막의 일부를 제거하고, 잔존하는 상기 제2 스페이서막 상에 제3 스페이서막을 형성하는 것을 포함한다.
상기 과제를 해결하기 위한 본 발명의 기술적 사상에 따른 반도체 장치의 제조 방법의 다른 몇몇 실시예는, 기판 상에 희생층 및 반도체층이 교대로 적층된 적층 구조물을 형성하고, 상기 적층 구조물 상에 더미 게이트 구조물을 형성하고, 상기 더미 게이트 구조물을 마스크로 이용하여 상기 적층 구조물을 식각하여 리세스를 형성하고, 상기 희생층의 일부를 식각하여, 복수의 상기 반도체층 사이에 제1 노출 영역을 형성하고, 상기 제1 노출 영역의 상기 희생층 및 상기 반도체층 상에 제1 스페이서막을 컨포말하게 형성하여, 상기 제1 스페이서막 사이에 제2 노출 영역을 형성하고, 상기 제2 노출 영역의 상기 제1 스페이서막 상에 제2 스페이서막을 컨포말하게 형성하고, 상기 제2 노출 영역에 형성된 상기 제2 스페이서막의 일부를 식각하여 제3 노출 영역을 형성하고, 상기 제3 노출 영역의 상기 제1 및 제2 스페이서막 상에 제3 스페이서막을 형성하는 것을 포함한다.
상기 과제를 해결하기 위한 본 발명의 기술적 사상에 따른 반도체 장치의 제조 방법의 또 다른 몇몇 실시예는, 기판 상에 희생층 및 반도체층이 교대로 적층된 적층 구조물을 형성하고, 상기 적층 구조물 상에 더미 게이트를 형성하고, 상기 더미 게이트의 측벽에 더미 게이트 스페이서를 형성하고, 상기 더미 게이트 및 상기 더미 게이트 스페이서를 마스크로 이용하여 상기 적층 구조물을 식각하여 리세스를 형성하고, 상기 리세스에 의해 노출된 상기 희생층의 일부를 식각하고, 상기 더미 게이트 스페이서를 제거하고, 상기 더미 게이트, 상기 반도체층 및 상기 희생층 상에 제1 스페이서막을 컨포말하게 형성하고, 상기 제1 스페이서막 상에 상기 제1 스페이서막과 다른 물질을 포함하는 제2 스페이서막을 컨포말하게 형성하고, 상기 희생층의 측면 상에 형성된 상기 제2 스페이서막의 일부를 제외한 나머지 상기 제2 스페이서막을 제거하고, 상기 제1 스페이서막 및 상기 제2 스페이서막 상에 제3 스페이서막을 형성하는 것을 포함한다.
본 발명의 기타 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.
도 1은 본 발명의 기술적 사상에 따른 몇몇 실시예에 따른 반도체 장치를 도시한 평면도이다.
도 2는 도 1의 A-A' 선을 따라 절단한 단면도이다.
도 3은 도 1의 B-B' 선을 따라 절단한 단면도이다.
도 4는 도 1의 C-C' 선을 따라 절단한 단면도이다.
도 5 내지 도 18은 본 발명의 기술적 사상에 따른 몇몇 실시예에 따른 반도체 장치의 제조 방법을 도시한 중간 단계 도면들이다.
도 19는 본 발명의 기술적 사상에 따른 다른 몇몇 실시예에 따른 반도체 장치를 도시한 단면도이다.
도 20은 본 발명의 기술적 사상에 따른 다른 몇몇 실시예에 따른 반도체 장치의 제조 방법을 도시한 중간 단계 도면이다.
도 21은 본 발명의 기술적 사상에 따른 또 다른 몇몇 실시예에 따른 반도체 장치를 도시한 단면도이다.
도 22 내지 도 24는 본 발명의 기술적 사상에 따른 또 다른 몇몇 실시예에 따른 반도체 장치의 제조 방법을 도시한 중간 단계 도면들이다.
도 25는 본 발명의 기술적 사상에 따른 또 다른 몇몇 실시예에 따른 반도체 장치를 도시한 단면도이다.
도 26 및 도 27은 본 발명의 기술적 사상에 따른 또 다른 몇몇 실시예에 따른 반도체 장치의 제조 방법을 도시한 중간 단계 도면들이다.
이하에서, 도 1 내지 도 4를 참조하여 본 발명의 기술적 사상에 따른 몇몇 실시예에 따른 반도체 장치를 설명한다.
도 1은 본 발명의 기술적 사상에 따른 몇몇 실시예에 따른 반도체 장치를 도시한 평면도이다. 도 2는 도 1의 A-A' 선을 따라 절단한 단면도이다. 도 3은 도 1의 B-B' 선을 따라 절단한 단면도이다. 도 4는 도 1의 C-C' 선을 따라 절단한 단면도이다.
도 1 내지 도 4를 참조하면, 본 발명의 기술적 사상에 따른 몇몇 실시예에 따른 반도체 장치는, 기판(110), 핀형 패턴(F), 필드 절연막(111), 게이트 전극(120), 게이트 절연막(121), 절연막(122), 제1 나노와이어(131), 제2 나노와이어(132), 제1 스페이서(141), 제2 스페이서(142), 제3 스페이서(143), 소오스/드레인 영역(150), 층간 절연막(160), 콘택(170) 및 실리사이드막(171)를 포함한다.
기판(110)은 예를 들어, 벌크 실리콘 또는 SOI(silicon-on-insulator)일 수 있다. 이와 달리, 기판(110)은 실리콘 기판일 수도 있고, 또는 다른 물질, 예를 들어, 실리콘게르마늄, 안티몬화 인듐, 납 텔루르 화합물, 인듐 비소, 인듐 인화물, 갈륨 비소 또는 안티몬화 갈륨을 포함할 수 있다. 또는, 기판(110)은 베이스 기판 상에 에피층이 형성된 것일 수도 있다.
또한, 기판(110)은 핀형 패턴(F)을 포함할 수 있다. 핀형 패턴(F)은 기판(110)으로부터 돌출되어 있을 수 있다. 필드 절연막(111)은 핀형 패턴(F)의 측벽의 적어도 일부를 감쌀 수 있다. 핀형 패턴(F)은 필드 절연막(111)에 의해 정의될 수 있다. 필드 절연막(111)은 예를 들어, 산화막, 질화막, 산질화막 또는 이들의 조합 중 하나를 포함할 수 있다.
도 3에서, 핀형 패턴(F)의 측벽이 전체적으로 필드 절연막(111)에 의해 둘러싸인 것으로 도시하였지만, 설명의 편의성을 위한 것일 뿐, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
핀형 패턴(F)은 제1 방향(X)으로 길게 연장될 수 있다. 즉, 핀형 패턴(F)은 제1 방향(X)으로 연장되는 장변과 제2 방향(Y)으로 연장되는 단변을 포함할 수 있다.
핀형 패턴(F)은 기판(110)의 일부를 식각하여 형성된 것일 수도 있고, 기판(110)으로부터 성장된 에피층(epitaxial layer)을 포함할 수 있다. 핀형 패턴(F)은 예를 들어, 원소 반도체 물질인 실리콘 또는 게르마늄을 포함할 수 있다. 또한, 핀형 패턴(F)은 화합물 반도체를 포함할 수 있고, 예를 들어, Ⅳ-Ⅳ족 화합물 반도체 또는 Ⅲ-Ⅴ족 화합물 반도체를 포함할 수 있다.
예를 들어, Ⅳ-Ⅳ족 화합물 반도체를 예로 들면, 핀형 패턴(F)은 탄소(C), 규소(Si), 게르마늄(Ge), 주석(Sn) 중 적어도 2개 이상을 포함하는 이원계 화합물(binary compound), 삼원계 화합물(ternary compound) 또는 이들에 Ⅳ족 원소가 도핑된 화합물일 수 있다.
Ⅲ-Ⅴ족 화합물 반도체를 예로 들면, 핀형 패턴(F)은 Ⅲ족 원소로 알루미늄(Al), 갈륨(Ga) 및 인듐(In) 중 적어도 하나와 Ⅴ족 원소인 인(P), 비소(As) 및 안티모늄(Sb) 중 하나가 결합되어 형성되는 이원계 화합물, 삼원계 화합물 또는 사원계 화합물 중 하나일 수 있다.
본 발명의 몇몇 실시예들에 따른 반도체 장치에서, 핀형 패턴(F)은 실리콘을 포함하는 것으로 설명한다.
도 2 내지 도 4에는 반도체 장치가 2개의 나노와이어 즉, 제3 방향(Z)으로 적층된 제1 나노와이어(131) 및 제2 나노와이어(132)를 포함하는 것으로 예시적으로 도시되어 있지만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 즉, 다른 몇몇 실시예에서, 반도체 장치는 1개의 나노와이어를 포함할 수 있다. 또한. 또 다른 몇몇 실시예에서, 반도체 장치는 3개 이상의 나노와이어를 포함할 수 있다. 이하에서는, 반도체 장치가 제3 방향(Z)으로 적층된 2개의 나노와이어를 포함하는 것을 예시적으로 설명한다.
제1 나노와이어(131)는 기판(110) 상에, 기판(110)과 제3 방향(Z)으로 이격되어 형성될 수 있다. 제1 나노와이어(131)는 제1 방향(X)으로 연장되어 형성될 수 있다.
구체적으로, 제1 나노와이어(131)는 핀형 패턴(F) 상에, 핀형 패턴(F)과 제3 방향(Z)으로 이격되어 형성될 수 있다. 제1 나노와이어(131)는 핀형 패턴(F)과 오버랩될 수 있다.
도 3에서, 제1 나노와이어(131)의 제2 방향(Y)으로의 폭은 핀형 패턴(F)의 제2 방향(Y) 폭과 같은 것으로 도시하였지만, 설명의 편의성을 위한 것일 뿐, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 또한, 제1 나노와이어(131)의 단면은 사각형인 것으로 도시하였지만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 트리밍(trimming) 공정 등을 통해, 제1 나노와이어(131)의 모서리 부분이 둥그렇게 될 수 있음은 물론이다.
제1 나노와이어(131)는 트랜지스터의 채널 영역으로 사용될 수 있다. 제1 나노와이어(131)는 반도체 장치가 PMOS인지 NMOS인지 여부에 따라서 달아질 수 있지만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
또한, 제1 나노와이어(131)는 핀형 패턴(F)과 동일한 물질을 포함할 수도 있고, 핀형 패턴(F)과 다른 물질을 포함할 수도 있다. 하지만, 설명의 편의성을 위해, 본 발명의 실시예들에 따른 반도체 장치에서, 제1 나노와이어(131)는 실리콘을 포함하는 것으로 설명한다.
제2 나노와이어(132)는 기판(110) 상에, 기판(110)과 제3 방향(Z)으로 이격되어 형성될 수 있다. 제2 나노와이어(132)는 제1 방향(X)으로 연장되어 형성될 수 있다.
제2 나노와이어(132)는 제1 나노와이어(131)보다 기판(110)으로부터 더 멀리 이격되어 형성될 수 있다. 즉, 핀형 패턴(F)의 상면으로부터 제2 나노와이어(132)까지의 높이는 핀형 패턴(F)의 상면으로부터 제1 나노와이어(131)까지의 높이보다 높다.
제2 나노와이어(132)는 핀형 패턴(F)과 오버랩될 수 있다. 제2 나노와이어(132)는 트랜지스터의 채널 영역으로 사용될 수 있다. 따라서, 제2 나노와이어(132)는 제1 나노와이어(131)와 동일한 물질을 포함할 수 있다.
게이트 전극(120)은 필드 절연막(111)과 핀형 패턴(F) 상에 형성될 수 있다. 게이트 전극(120)은 제2 방향(Y)으로 연장될 수 있다.
게이트 전극(120)은 핀형 패턴(F)의 상면과 이격되어 형성되는 제1 나노와이어(131) 및 제2 나노와이어(132) 각각의 둘레를 감싸도록 형성될 수 있다. 게이트 전극(120)은 핀형 패턴(F)과 제1 나노와이어(131) 사이의 이격된 공간에도 형성될 수 있다. 또한, 게이트 전극(120)은 제1 나노와이어(131)와 제2 나노와이어(132) 사이의 이격된 공간에도 형성될 수 있다.
도 2 내지 도 4에는 핀형 패턴(F)과 제1 나노와이어(131) 사이 및 제1 나노와이어(131)와 제2 나노와이어(132) 사이에 형성된 각각의 게이트 전극(120)의 측벽들이 제1 방향(X)으로 오목하게 만입되는 형상을 갖는 것으로 도시되어 있지만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
게이트 전극(120)은 도전성 물질을 포함할 수 있다. 게이트 전극(120)은 단일층으로 도시하였지만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 즉, 다른 몇몇 실시예에서, 게이트 전극(120)은 일함수 조절을 하는 일함수 도전층과, 일함수 도전층에 의해 형성된 공간을 채우는 필링(filling) 도전층을 포함할 수 있다.
게이트 전극(120)은 예를 들어, TiN, WN, TaN, Ru, TiC, TaC, Ti, Ag, Al, TiAl, TiAlN, TiAlC, TaCN, TaSiN, Mn, Zr, W, Al 중 적어도 하나를 포함할 수 있다. 또한, 게이트 전극(120)은 각각 금속이 아닌 Si, SiGe 등으로 이루어질 수도 있다. 이러한 게이트 전극(120)은 예를 들어, 리플레이스먼트(replacement) 공정을 통해서 형성될 수 있으나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
게이트 절연막(121)은 제1 나노와이어(131)와 게이트 전극(120) 사이와, 제2 나노와이어(132)와 게이트 전극(120) 사이에 형성될 수 있다. 또한, 게이트 절연막(121)은 필드 절연막(111)과 게이트 전극(120) 사이와, 핀형 패턴(F)과 게이트 전극(120) 사이와, 절연막(122)과 게이트 전극(120) 사이와, 제1 스페이서(141)와 게이트 전극(120) 사이에 형성될 수 있다.
즉, 게이트 절연막(121)은 제1 및 제2 나노와이어(131, 132)의 둘레를 따라 형성될 수 있다. 또한, 게이트 절연막(121)은 필드 절연막(111)의 상면과 핀형 패턴(F)의 상면을 따라 형성될 수 있다. 또한, 게이트 절연막(121)은 제1 스페이서(141) 및 절연막(122)의 측벽을 따라 형성될 수 있다.
게이트 절연막(121)은 예를 들어, 계면막과 고유전율 절연막을 포함할 수 있지만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 즉, 제1 및 제2 나노와이어(131, 132)의 물질에 따라, 게이트 절연막(121)의 계면막은 생략될 수 있다.
제1 및 제2 나노와이어(131, 132)가 실리콘을 포함할 경우, 계면막은 실리콘 산화막을 포함할 수 있다.
고유전율 절연막은 실리콘 산화막보다 높은 유전 상수를 갖는 고유전체 물질을 포함할 수 있다. 예를 들어, 고유전체 물질은 하프늄 산화물(hafnium oxide), 하프늄 실리콘 산화물(hafnium silicon oxide), 란타늄 산화물(lanthanum oxide), 란타늄 알루미늄 산화물(lanthanum aluminum oxide), 지르코늄 산화물(zirconium oxide), 지르코늄 실리콘 산화물(zirconium silicon oxide), 탄탈륨 산화물(tantalum oxide), 티타늄 산화물(titanium oxide), 바륨 스트론튬 티타늄 산화물(barium strontium titanium oxide), 바륨 티타늄 산화물(barium titanium oxide), 스트론튬 티타늄 산화물(strontium titanium oxide), 이트륨 산화물(yttrium oxide), 알루미늄 산화물(Aluminum oxide), 납 스칸듐 탄탈륨 산화물(lead scandium tantalum oxide), 또는 납 아연 니오브산염(lead zinc niobate) 중에서 하나 이상을 포함할 수 있으나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
계면막이 생략되는 경우, 고유전율 절연막은 상술한 고유전체 물질뿐만 아니라, 실리콘 산화막, 실리콘 산질화막 또는 실리콘 질화막 등을 포함할 수도 있다.
절연막(122)은 게이트 절연막(121)과 제1 스페이서(141) 사이와, 제1 스페이서(141)와 제1 나노와이어(131) 사이와, 제1 스페이서(141)와 제2 나노와이어(132) 사이에 형성될 수 있다. 절연막(122)은 예를 들어, 실리콘 산탄질화물(SiOCN)을 포함할 수 있지만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
제1 스페이서(141)는 제2 방향(Y)으로 연장된 게이트 전극(120)의 양 측벽 상에 형성된 절연막(122) 상에 컨포말하게 형성될 수 있다. 또한, 제1 스페이서(141)는 핀형 패턴(F)과 제1 나노와이어(131) 사이에서, 핀형 패턴(F), 게이트 절연막(121) 및 제1 나노와이어(131)의 표면을 따라 컨포말하게 형성될 수 있다. 또한, 제1 스페이서(141)는 제1 나노와이어(131)와 제2 나노와이어(132) 사이에서, 제1 나노와이어(131), 게이트 절연막(121) 및 제2 나노와이어(132)의 표면을 따라 컨포말하게 형성될 수 있다.
제1 스페이서(141)는 예를 들어, 실리콘 탄질화물(SiCN)을 포함할 수 있다. 다만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 즉, 다른 몇몇 실시예에서, 제1 스페이서(141)는 실리콘 질화물(SiN), 실리콘 산질화물(SiON), 실리콘 산화물(SiO2), 실리콘 산탄질화물(SiOCN) 및 이들의 조합 중 적어도 하나를 포함할 수 있다.
제2 스페이서(142)는 핀형 패턴(F)과 제1 나노와이어(131) 사이에서, 게이트 절연막(121)과 인접하게 제1 스페이서(141) 상에 형성될 수 있다. 또한, 제2 스페이서(142)는 제1 나노와이어(131)와 제2 나노와이어(132) 사이에서, 게이트 절연막(121)과 인접하게 제1 스페이서(141) 상에 형성될 수 있다.
다만, 제2 스페이서(142)는 절연막(122) 상에 형성된 제1 스페이서(141) 상에는 형성되지 않을 수 있다. 다만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
또한, 제2 스페이서(142)는 제1 스페이서(141)의 일부 상에는 형성되지 않을 수 있다. 구체적으로, 제2 스페이서(142)는 핀형 패턴(F)의 상면과 접하는 제1 스페이서(141) 상의 일부 상에 형성되지 않을 수 있다. 또한, 제2 스페이서(142)는 제1 나노와이어(131)의 상면 및 하면과 접하는 제1 스페이서(141) 상의 일부 상에 형성되지 않을 수 있다. 또한, 제2 스페이서(142)는 제2 나노와이어(132)의 하면과 접하는 제1 스페이서(141) 상의 일부 상에 형성되지 않을 수 있다. 다만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
제2 스페이서(142)는 제1 스페이서(141)와 다른 물질을 포함할 수 있다. 구체적으로, 제2 스페이서(142)는 제1 스페이서(141)와 다른 식각 선택비를 갖는 물질을 포함할 수 있다.
예를 들어, 제2 스페이서(142)를 형성하는 공정에서, 제2 스페이서(142)의 일부를 제거하기 위해, 습식 식각(wet etching) 공정을 이용하는 경우, 제1 스페이서(141)는 실리콘 탄질화물(SiCN)을 포함하고, 제2 스페이서(142)는 실리콘 질화물(SiN)을 포함할 수 있다.
즉, 제1 스페이서(141)가 실리콘 탄질화물(SiCN)을 포함하는 경우, 제2 스페이서(142)는 예를 들어, 식각 에천트(etchant)인 인산에 대하여 실리콘 탄질화물(SiCN)보다 식각 내성이 작은 실리콘 질화물(SiN)을 포함할 수 있다.
또한, 예를 들어, 제2 스페이서(142)를 형성하는 공정에서, 제2 스페이서(142)의 일부를 제거하기 위해, 습식 식각(wet etching) 공정을 이용하는 경우, 제1 스페이서(141)는 실리콘 산탄질화물(SiOCN)을 포함하고, 제2 스페이서(142)는 실리콘 산질화물(SiON)을 포함할 수 있다.
즉, 제1 스페이서(141)가 실리콘 산탄질화물(SiOCN)을 포함하는 경우, 제2 스페이서(142)는 예를 들어, 식각 에천트인 인산에 대하여 실리콘 산탄질화물(SiOCN)보다 식각 내성이 작은 실리콘 산질화물(SiON)을 포함할 수 있다.
다만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 즉, 다른 몇몇 실시예에서, 예를 들어, 제2 스페이서(142)를 형성하는 공정에서, 제2 스페이서(142)의 일부를 제거하기 위해, 건식 식각(dry etching) 공정을 이용하는 경우, 제1 스페이서(141)는 실리콘 질화물(SiN)을 포함하고, 제2 스페이서(142)는 실리콘 탄질화물(SiCN)을 포함할 수 있다.
제3 스페이서(143)는 제1 스페이서(141) 및 제2 스페이서(142) 상에 형성될 수 있다.
구체적으로, 제3 스페이서(143)는 핀형 패턴(F)과 제1 나노와이어(131) 사이에서, 제1 스페이서(141) 및 제2 스페이서(142) 상에 형성될 수 있다. 또한, 제3 스페이서(143)는 제1 나노와이어(131)와 제2 나노와이어(132) 사이에서, 제1 스페이서(141) 및 제2 스페이서(142) 상에 형성될 수 있다. 또한, 제3 스페이서(143)는 절연막(122) 상에 형성된 제1 스페이서(141) 상에 형성될 수 있다.
제3 스페이서(143)는 제2 스페이서(142)와 동일한 물질을 포함할 수 있다. 예를 들어, 제1 스페이서(141)가 실리콘 탄질화물(SiCN)을 포함하는 경우, 제1 스페이서(142) 및 제3 스페이서(143)는 각각 실리콘 질화물(SiN)을 포함할 수 있다.
또한, 예를 들어, 제1 스페이서(141)가 실리콘 산탄질화물(SiOCN)을 포함하는 경우, 제2 스페이서(142) 및 제3 스페이서(143)는 각각 실리콘 산질화물(SiON)을 포함할 수 있다.
다만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 즉, 다른 몇몇 실시예에서, 제3 스페이서(143)는 제2 스페이서(142)와 다른 물질을 포함할 수 있다.
소오스/드레인 영역(150)은 게이트 전극(120)의 적어도 일 측에 형성될 수 있다. 소오스/드레인 영역(150)은 제1 스페이서(141) 및 제3 스페이서(143) 상에 형성될 수 있다.
소오스/드레인 영역(150)의 외주면은 다양한 형상일 수 있다. 예를 들어, 소오스/드레인 영역(150)의 외주면은 다이아몬드 형상, 원 형상, 직사각형 형상, 팔각형 형상 중, 적어도 하나일 수 있다. 다만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
소오스/드레인 영역(150)은 채널 영역으로 사용되는 제1 나노와이어(131) 및 제2 나노와이어(132)와 직접 연결될 수 있다.
하지만, 소오스/드레인 영역(150)은 게이트 절연막(121)과 직접 접촉하지 않을 수 있다. 소오스/드레인 영역(150)과 게이트 절연막(121) 사이에, 제1 스페이서(141), 제2 스페이서(142) 및 제3 스페이서(143)가 위치할 수 있다.
즉, 소오스/드레인 영역(150)은 제1 내지 제3 스페이서(141, 142, 143)에 의해 게이트 절연막(121)과 접촉하지 않을 수 있다.
층간 절연막(160)은 제1 스페이서(141)의 일부, 제3 스페이서(143)의 일부 및 소오스/드레인 영역(150)을 덮도록 형성될 수 있다. 콘택(170)은 층간 절연막(160)을 관통하여 소오스/드레인 영역(150)과 연결될 수 있다. 이 경우, 콘택(170)과 소오스/드레인 영역(150) 사이에는 실리사이드막(171)이 형성될 수 있다.
이하에서, 도 2, 도 5 내지 18을 참조하여 본 발명의 기술적 사상에 따른 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명한다.
도 5 내지 도 18은 본 발명의 기술적 사상에 따른 몇몇 실시예에 따른 반도체 장치의 제조 방법을 도시한 중간 단계 도면들이다.
도 5를 참조하면, 기판(110)의 핀형 패턴(F) 상에, 희생층(102)과 반도체층(103)이 교대로 적층된 적층 구조물(101)을 형성한다.
각각의 희생층(102)은 서로 동일한 물질을 포함할 수 있고, 희생층(102)과 반도체층(103)은 서로 다른 물질을 포함할 수 있다. 본 발명의 기술적 사상에 따른 몇몇 실시예에 따른 반도체 장치의 제조 방법에서, 각각의 희생층(102)은 서로 동일한 물질을 포함하는 것으로 설명한다. 또한, 반도체층(103)은 희생층(102)에 대한 식각 선택비를 갖는 물질을 포함할 수 있다.
기판(110)과 반도체층(103)은 트랜지스터의 채널 영역으로 사용될 수 있는 물질을 포함할 수 있다. 즉, PMOS의 경우, 반도체층(103)은 정공의 이동도가 높은 물질을 포함할 수 있고, NMOS의 경우, 반도체층(103)은 전자의 이동도가 높은 물질을 포함할 수 있다.
희생층(102)은 반도체층(103)과 유사한 격자 상수 및 격자 구조를 갖는 물질을 포함할 수 있다. 즉, 희생층(102)은 반도체 물질일 수도 있고, 결정화된 금속 물질일 수도 있다.
본 발명의 기술적 사상에 따른 몇몇 실시예에 따른 반도체 장치의 제조 방법에서, 반도체층(103)은 실리콘을 포함하고, 희생층(102)은 실리콘 게르마늄을 각각 포함하는 것으로 설명한다.
도 5에서, 반도체층(103)이 2개인 것으로 도시하였지만, 설명의 편의를 위한 것을 뿐, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 즉, 희생층(102)과 반도체층(103)이 교대로 복수의 쌍을 이루고, 최상부 희생층(102) 상에 반도체층(103)이 형성될 수 있다.
또한, 도 5에서, 반도체층(103)이 적층 구조물(101)의 최상부에 위치하는 것으로 도시하였지만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 즉, 다른 몇몇 실시예에서, 희생층(102)이 적층 구조물(101)의 최상부에 위치할 수 있음은 물론이다.
도 6을 참조하면, 마스크 패턴(107)을 이용하여 식각 공정을 진행하여, 적층 구조물(101) 상에 적층 구조물(101)과 교차하여 제2 방향(Y)으로 연장되는 더미 게이트 구조물(104)을 형성할 수 있다.
더미 게이트 구조물(104)은 더미 게이트 절연막(105), 더미 게이트(106) 및 마스크 패턴(107)을 포함할 수 있다.
이 경우, 더미 게이트 절연막(105)은 적층 구조물(101)과 더미 게이트(106) 사이에 형성될 수 있다. 더미 게이트 절연막(105)은 예를 들어, 실리콘 산화막을 포함할 수 있고, 더미 게이트(106)는 예를 들어, 폴리 실리콘 또는 비정질 실리콘을 포함할 수 있다.
도 7을 참조하면, 적층 구조물(101)의 상면, 더미 게이트 절연막(105)의 측면, 더미 게이트(106)의 측면 및 마스크 패턴(107)을 덮도록 절연막(122)을 증착한다. 절연막(122)은 예를 들어, 실리콘 산탄질화물(SiOCN)을 포함할 수 있지만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
절연막(122) 상에 더미 게이트 스페이서막(108)을 컨포말하게 증착한다. 더미 게이트 스페이서막(108)은 예를 들어, 실리콘 산화물(SiO2)을 포함할 수 있지만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
도 8을 참조하면, 더미 스페이서막(108)을 에치백(etch-back)하여 더미 게이트(106)의 양 측벽 상에 더미 스페이서(109)를 형성할 수 있다.
이어서, 더미 스페이서(109) 및 더미 게이트 구조물(104)을 마스크로 이용하여 적층 구조물(101)을 식각하여 리세스(R)를 형성할 수 있다.
이로 인해, 희생층(102) 사이에 제1 나노와이어(131)가 형성되고, 희생층(102)과 더미 게이트 구조물(104) 사이에 제2 나노와이어(132)를 형성될 수 있다.
도 9를 참조하면, 리세스에 의해 노출된 희생층(102)의 일부를 식각할 수 있다. 이로 인해, 리세스(R)에 의해 노출되는 제1 및 제2 나노와이어(131, 132)의 단면보다 제1 방향(X)으로 오목하게 만입되어 들어간 형태의 희생층(102)이 형성될 수 있다. 복수의 반도체층 즉, 제1 나노와이어(131)와 제2 나노와이어(132) 사이에 제1 노출 영역(ER1)을 형성할 수 있다. 또한, 핀형 패턴(F)과 제1 나노와이어(131) 사이에도 제1 노출 영역(ER1)을 형성할 수 있다.
제1 노출 영역(ER1)을 형성하는 공정은 예를 들어, 선택적 식각 공정을 이용하여 수행될 수 있다. 구체적으로, 제1 노출 영역(ER1)을 형성하는 공정은 희생층(102)에 대한 식각 속도가 제1 및 제2 나노와이어(131, 132)에 대한 식각 속도보다 큰 에천트를 이용한 식각 공정을 통해 수행될 수 있다.
도 9에는 희생층(102)의 측면이 곡면인 형상으로 식각되는 것으로 도시되어 있지만, 다른 몇몇 실시예에서, 희생층(102)의 측면은 평면 형상을 가질 수 있다.
도 10 및 도 11을 참조하면, 더미 스페이서(109)를 제거할 수 있다. 절연막(122)의 프로파일, 마스크 패턴(107)의 상면, 제1 및 제2 나노와이어(131, 132)의 측벽, 희생층(102)의 측벽 및 핀형 패턴(F)의 상면을 따라 제1 스페이서막(141a)이 컨포말하게 형성되 수 있다.
제1 스페이서막(141a)은 원자층 증착(atomic layer deposition) 방법에 의해 형성될 수 있다. 다만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
제1 스페이서막(141a)은 예를 들어, 상술한 바와 같이, 실리콘 탄질화물(SiCN) 또는 실리콘 산탄질화물(SiOCN) 중 어느 하나를 포함할 수 있다.
제1 스페이서막(141a)이 형성되는 동안, 제1 나노와이어(131)와 제2 나노와이어(132) 사이에서, 제1 스페이서막(141a) 상에 제2 노출 영역(ER2)을 형성할 수 있다. 또한, 핀형 패턴(F)과 제1 나노와이어(131) 사이에서, 제1 스페이서막(141a) 상에도 제2 노출 영역(ER2)을 형성할 수 있다.
도 12를 참조하면, 제1 스페이서막(141a) 상에 제2 스페이서막(142a)을 컨포말하게 형성할 수 있다.
제2 스페이서막(142a)은 원자층 증착(atomic layer deposition) 방법에 의해 형성될 수 있다. 다만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
제2 스페이서막(142a)은 제1 스페이서막(141a)과 다른 물질을 포함할 수 있다. 예를 들어, 상술한 바와 같이, 제1 스페이서막(141a)이 실리콘 탄질화물(SiCN)을 포함하는 경우, 제2 스페이서막(142a)은 실리콘 질화물(SiN)을 포함할 수 있다.
또한, 예를 들어, 상술한 바와 같이, 제1 스페이서막(141a)이 실리콘 산탄질화물(SiOCN)을 포함하는 경우, 제2 스페이서막(142a)은 실리콘 산질화물(SiON)을 포함할 수 있다.
도 13을 참조하면, 습식 식각(wet etching) 공정을 이용하여 제2 스페이서막(142a)의 일부를 제거함으로써, 제2 노출 영역(ER2)의 제1 스페이서막(141a)의 일부 상에 제2 스페이서(142)를 형성할 수 있다.
구체적으로, 제2 스페이서(142)는 핀형 패턴(F)과 제1 나노와이어(131) 사이에서, 게이트 절연막(121)과 인접하게 제1 스페이서막(141a) 상에 형성될 수 있다. 또한, 제2 스페이서(142)는 제1 나노와이어(131)와 제2 나노와이어(132) 사이에서, 게이트 절연막(121)과 인접하게 제1 스페이서막(141a) 상에 형성될 수 있다.
제2 스페이서(142)가 형성되는 동안, 제1 나노와이어(131)와 제2 나노와이어(132) 사이에서, 제1 스페이서막(141a) 및 제2 스페이서(142) 상에 제3 노출 영역(ER3)을 형성할 수 있다. 또한, 핀형 패턴(F)과 제1 나노와이어(131) 사이에서, 제1 스페이서막(141a) 및 제2 스페이서(142) 상에도 제3 노출 영역(ER3)을 형성할 수 있다.
도 14를 참조하면, 제1 스페이서막(141a) 및 제2 스페이서(142) 상에 제3 스페이서막(143a)을 컨포말하게 형성할 수 있다.
제3 스페이서막(143a)은 원자층 증착(atomic layer deposition) 방법에 의해 형성될 수 있다. 다만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
제3 스페이서막(143a)은 제2 스페이서막(141a)과 동일한 물질을 포함할 수 있다. 예를 들어, 상술한 바와 같이, 제1 스페이서막(141a)이 실리콘 탄질화물(SiCN)을 포함하는 경우, 제2 스페이서막(142a) 및 제3 스페이서막(143a)은 실리콘 질화물(SiN)을 포함할 수 있다.
또한, 예를 들어, 상술한 바와 같이, 제1 스페이서막(141a)이 실리콘 산탄질화물(SiOCN)을 포함하는 경우, 제2 스페이서막(142a) 및 제3 스페이서막(143a)은 실리콘 산질화물(SiON)을 포함할 수 있다.
상술한 바와 같이, 일부가 식각된 희생층들(102) 사이에 제1 내지 제3 스페이서막(141a, 142a, 143a)을 순차적으로 증착함으로써, 희생층들(102)의 측면에 스페이서를 형성하는 과정에서 보이드(void)가 형성되는 것을 방지할 수 있다.
구체적으로, 제1 스페이서막(141a)을 증착한 후에, 제1 스페이서막(141a)보다 식각 내성이 작은 제2 스페이서막(142a)을 증착하고, 습식 식각(wet etching) 공정을 이용하여 제2 스페이서막(142a)을 식각함으로써 제2 스페이서막(142a)의 일부를 제2 노출 영역(도 11의 ER2)에 잔존시킬 수 있다. 이어서, 제3 스페이서막(143a)을 제1 스페이서막(141a) 및 제2 스페이서막(142a) 상에 증착시킴으로써 희생층들(102)의 측면에 스페이서를 형성하는 과정에서 보이드(void)가 형성되는 것을 방지할 수 있다.
도 15를 참조하면, 마스크 패턴(107), 더미 게이트(106)의 측벽에 형성된 제1 및 제3 스페이서막(141a, 143a)을 마스크로 이용하여 식각함으로써, 제1 및 제2 나노와이어(131, 132)의 측벽에 형성된 제1 및 제3 스페이서막(141a, 143a)과, 핀형 패턴(F) 상에 형성된 제1 및 제3 스페이서막(141a, 143a)의 일부를 제거할 수 있다.
이로 인해, 더미 게이트 구조물(104)의 측벽 상에 제1 스페이서(141) 및 제3 스페이서(143)를 포함하는 외부 스페이서를 형성할 수 있다. 또한, 각각의 희생층(102)의 측벽 상에 제1 스페이서(141), 제2 스페이서(142) 및 제3 스페이서(143)를 포함하는 내부 스페이서를 형성할 수 있다.
도 16을 참조하면, 리세스(R)에 에피택셜 공정을 통해 소오스/드레인 영역(150)을 형성할 수 있다.
도 17을 참조하면, 소오스/드레인 영역(150), 제1 스페이서(141), 제3 스페이서(143), 절연막(122) 및 마스크 패턴(107)을 덮는 층간 절연막(160)을 필드 절연막(111) 상에 형성할 수 있다.
이어서, 마스크 패턴(107), 더미 게이트(106) 및 더미 게이트 절연막(105)을 제거할 수 있다. 이로 인해, 더미 게이트(106)와 오버랩되었던 제1 나노와이어(131) 및 제2 나노와이어(132)가 노출될 수 있다.
도 18을 참조하면, 더미 게이트 절연막(105) 및 더미 게이트(106)가 제거된 영역에 게이트 절연막(121) 및 게이트 전극(120)을 형성할 수 있다. 이어서, 층간 절연막(160)을 관통하도록 콘택(170) 및 실리사이드막(171)를 형성함으로써 도 2에 도시된 반도체 장치를 제조할 수 있다.
이하에서, 도 19 및 도 20을 참조하여 본 발명의 기술적 사상에 따른 다른 몇몇 실시예에 따른 반도체 장치 및 반도체 장치의 제조 방법을 설명한다. 도 2, 도 5 내지 도 18에 도시된 반도체 장치 및 반도체 장치의 제조 방법과의 차이점을 중심으로 설명한다.
도 19는 본 발명의 기술적 사상에 따른 다른 몇몇 실시예에 따른 반도체 장치를 도시한 단면도이다. 도 20은 본 발명의 기술적 사상에 따른 다른 몇몇 실시예에 따른 반도체 장치의 제조 방법을 도시한 중간 단계 도면이다.
도 19를 참조하면, 본 발명의 기술적 사상에 따른 몇몇 실시예에 따른 반도체 장치에서, 제2 스페이서(242)는 핀형 패턴(F)과 제1 나노와이어(131)의 하면 상에 형성된 제1 스페이서(141) 상에 컨포말하게 형성된다.
제2 스페이서(242)는 후술하는 바와 같이, 제2 스페이서(242)를 형성하는 과정에서, 제2 스페이서막의 일부를 건식 식각(dry etching) 공정을 통해 식각함으로써 형성될 수 있다.
도 20을 참조하면, 본 발명의 기술적 사상에 따른 몇몇 실시예에 따른 반도체 장치의 제조 방법에서, 도 5 내지 도 12에 도시된 공정을 수행한 후에, 건식 식각 공정을 통해 제2 스페이서막의 일부를 제거할 수 있다.
이로 인해, 도 20에 도시된 바와 같이, 제2 스페이서(242)의 말단이 제1 및 제2 나노와이어(131, 132)의 측면 상에 형성된 제1 스페이서막(141a)과 얼라인될 수 있다.
이 경우, 제1 스페이서(141)는 실리콘 탄질화물(SiCN)을 포함할 수 있고, 제2 스페이서(242)는 실리콘 질화물(SiN)을 포함할 수 있다. 다만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 즉, 다른 몇몇 실시예에서, 제1 스페이서(141)는 실리콘 질화물(SiN)을 포함할 수 있고, 제2 스페이서(242)는 실리콘 탄질화물(SiCN)을 포함할 수 있다.
이하에서, 도 21 내지 도 24를 참조하여 본 발명의 기술적 사상에 따른 또 다른 몇몇 실시예에 따른 반도체 장치 및 반도체 장치의 제조 방법을 설명한다. 도 2, 도 5 내지 도 18에 도시된 반도체 장치 및 반도체 장치의 제조 방법과의 차이점을 중심으로 설명한다.
도 21은 본 발명의 기술적 사상에 따른 또 다른 몇몇 실시예에 따른 반도체 장치를 도시한 단면도이다. 도 22 내지 도 24는 본 발명의 기술적 사상에 따른 또 다른 몇몇 실시예에 따른 반도체 장치의 제조 방법을 도시한 중간 단계 도면들이다.
도 21을 참조하면, 본 발명의 기술적 사상에 따른 몇몇 실시예에 따른 반도체 장치에서, 핀형 패턴(F)과 제1 나노와이어(131) 사이 및 제1 나노와이어(131)와 제2 나노와이어(132) 사이에서, 게이트 전극(120)의 양 측에 형성되는 스페이서가 제1 스페이서(141), 제2 스페이서(142), 제3 스페이서(343) 및 제4 스페이서(344)를 포함한다.
구체적으로, 핀형 패턴(F)과 제1 나노와이어(131) 사이 및 제1 나노와이어(131)와 제2 나노와이어(132) 사이에서, 제1 스페이서(141) 및 제2 스페이서(142) 상에 제3 스페이서(343)가 형성되고, 제3 스페이서(343) 상에 제4 스페이서(344)가 형성될 수 있다.
이 경우, 제4 스페이서(344)는 제2 스페이서(142) 및 제3 스페이서(343)와 동일한 물질을 포함할 수 있다. 다만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
도 22를 참조하면, 본 발명의 기술적 사상에 따른 몇몇 실시예에 따른 반도체 장치의 제조 방법에서, 도 5 내지 도 13에 도시된 공정을 수행한 후에, 제1 스페이서막(141a) 및 제2 스페이서(142) 상에 제3 스페이서막(343a)을 컨포말하게 형성할 수 있다.
도 23을 참조하면, 습식 식각(wet etching) 공정을 이용하여 제3 스페이서막(343a)의 일부를 제거함으로써, 제1 스페이서막(141a)의 일부 및 제2 스페이서(142) 상에 제3 스페이서(343)를 형성할 수 있다.
도 24를 참조하면, 제1 스페이서막(141a) 및 제3 스페이서(343) 상에 제4 스페이서막(344a)을 컨포말하게 형성할 수 있다. 후속하는 식각 공정을 통해 제1 스페이서(141) 및 제3 스페이서(343) 상에 제4 스페이서(344)를 형성할 수 있다.
이하에서, 도 25 내지 도 27을 참조하여 본 발명의 기술적 사상에 따른 또 다른 몇몇 실시예에 따른 반도체 장치 및 반도체 장치의 제조 방법을 설명한다. 도 2, 도 5 내지 도 18에 도시된 반도체 장치 및 반도체 장치의 제조 방법과의 차이점을 중심으로 설명한다.
도 25는 본 발명의 기술적 사상에 따른 또 다른 몇몇 실시예에 따른 반도체 장치를 도시한 단면도이다. 도 26 및 도 27은 본 발명의 기술적 사상에 따른 또 다른 몇몇 실시예에 따른 반도체 장치의 제조 방법을 도시한 중간 단계 도면들이다.
도 25를 참조하면, 본 발명의 기술적 사상에 따른 몇몇 실시예에 따른 반도체 장치에서, 외부 스페이서는 제1 스페이서(141), 제3 스페이서(443) 및 제5 스페이서(444)를 포함한다. 또한, 내부 스페이서는 제1 스페이서(141), 제2 스페이서(142), 제3 스페이서(443) 및 제5 스페이서(444)를 포함한다.
구체적으로, 층간 절연막(160) 내에 형성된 외부 스페이서는 절연막(122) 상에 형성된 제1 스페이서(141), 제1 스페이서(141) 상에 형성된 제3 스페이서(443) 및 제3 스페이서(443) 상에 형성된 제5 스페이서(444)를 포함할 수 있다. 또한, 핀형 패턴(F)과 제1 나노와이어(131) 사이 및 제1 나노와이어(131)와 제2 나노와이어(132) 사이에 형성된 내부 스페이서는 제1 스페이서(141), 제1 스페이서(141) 상에 형성된 제2 스페이서(142), 제1 및 제2 스페이서(141, 142) 상에 형성된 제3 스페이서(443) 및 제3 스페이서(443) 상에 형성된 제5 스페이서(444)를 포함할 수 있다.
이 경우, 제3 스페이서(443)는 제1 스페이서(141)와 동일한 물질을 포함할 수 있고, 제5 스페이서(444)는 제2 스페이서(142)와 동일한 물질을 포함할 수 있다. 다만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
도 26을 참조하면, 본 발명의 기술적 사상에 따른 몇몇 실시예에 따른 반도체 장치의 제조 방법에서, 도 5 내지 도 13에 도시된 공정을 수행한 후에, 제1 스페이서막(141a) 및 제2 스페이서(142) 상에 제3 스페이서막(443a)을 컨포말하게 형성할 수 있다. 이 경우, 제3 스페이서막(443a)은 제1 스페이서막(141a)과 동일한 물질을 포함할 수 있다.
도 27을 참조하면, 제3 스페이서막(443a) 상에 제5 스페이서막(444a)을 컨포말하게 형성할 수 있다. 이 경우, 제5 스페이서막(444a)은 제2 스페이서(142)와 동일한 물질을 포함할 수 있다. 후속하는 식각 공정을 통해 제3 스페이서(443) 상에 제5 스페이서(444)를 형성할 수 있다.
이상 첨부된 도면을 참조하여 본 발명의 기술적 사상에 따른 실시예들을 설명하였으나, 본 발명은 상기 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 제조될 수 있으며, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명의 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.
101: 적층 구조물 104: 더미 게이트 구조물
109: 더미 게이트 스페이서 110: 기판
120: 게이트 전극 122: 절연막
131: 제1 나노와이어 132: 제2 나노와이어
141: 제1 스페이서 142: 제2 스페이서
150: 소오스/드레인 영역 170: 콘택
171: 실리사이드막

Claims (10)

  1. 기판 상에 희생층 및 반도체층이 교대로 적층된 적층 구조물을 형성하고,
    상기 적층 구조물 상에 더미 게이트 구조물을 형성하고,
    상기 더미 게이트 구조물을 마스크로 이용하여 상기 적층 구조물을 식각하여 리세스를 형성하고,
    상기 리세스에 의해 노출된 상기 희생층의 일부를 식각하고,
    식각된 상기 희생층 상에 제1 스페이서막을 형성하고,
    상기 제1 스페이서막 상에 상기 제1 스페이서막과 다른 물질을 포함하는 제2 스페이서막을 형성하고,
    상기 제2 스페이서막의 일부를 제거하고,
    잔존하는 상기 제2 스페이서막 상에 제3 스페이서막을 형성하는 것을 포함하는 반도체 장치의 제조 방법.
  2. 제 1항에 있어서,
    상기 더미 게이트 구조물을 형성하는 것은,
    상기 적층 구조물 상에 더미 게이트를 형성하고,
    상기 적층 구조물의 상면 및 상기 더미 게이트를 덮도록 절연막을 컨포말하게 증착하고,
    상기 절연막 상에 더미 게이트 스페이서막을 컨포말하게 증착하고,
    상기 더미 게이트 스페이서막을 에치백(etch-back)하여 상기 더미 게이트의 측벽에 더미 게이트 스페이서를 형성하는 것을 포함하는 반도체 장치의 제조 방법.
  3. 제 1항에 있어서,
    상기 제2 스페이서막의 일부를 제거하는 것은,
    습식 식각(wet etching) 공정을 이용하여 복수의 상기 반도체층 사이에 형성된 상기 제2 스페이서막의 일부를 제거하는 것을 포함하는 반도체 장치의 제조 방법.
  4. 제 1항에 있어서,
    상기 제2 스페이서막의 일부를 제거하는 것은,
    건식 식각(dry etching) 공정을 이용하여 복수의 상기 반도체층보다 측면 방향으로 돌출된 상기 제2 스페이서막의 일부를 제거하는 것을 포함하는 반도체 장치의 제조 방법.
  5. 제 1항에 있어서,
    상기 제1 스페이서막은 실리콘 탄질화물(SiCN)을 포함하고, 상기 제2 스페이서막은 실리콘 질화물(SiN)을 포함하는 반도체 장치의 제조 방법.
  6. 제 1항에 있어서,
    상기 제3 스페이서막을 형성한 후에,
    상기 제3 스페이서막의 일부를 제거하고,
    잔존하는 상기 제3 스페이서막 상에 상기 제3 스페이서막과 동일한 물질을 포함하는 제4 스페이서막을 형성하는 것을 더 포함하는 반도체 장치의 제조 방법.
  7. 제 1항에 있어서,
    상기 제3 스페이서막을 형성한 후에,
    상기 제3 스페이서막 상에 제5 스페이서막을 형성하는 것을 더 포함하는 반도체 장치의 제조 방법.
  8. 기판 상에 희생층 및 반도체층이 교대로 적층된 적층 구조물을 형성하고,
    상기 적층 구조물 상에 더미 게이트 구조물을 형성하고,
    상기 더미 게이트 구조물을 마스크로 이용하여 상기 적층 구조물을 식각하여 리세스를 형성하고,
    상기 희생층의 일부를 식각하여, 복수의 상기 반도체층 사이에 제1 노출 영역을 형성하고,
    상기 제1 노출 영역의 상기 희생층 및 상기 반도체층 상에 제1 스페이서막을 컨포말하게 형성하여, 상기 제1 스페이서막 사이에 제2 노출 영역을 형성하고,
    상기 제2 노출 영역의 상기 제1 스페이서막 상에 제2 스페이서막을 컨포말하게 형성하고,
    상기 제2 노출 영역에 형성된 상기 제2 스페이서막의 일부를 식각하여 제3 노출 영역을 형성하고,
    상기 제3 노출 영역의 상기 제1 및 제2 스페이서막 상에 제3 스페이서막을 형성하는 것을 포함하는 반도체 장치의 제조 방법.
  9. 제 8항에 있어서,
    상기 제3 스페이서막은 상기 제2 스페이서막과 동일한 물질을 포함하는 반도체 장치의 제조 방법.
  10. 기판 상에 희생층 및 반도체층이 교대로 적층된 적층 구조물을 형성하고,
    상기 적층 구조물 상에 더미 게이트를 형성하고,
    상기 더미 게이트의 측벽에 더미 게이트 스페이서를 형성하고,
    상기 더미 게이트 및 상기 더미 게이트 스페이서를 마스크로 이용하여 상기 적층 구조물을 식각하여 리세스를 형성하고,
    상기 리세스에 의해 노출된 상기 희생층의 일부를 식각하고,
    상기 더미 게이트 스페이서를 제거하고,
    상기 더미 게이트, 상기 반도체층 및 상기 희생층 상에 제1 스페이서막을 컨포말하게 형성하고,
    상기 제1 스페이서막 상에 상기 제1 스페이서막과 다른 물질을 포함하는 제2 스페이서막을 컨포말하게 형성하고,
    상기 희생층의 측면 상에 형성된 상기 제2 스페이서막의 일부를 제외한 나머지 상기 제2 스페이서막을 제거하고,
    상기 제1 스페이서막 및 상기 제2 스페이서막 상에 제3 스페이서막을 형성하는 것을 포함하는 반도체 장치의 제조 방법.
KR1020170082278A 2017-06-29 2017-06-29 반도체 장치의 제조 방법 KR102277610B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020170082278A KR102277610B1 (ko) 2017-06-29 2017-06-29 반도체 장치의 제조 방법
US15/865,531 US10403739B2 (en) 2017-06-29 2018-01-09 Method for fabricating semiconductor device
CN201810593036.3A CN109216197B (zh) 2017-06-29 2018-06-08 制造半导体器件的方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020170082278A KR102277610B1 (ko) 2017-06-29 2017-06-29 반도체 장치의 제조 방법

Publications (2)

Publication Number Publication Date
KR20190002005A KR20190002005A (ko) 2019-01-08
KR102277610B1 true KR102277610B1 (ko) 2021-07-14

Family

ID=64738283

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170082278A KR102277610B1 (ko) 2017-06-29 2017-06-29 반도체 장치의 제조 방법

Country Status (3)

Country Link
US (1) US10403739B2 (ko)
KR (1) KR102277610B1 (ko)
CN (1) CN109216197B (ko)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102399071B1 (ko) * 2017-11-17 2022-05-17 삼성전자주식회사 반도체 장치
US10600889B2 (en) * 2017-12-22 2020-03-24 International Business Machines Corporation Nanosheet transistors with thin inner spacers and tight pitch gate
US10566445B2 (en) * 2018-04-03 2020-02-18 International Business Machines Corporation Gate spacer and inner spacer formation for nanosheet transistors having relatively small space between gates
US10971585B2 (en) 2018-05-03 2021-04-06 International Business Machines Corporation Gate spacer and inner spacer formation for nanosheet transistors having relatively small space between adjacent gates
US11043578B2 (en) * 2018-08-30 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Nanowire stack GAA device with inner spacer
US11239359B2 (en) * 2018-09-29 2022-02-01 International Business Machines Corporation Fabricating a gate-all-around (GAA) field effect transistor having threshold voltage asymmetry by thinning source side lateral end portion of the nanosheet layer
US11145743B2 (en) * 2019-04-29 2021-10-12 International Business Machines Corporation Transistor device having a comb-shaped channel region to increase the effective gate width
US10818559B1 (en) * 2019-04-29 2020-10-27 International Business Machines Corporation Formation of multi-segment channel transistor devices
KR20200136230A (ko) 2019-05-27 2020-12-07 삼성전자주식회사 집적회로 장치 및 그 제조 방법
US11430892B2 (en) * 2019-05-29 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Inner spacers for gate-all-around transistors
CN112018113A (zh) * 2019-05-29 2020-12-01 台湾积体电路制造股份有限公司 半导体装置及其形成方法
KR20200142153A (ko) * 2019-06-11 2020-12-22 삼성전자주식회사 반도체 소자
US11222948B2 (en) * 2019-09-27 2022-01-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of fabricating the semiconductor structure
US11894368B2 (en) 2019-12-26 2024-02-06 Intel Corporation Gate-all-around integrated circuit structures fabricated using alternate etch selective material
US11664420B2 (en) * 2019-12-26 2023-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
KR20210091478A (ko) * 2020-01-14 2021-07-22 삼성전자주식회사 반도체 장치
DE102020119963A1 (de) 2020-01-30 2021-08-05 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren
US11444177B2 (en) 2020-01-30 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11495682B2 (en) * 2020-02-27 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11495661B2 (en) * 2020-04-07 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including gate barrier layer
US11664441B2 (en) * 2020-08-13 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Nanosheet field-effect transistor device and method of forming
US20220262925A1 (en) * 2021-02-12 2022-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Nano-Fet Semiconductor Device and Method of Forming
US11973122B2 (en) * 2021-03-26 2024-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Nano-FET semiconductor device and method of forming
US20220310398A1 (en) 2021-03-26 2022-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Contact Resistance Reduction for Transistors
US20230027413A1 (en) * 2021-07-21 2023-01-26 International Business Machines Corporation Recovering Top Spacer Width of Nanosheet Device
US20230069198A1 (en) * 2021-08-28 2023-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing thereof

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160064482A1 (en) 2014-02-14 2016-03-03 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US20160141360A1 (en) 2014-11-19 2016-05-19 International Business Machines Corporation Iii-v semiconductor devices with selective oxidation

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4631833B2 (ja) * 2006-09-04 2011-02-16 ソニー株式会社 半導体装置
KR100877257B1 (ko) 2006-12-26 2009-01-08 동부일렉트로닉스 주식회사 반도체 소자의 트렌치 매립방법
FR2945891B1 (fr) 2009-05-19 2011-07-15 Commissariat Energie Atomique Structure semiconductrice et procede de realisation d'une structure semiconductrice.
KR20110136273A (ko) * 2010-06-14 2011-12-21 삼성전자주식회사 수직형 반도체 소자의 제조 방법
KR101784324B1 (ko) * 2011-04-18 2017-11-06 삼성전자 주식회사 반도체 장치의 제조 방법
US8551833B2 (en) * 2011-06-15 2013-10-08 International Businesss Machines Corporation Double gate planar field effect transistors
KR101929453B1 (ko) * 2012-03-27 2018-12-14 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9484447B2 (en) 2012-06-29 2016-11-01 Intel Corporation Integration methods to fabricate internal spacers for nanowire devices
CN104425374B (zh) * 2013-08-27 2017-10-20 中芯国际集成电路制造(北京)有限公司 一种半导体器件的制造方法
US9570609B2 (en) 2013-11-01 2017-02-14 Samsung Electronics Co., Ltd. Crystalline multiple-nanosheet strained channel FETs and methods of fabricating the same
US9136332B2 (en) * 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
KR20150144192A (ko) * 2014-06-16 2015-12-24 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9431512B2 (en) 2014-06-18 2016-08-30 Globalfoundries Inc. Methods of forming nanowire devices with spacers and the resulting devices
US9391163B2 (en) * 2014-10-03 2016-07-12 International Business Machines Corporation Stacked planar double-gate lamellar field-effect transistor
CN105590856B (zh) * 2014-10-24 2019-01-25 中芯国际集成电路制造(上海)有限公司 一种纳米线器件的制作方法
US9472414B2 (en) 2015-02-13 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned multiple spacer patterning process
KR102325894B1 (ko) * 2015-06-10 2021-11-12 삼성전자주식회사 반도체 소자 및 이의 제조방법
US9647071B2 (en) * 2015-06-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. FINFET structures and methods of forming the same
US9613871B2 (en) * 2015-07-16 2017-04-04 Samsung Electronics Co., Ltd. Semiconductor device and fabricating method thereof
US10050147B2 (en) * 2015-07-24 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9647139B2 (en) 2015-09-04 2017-05-09 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer
US9590038B1 (en) * 2015-10-23 2017-03-07 Samsung Electronics Co., Ltd. Semiconductor device having nanowire channel
US10096673B2 (en) * 2016-02-17 2018-10-09 International Business Machines Corporation Nanowire with sacrificial top wire
US9941405B2 (en) * 2016-03-21 2018-04-10 Samsung Electronics Co., Ltd. Nanosheet and nanowire devices having source/drain stressors and methods of manufacturing the same
US9660028B1 (en) * 2016-10-31 2017-05-23 International Business Machines Corporation Stacked transistors with different channel widths
US9799748B1 (en) * 2017-01-04 2017-10-24 Globalfoundries Inc. Method of forming inner spacers on a nano-sheet/wire device

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160064482A1 (en) 2014-02-14 2016-03-03 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US20160141360A1 (en) 2014-11-19 2016-05-19 International Business Machines Corporation Iii-v semiconductor devices with selective oxidation

Also Published As

Publication number Publication date
US10403739B2 (en) 2019-09-03
US20190006485A1 (en) 2019-01-03
CN109216197A (zh) 2019-01-15
CN109216197B (zh) 2024-04-02
KR20190002005A (ko) 2019-01-08

Similar Documents

Publication Publication Date Title
KR102277610B1 (ko) 반도체 장치의 제조 방법
US11923456B2 (en) Semiconductor devices
US11139381B2 (en) Semiconductor device with gate-all-around (GAA) FETs having inner insulating spacers
US10181510B2 (en) Semiconductor device and method for fabricating the same
CN106816381B (zh) 半导体装置及其制造方法
US10847515B2 (en) Semiconductor devices with nanowires and methods for fabricating the same
US20190074362A1 (en) Semiconductor devices including recessed source/drain silicides and methods of forming the same
KR102343209B1 (ko) 반도체 장치 및 그 제조 방법
TWI772699B (zh) 半導體元件的製造方法及其元件
US10629742B2 (en) Semiconductor device and method of fabricating the same
US11894446B2 (en) Method of manufacturing a semiconductor device
KR20220010843A (ko) 반도체 장치 및 그 제조 방법
KR20210047408A (ko) 반도체 소자 및 이의 제조 방법
KR20220112939A (ko) 반도체 장치
KR20200141142A (ko) 반도체 장치
US20230215867A1 (en) Semiconductor device
US20240128321A1 (en) Semiconductor device including blocking layer and source/drain structure
US20230343838A1 (en) Semiconductor device and manufacturing method thereof
TW202312434A (zh) 半導體閘極及其形成方法

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant