JP2008516462A5 - - Google Patents

Download PDF

Info

Publication number
JP2008516462A5
JP2008516462A5 JP2007536733A JP2007536733A JP2008516462A5 JP 2008516462 A5 JP2008516462 A5 JP 2008516462A5 JP 2007536733 A JP2007536733 A JP 2007536733A JP 2007536733 A JP2007536733 A JP 2007536733A JP 2008516462 A5 JP2008516462 A5 JP 2008516462A5
Authority
JP
Japan
Prior art keywords
heat transfer
substrate
transfer system
pin
electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007536733A
Other languages
English (en)
Other versions
JP2008516462A (ja
JP5006200B2 (ja
Filing date
Publication date
Priority claimed from US10/962,568 external-priority patent/US7244311B2/en
Application filed filed Critical
Publication of JP2008516462A publication Critical patent/JP2008516462A/ja
Publication of JP2008516462A5 publication Critical patent/JP2008516462A5/ja
Application granted granted Critical
Publication of JP5006200B2 publication Critical patent/JP5006200B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Description

好ましい実施形態では、熱伝達システムは、均一性ペデスタルの支持面と熱伝達部材との間の大きな温度差ΔTを与える。好ましくは、ΔTは、少なくとも約200Kまたは少なくとも約300Kである。一般に、基板と基板支持面との間の熱伝達HをH〜kΔTと表すことができる。ただしkは熱伝達係数である。したがって、熱伝達係数を増大させることによって、及び/または、基板とその下にある基板支持体との間の温度勾配を増大させることによって、熱伝達を増大させることができる。
ピンベース250は、基板支持ピン320の配列を支持するように構成される。ボアの配列は、上部壁にわたって横方向に延在し、上部壁を上面261から下面262まで通過する。各ボアは、基板支持ピン320を収容するような寸法に作られる。各基板支持ピン320は、ボア内で摺動可能にはめ込まれるように構成される。好ましくは、ボアは、密な配列(例えば細密パターン、同心円のパターン、正方形配列など)に配列される。例えば、ボアは、隣接する(すなわち最も近い)ボア間の距離が5mm未満、好ましくは約3mm未満となるように、密な配列で配される。このように、基板支持ピン320は、ボアのパターンと同じパターンで配列される。集合的に、支持ピン320は、基板の下面の表面積より大きい、基板の下面の表面積と等しい、又は、基板の下面の表面積より小さい表面積を有するピン配列300を形成する。

Claims (36)

  1. 基板の上面を半導体処理するために前記基板を支持するように構成された熱伝達システムであって、
    熱伝達部材の上に重なり、前記熱伝達部材と熱接触するピンベースであって、上部壁と、下部壁と、前記上部壁と前記下部壁との間に延びて前記上部壁と前記下部壁との間の空洞を規定する側壁とを有し、前記上部壁が複数のボアの配列を含むピンベースと、
    前記複数のボアの1つに摺動可能にそれぞれ配置される基板支持ピンの配列であって、各基板支持ピンが前記ピンベースと熱接触し上端にコンタクトチップを含む基板支持ピンの配列と、
    前記空洞と流体を介して連絡し、各支持ピンを上方向に移動させるのに十分な量だけ前記空洞に加圧ガスを供給するように構成された加圧ガス源と
    を備えることを特徴とする熱伝達システム。
  2. 前記ピン配列は、支持ピンの密な配列を含む
    ことを特徴とする請求項1に記載の熱伝達システム。
  3. 前記支持ピンが約5mm以下の間隔で配置されること、及び、前記支持ピンの直径が約0.5mmと3mmの間であること、の少なくとも一方を
    特徴とする請求項1に記載の熱伝達システム。
  4. 前記支持ピンのそれぞれは、前記複数のボアの1つの中で摺動するように構成された円筒形ピン本体を含み、
    前記円筒形ピン本体は、実質的に一定の外径を有し、
    前記複数のボアのそれぞれは、実質的に一定の内径を有し、
    前記円筒形ピン本体の前記外径は、前記円筒形ピン本体が配置される前記ボアの前記内径より約0.1から5%小さい
    ことを特徴とする請求項1に記載の熱伝達システム。
  5. 前記支持ピンが金属または半導体を含むこと、及び、前記ピンベースが金属または半導体を含むこと、少なくとも一方を
    特徴とする請求項1に記載の熱伝達システム。
  6. 前記支持ピンと前記ピンベースの上面との少なくとも一方は、導電性の金属及び耐スパッタ性の金属の少なくとも一方、又は半導体被覆される
    ことを特徴とする請求項1に記載の熱伝達システム。
  7. 前記支持ピンおよび前記ピンベースは、前記基板が前記支持ピン上に支持されたときに前記基板から前記熱伝達部材に熱エネルギーを伝達するように構成される
    ことを特徴とする請求項1に記載の熱伝達システム。
  8. 前記ピンベースは、はんだ、ろう付け材料、または接着剤を介して前記熱伝達部材にボンディングされる
    ことを特徴とする請求項1に記載の熱伝達システム。
  9. 前記基板の裏面の温度を測定するように構成された少なくとも1つの温度センサをさらに備える
    ことを特徴とする請求項1に記載の熱伝達システム。
  10. 前記支持ピンは、前記支持ピンの上方向または下方向の動きを制限するように構成された少なくとも1つのストップをさらに備える
    ことを特徴とする請求項1に記載の熱伝達システム。
  11. 前記コンタクトチップは、前記基板が前記支持ピンと物理的に接触しているときに前記基板と前記基板支持ピンとの間の熱接触を最大にするように構成される
    ことを特徴とする請求項1に記載の熱伝達システム。
  12. 各コンタクトチップは、約0.3ミクロン未満の平均表面粗さを有する接触面を有する
    ことを特徴とする請求項1に記載の熱伝達システム。
  13. 各コンタクトチップは、実質的に平坦であり、または、実質的に半球形である
    ことを特徴とする請求項1に記載の熱伝達システム。
  14. 前記支持ピンのそれぞれは、前記基板の裏面と接触することによって下方向に変位するように構成され、
    前記支持ピンのそれぞれは、前記空洞内の正のガス圧によって上方向に変位するように構成される
    ことを特徴とする請求項1に記載の熱伝達システム。
  15. 各支持ピンの全垂直可動範囲は、約5mm未満である
    ことを特徴とする請求項1に記載の熱伝達システム。
  16. 前記ピン配列は、少なくとも1000個の支持ピンを含む
    ことを特徴とする請求項1に記載の熱伝達システム。
  17. 前記熱伝達部材は、熱流体源と流体を介して連絡する流路を有し、
    前記熱流体源は、前記熱流体を前記流路に供給するように構成され、
    前記熱流体は、水、液体ヘリウム、液体窒素、エチレングリコール、プロピレングリコールおよびそれらの混合物からなるグループから選択される
    ことを特徴とする請求項1に記載の熱伝達システム。
  18. 前記熱伝達部材の頂部に複数の熱電素子をさらに備える
    ことを特徴とする請求項1に記載の熱伝達システム。
  19. 前記熱電素子は、同心円状に配置される
    ことを特徴とする請求項18に記載の熱伝達システム。
  20. 前記ピンベース内に設けられ、加圧ガスを前記空洞に流すためのガス供給入口と、
    前記空洞から圧力ガスを解放するための任意のガス出口と、
    をさらに備え、
    前記加圧ガスは、ヘリウム、窒素、およびアルゴンからなるグループから選択される
    ことを特徴とする請求項1に記載の熱伝達システム。
  21. 前記基板の上面に隣接した位置にプラズマを生成するように構成されたRF電極をさらに備え、
    前記ピンベースの底面は、前記RF電極の上面にボンディングされ、
    前記RF電極の底面は、前記熱伝達部材の上面にボンディングされ、
    前記ボンディングは、はんだ、ろう付け材料、または接着剤を含む
    ことを特徴とする請求項1に記載の熱伝達システム。
  22. 前記RF電極の上に配されたエッジリングと、
    インピーダンス整合層と、
    をさらに備え、
    前記エッジリングは、プラズマから前記RF電極および前記ピンベースを遮蔽するように構成され、
    前記エッジリングは、前記基板が前記熱伝達システムによって支持されるときに前記RF電極と前記基板との間に配されるように構成された第1部分を有し、
    前記インピーダンス整合層は、前記RF電極と前記エッジリングとの間に配され、
    前記インピーダンス整合層は、前記RF電極及び前記エッジリングの少なくとも一方にボンディングされ、
    前記インピーダンス整合層は、前記RF電極とプラズマとの間のインピーダンスを制御するように構成され、
    前記インピーダンスは、前記基板の前記上面にわたる処理の均一性を改善するように前記電場に影響を及ぼすように構成される
    ことを特徴とする請求項21に記載の熱伝達システム。
  23. 前記基板は、半導体のウェハであり、
    前記RF電極の直径は、前記ウェハの直径より小さい、あるいは、前記ウェハの直径より大きい、あるいは、前記ウェハの直径に等しく、
    前記RF電極の直径は、前記ウェハの直径から約2mmを引いたもの以上であり、またはウェハの直径に約2mmを加えたもの以下である
    ことを特徴とする請求項21に記載の熱伝達システム。
  24. 請求項21に記載の熱伝達システムを備える処理チャンバ内の基板を処理する方法であって、
    前記支持ピン上に前記基板を支持する工程と、
    前記空洞内の前記ガス圧を制御することによって前記コンタクトチップの大部分を前記基板の裏面と接触させる工程と、
    前記基板を処理する工程と、
    を含むことを特徴とする方法。
  25. 前記処理チャンバは、プラズマエッチングチャンバであり、
    前記基板を処理する工程は、
    前記基板の前記上面に隣接した位置にプラズマを生成する工程と、
    前記基板の前記上面の露出層を前記プラズマでエッチングする工程と、
    を含む
    ことを特徴とする請求項24に記載の方法。
  26. 前記基板を処理する工程は、前記基板の前記上面に層を形成する工程を含む
    ことを特徴とする請求項24に記載の方法。
  27. 前記空洞内の前記ガス圧は、処理中に前記コンタクトチップの少なくとも95%を前記基板の裏面と熱接触した状態に維持するのに効果的なレベルに維持される
    ことを特徴とする請求項24に記載の方法。
  28. 熱流体を前記熱伝達部材内で循環させることにより、処理中に前記熱伝達部材を約100K未満の温度まで冷却する工程を含む
    ことを特徴とする請求項24に記載の方法。
  29. 熱流体を前記熱伝達部材内で循環させること、及び、前記基板支持ピンの温度を制御するための複数の熱電モジュールに電流を供給すること、の少なくとも一方により、処理中に前記基板を約450K未満の温度まで冷却する工程を含む
    ことを特徴とする請求項24に記載の方法。
  30. 前記熱伝達部材と前記基板との間で少なくとも約200Kまたは少なくとも約300Kの温度勾配を維持する工程を含む
    ことを特徴とする請求項24に記載の方法。
  31. 基板を処理をする工程は、化学的気相成長、プラズマ気相成長、物理的気相成長、スパッタリング、イオン注入、プラズマエッチング、またはレジスト剥離を含む
    ことを特徴とする請求項24に記載の方法。
  32. 前記基板をクランプすることなく前記基板を支持および処理する工程を含む
    ことを特徴とする請求項24に記載の方法。
  33. 前記処理チャンバは、上部シャワーヘッド電極および底部電極を含む2重周波数容量結合プラズマリアクタを備え、
    前記2重周波数容量結合プラズマリアクタでは、RFエネルギーが2つの異なる周波数で前記底部電極に供給され、または、異なる第1および第2周波数で前記シャワーヘッド電極および底部電極に供給される
    ことを特徴とする請求項24に記載の方法。
  34. 基板の表面を処理するプラズマ処理システムであって、
    処理のためにプラズマを発生させてプラズマを維持させるように構成された真空処理チャンバと、
    前記処理チャンバ内に配された請求項1に記載の熱伝達システムと、
    を備えることを特徴とするプラズマ処理システム。
  35. 基板の上面を半導体処理するために前記基板を支持するように構成された熱伝達システムであって、
    熱伝達部材の上に重なり、前記熱伝達部材と熱接触するピンベースであって、上部壁と、下部壁と、前記上部壁と前記下部壁との間に延びて前記上部壁と前記下部壁との間の空洞を規定する側壁とを有し、前記上部壁が複数のボアの配列を含むピンベースと、
    前記複数のボアの1つに摺動可能にそれぞれ配置される基板支持ピンの配列であって、各基板支持ピンが前記ピンベースと熱接触し上端にコンタクトチップを含む基板支持ピンの配列と、
    前記空洞と流体を介して連絡し、各支持ピンを上方向に移動させるのに十分な量だけ前記空洞に加圧ガスを供給するように構成された加圧ガス源であって、前記熱伝達部材が、流路に熱流体を供給するように構成された熱流体源と流体を介して連絡するための流路を含む加圧ガス源と
    を備えることを特徴とする熱伝達システム。
  36. 複数の前記基板支持ピンは、互いに対して相対的に異なる高さへ変位可能である
    ことを特徴とする請求項1に記載の熱伝達システム。
JP2007536733A 2004-10-13 2005-10-06 半導体処理の均一性を改善するための熱伝達システム Expired - Fee Related JP5006200B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/962,568 US7244311B2 (en) 2004-10-13 2004-10-13 Heat transfer system for improved semiconductor processing uniformity
US10/962,568 2004-10-13
PCT/US2005/035751 WO2006044198A2 (en) 2004-10-13 2005-10-06 Heat transfer system for improved semiconductor processing uniformity

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2011242761A Division JP2012054594A (ja) 2004-10-13 2011-11-04 半導体処理の均一性を改善するための熱伝達システム

Publications (3)

Publication Number Publication Date
JP2008516462A JP2008516462A (ja) 2008-05-15
JP2008516462A5 true JP2008516462A5 (ja) 2008-11-27
JP5006200B2 JP5006200B2 (ja) 2012-08-22

Family

ID=36144020

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2007536733A Expired - Fee Related JP5006200B2 (ja) 2004-10-13 2005-10-06 半導体処理の均一性を改善するための熱伝達システム
JP2011242761A Withdrawn JP2012054594A (ja) 2004-10-13 2011-11-04 半導体処理の均一性を改善するための熱伝達システム

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2011242761A Withdrawn JP2012054594A (ja) 2004-10-13 2011-11-04 半導体処理の均一性を改善するための熱伝達システム

Country Status (6)

Country Link
US (1) US7244311B2 (ja)
JP (2) JP5006200B2 (ja)
KR (1) KR101265807B1 (ja)
CN (1) CN101040059B (ja)
TW (1) TWI404163B (ja)
WO (1) WO2006044198A2 (ja)

Families Citing this family (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
KR100966430B1 (ko) * 2004-08-31 2010-06-28 엘지디스플레이 주식회사 기판지지핀을 구비하는 소프트 베이크 장치 및 이를이용한 소프트 베이킹 방법
KR100657166B1 (ko) * 2005-08-30 2006-12-13 동부일렉트로닉스 주식회사 구리 금속 배선의 형성 방법
US7779638B2 (en) * 2005-12-27 2010-08-24 Intel Corporation Localized microelectronic cooling apparatuses and associated methods and systems
US20080006205A1 (en) * 2006-07-10 2008-01-10 Douglas Keil Apparatus and Method for Controlling Plasma Potential
US7875824B2 (en) * 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US20080226838A1 (en) * 2007-03-12 2008-09-18 Kochi Industrial Promotion Center Plasma CVD apparatus and film deposition method
US8043470B2 (en) * 2007-11-21 2011-10-25 Lam Research Corporation Electrode/probe assemblies and plasma processing chambers incorporating the same
KR101226390B1 (ko) * 2007-12-05 2013-01-24 파나소닉 주식회사 박막 형성 장치 및 박막 형성 방법
JP4533926B2 (ja) * 2007-12-26 2010-09-01 財団法人高知県産業振興センター 成膜装置及び成膜方法
WO2009091713A1 (en) * 2008-01-15 2009-07-23 First Solar, Inc. System and method for depositing a material on a substrate
US8607733B2 (en) * 2008-02-18 2013-12-17 Mitsui Engineering & Shipbuilding Co., Ltd. Atomic layer deposition apparatus and atomic layer deposition method
KR101354140B1 (ko) * 2008-02-27 2014-01-22 소이텍 Cvd 반응기 내에서 가스 전구체들의 열화
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8313612B2 (en) 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
CN101924003B (zh) * 2009-06-12 2013-09-11 北京北方微电子基地设备工艺研究中心有限责任公司 电极结构及等离子体设备
US8409995B2 (en) * 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
US20110049091A1 (en) * 2009-08-25 2011-03-03 Silverbrook Research Pty Ltd Method of removing photoresist and etch-residues from vias
SG169960A1 (en) * 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
FR2960340B1 (fr) 2010-05-21 2012-06-29 Commissariat Energie Atomique Procede de realisation d'un support de substrat
US9728429B2 (en) * 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
JP5576738B2 (ja) * 2010-07-30 2014-08-20 株式会社東芝 プラズマ処理装置及びプラズマ処理方法
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US9083182B2 (en) * 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
KR101971312B1 (ko) * 2011-11-23 2019-04-22 램 리써치 코포레이션 다중 존 가스 주입 상부 전극 시스템
SG11201402447TA (en) 2011-11-24 2014-06-27 Lam Res Corp Plasma processing chamber with flexible symmetric rf return strap
CN109298602B (zh) 2012-02-03 2021-10-15 Asml荷兰有限公司 衬底保持器和光刻装置
KR20130092204A (ko) * 2012-02-10 2013-08-20 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US8592786B2 (en) * 2012-03-23 2013-11-26 Varian Semiconductor Equipment Associates, Inc. Platen clamping surface monitoring
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
KR102097109B1 (ko) * 2013-01-21 2020-04-10 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US9934876B2 (en) 2013-04-03 2018-04-03 Lockheed Martin Corporation Magnetic field plasma confinement for compact fusion power
US10049773B2 (en) 2013-04-03 2018-08-14 Lockheed Martin Corporation Heating plasma for fusion power using neutral beam injection
US9928927B2 (en) 2013-04-03 2018-03-27 Lockheed Martin Corporation Heating plasma for fusion power using magnetic field oscillation
US9959941B2 (en) 2013-04-03 2018-05-01 Lockheed Martin Corporation System for supporting structures immersed in plasma
US9959942B2 (en) 2013-04-03 2018-05-01 Lockheed Martin Corporation Encapsulating magnetic fields for plasma confinement
US9859145B2 (en) 2013-07-17 2018-01-02 Lam Research Corporation Cooled pin lifter paddle for semiconductor substrate processing apparatus
US9809491B2 (en) * 2013-09-09 2017-11-07 Shenzhen China Star Optoelectronics Technology Co., Ltd. Device and method for baking substrate
JP5786142B2 (ja) * 2013-11-07 2015-09-30 パナソニックIpマネジメント株式会社 蒸着方法
CN105514016B (zh) * 2014-09-23 2019-10-29 北京北方华创微电子装备有限公司 承载装置及半导体加工设备
JP2016142468A (ja) * 2015-02-03 2016-08-08 大陽日酸株式会社 希釈冷凍装置
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
TWI757242B (zh) * 2015-08-06 2022-03-11 美商應用材料股份有限公司 用於晶圓處理系統的熱管理系統及方法
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
DE112016005136T5 (de) * 2015-11-09 2018-07-26 Applied Materials, Inc. Unterseitenverarbeitung
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9852889B1 (en) * 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10622243B2 (en) * 2016-10-28 2020-04-14 Lam Research Corporation Planar substrate edge contact with open volume equalization pathways and side containment
CN106876233B (zh) * 2017-03-09 2018-09-14 成都京东方光电科技有限公司 承载装置及离子注入设备
US11276590B2 (en) * 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US20190088518A1 (en) * 2017-09-20 2019-03-21 Applied Materials, Inc. Substrate support with cooled and conducting pins
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
EP3728686A4 (en) * 2017-12-20 2021-09-29 Technetics Group LLC DEPOSIT TREATMENT SYSTEMS WITH ACTIVE TEMPERATURE REGULATION AND ASSOCIATED PROCESSES
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11227746B2 (en) * 2018-03-23 2022-01-18 Applied Materials, Inc. Isolated backside helium delivery system
CN110416049B (zh) * 2018-04-28 2022-02-11 中微半导体设备(上海)股份有限公司 可调节边缘射频等离子体分布的ccp刻蚀装置及其方法
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11839907B2 (en) * 2018-08-17 2023-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Breaking-in and cleaning method and apparatus for wafer-cleaning brush
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
KR102490356B1 (ko) * 2018-11-20 2023-01-25 주식회사 원익아이피에스 기판 처리 장치의 내부재 처리 방법
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
DE102018009630A1 (de) * 2018-12-11 2020-06-18 Vat Holding Ag Stifthubvorrichtung mit Temperatursensor
JP2020107857A (ja) * 2018-12-28 2020-07-09 東京エレクトロン株式会社 載置台、基板処理装置及び制御方法
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN110265323B (zh) * 2019-05-31 2021-09-03 拓荆科技股份有限公司 具有接点阵列的晶圆加热座
KR102256216B1 (ko) * 2019-06-27 2021-05-26 세메스 주식회사 플라즈마 처리 장치 및 플라즈마 제어 방법
US11434561B2 (en) 2020-03-27 2022-09-06 STATS ChipPAC Pte. Ltd. Cooling device and process for cooling double-sided SiP devices during sputtering
CN113451170A (zh) * 2020-03-27 2021-09-28 新科金朋私人有限公司 用于在溅镀期间冷却双面sip器件的冷却设备和过程
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US20220415694A1 (en) * 2021-06-29 2022-12-29 Asm Ip Holding B.V. Electrostatic chuck, assembly including the electrostatic chuck, and method of controlling temperature of the electrostatic chuck
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) * 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4685999A (en) * 1985-10-24 1987-08-11 Texas Instruments Incorporated Apparatus for plasma assisted etching
JPH01268126A (ja) * 1988-04-20 1989-10-25 Toshiba Corp ウエハ加工装置
US5262029A (en) * 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
JP2779950B2 (ja) * 1989-04-25 1998-07-23 東陶機器株式会社 静電チャックの電圧印加方法および電圧印加装置
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
JPH0456145A (ja) * 1990-06-22 1992-02-24 Hitachi Ltd プラズマ中の基板温度の測定装置
US5200232A (en) * 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5266527A (en) * 1991-09-17 1993-11-30 Texas Instruments Incorporated Conformal wafer chuck for plasma processing having a non-planar surface
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
AU3553795A (en) * 1994-09-15 1996-03-29 Materials Research Corporation Apparatus and method for clampling a substrate
US5671116A (en) * 1995-03-10 1997-09-23 Lam Research Corporation Multilayered electrostatic chuck and method of manufacture thereof
US5569356A (en) * 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US6140612A (en) * 1995-06-07 2000-10-31 Lam Research Corporation Controlling the temperature of a wafer by varying the pressure of gas between the underside of the wafer and the chuck
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5796066A (en) * 1996-03-29 1998-08-18 Lam Research Corporation Cable actuated drive assembly for vacuum chamber
US5740016A (en) * 1996-03-29 1998-04-14 Lam Research Corporation Solid state temperature controlled substrate holder
US6090304A (en) * 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6039836A (en) * 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
US5998932A (en) * 1998-06-26 1999-12-07 Lam Research Corporation Focus ring arrangement for substantially eliminating unconfined plasma in a plasma processing chamber
US20010001384A1 (en) * 1998-07-29 2001-05-24 Takeshi Arai Silicon epitaxial wafer and production method therefor
US6125025A (en) * 1998-09-30 2000-09-26 Lam Research Corporation Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
US6305677B1 (en) * 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
US6236555B1 (en) * 1999-04-19 2001-05-22 Applied Materials, Inc. Method for rapidly dechucking a semiconductor wafer from an electrostatic chuck utilizing a hysteretic discharge cycle
US6430022B2 (en) * 1999-04-19 2002-08-06 Applied Materials, Inc. Method and apparatus for controlling chucking force in an electrostatic
US6344105B1 (en) * 1999-06-30 2002-02-05 Lam Research Corporation Techniques for improving etch rate uniformity
US6740853B1 (en) * 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
US6705394B1 (en) * 1999-10-29 2004-03-16 Cvc Products, Inc. Rapid cycle chuck for low-pressure processing
JP2003217801A (ja) * 1999-12-14 2003-07-31 Ibiden Co Ltd セラミックヒータおよび支持ピン
US6363882B1 (en) * 1999-12-30 2002-04-02 Lam Research Corporation Lower electrode design for higher uniformity
WO2001056074A1 (fr) * 2000-01-28 2001-08-02 Hitachi Tokyo Electronics Co., Ltd. Support de tranche, systeme d'exposition et procede de fabrication de dispositif a semiconducteur
US6337277B1 (en) * 2000-06-28 2002-01-08 Lam Research Corporation Clean chemistry low-k organic polymer etch
JP2002064132A (ja) * 2000-08-22 2002-02-28 Tokyo Electron Ltd 被処理体の受け渡し方法、被処理体の載置機構及びプローブ装置
JP2002110652A (ja) * 2000-10-03 2002-04-12 Rohm Co Ltd プラズマ処理方法およびその装置
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
JP3626933B2 (ja) * 2001-02-08 2005-03-09 東京エレクトロン株式会社 基板載置台の製造方法
US6695946B2 (en) * 2001-04-18 2004-02-24 Applied Materials Inc. Cooling system
US6898064B1 (en) * 2001-08-29 2005-05-24 Lsi Logic Corporation System and method for optimizing the electrostatic removal of a workpiece from a chuck
JP2003213430A (ja) * 2002-01-18 2003-07-30 Mitsubishi Heavy Ind Ltd 製膜装置の基板加熱装置
US6646233B2 (en) * 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
US6722642B1 (en) * 2002-11-06 2004-04-20 Tokyo Electron Limited High pressure compatible vacuum chuck for semiconductor wafer including lift mechanism
US7455748B2 (en) * 2003-06-20 2008-11-25 Lam Research Corporation Magnetic enhancement for mechanical confinement of plasma
US20050229854A1 (en) * 2004-04-15 2005-10-20 Tokyo Electron Limited Method and apparatus for temperature change and control

Similar Documents

Publication Publication Date Title
JP2008516462A5 (ja)
TWI404163B (zh) 用於改良式半導體處理均勻性之熱傳導系統、用於處理一基板之電漿處理系統以及在一處理腔室中處理一基板之方法
JP7169319B2 (ja) ガス孔に開口縮小プラグを有する大電力静電チャック
JP7362293B2 (ja) 閉ループチャッキング力制御を用いたリアルタイム監視
KR102354961B1 (ko) 페디스털 유체-기반 열 제어
TWI780597B (zh) 具有獨立隔離的加熱器區域的晶圓載體
TWI684238B (zh) 載置台及基板處理裝置
US7869184B2 (en) Method of determining a target mesa configuration of an electrostatic chuck
CN107039325B (zh) 具有即时力和薄膜应力控制的基板支撑件
KR102188409B1 (ko) 기판 처리 장치 및 탑재대
KR20130023062A (ko) 정전 척 및 반도체·액정 제조 장치
JP2019530208A (ja) 個別に制御可能なヒータ素子のアレイを有する基板キャリア
US20170352565A1 (en) Workpiece carrier with gas pressure in inner cavities
JP2019530209A (ja) ヒータ素子アレイを使用する、基板キャリアの温度測定
TW201401424A (zh) 分散式靜電夾盤之冷卻
KR19980086942A (ko) 반도체 가공처리 시스템용 배면가스 신속 제거장치
US11031273B2 (en) Physical vapor deposition (PVD) electrostatic chuck with improved thermal coupling for temperature sensitive processes
KR20190022913A (ko) 반도체 및 기계적 처리에서 작업물 캐리어의 최상부 판으로서의 처리된 웨이퍼
JP2010010231A (ja) プラズマ処理装置
TWI841636B (zh) 用於基板處理系統之包含蒸氣腔室的台座
JP2024042671A (ja) 基板処理装置及びこれを利用した基板処理方法