JP7169319B2 - ガス孔に開口縮小プラグを有する大電力静電チャック - Google Patents

ガス孔に開口縮小プラグを有する大電力静電チャック Download PDF

Info

Publication number
JP7169319B2
JP7169319B2 JP2020099889A JP2020099889A JP7169319B2 JP 7169319 B2 JP7169319 B2 JP 7169319B2 JP 2020099889 A JP2020099889 A JP 2020099889A JP 2020099889 A JP2020099889 A JP 2020099889A JP 7169319 B2 JP7169319 B2 JP 7169319B2
Authority
JP
Japan
Prior art keywords
plug
gas
plate
cooling plate
cooling
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020099889A
Other languages
English (en)
Other versions
JP2020174180A (ja
Inventor
ジェヨン チョ,
ハイタオ ワン,
ヴィジェイ ディー. パーケ,
カーティク ラーマスワーミ,
チュンレイ チャン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020174180A publication Critical patent/JP2020174180A/ja
Application granted granted Critical
Publication of JP7169319B2 publication Critical patent/JP7169319B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Description

関連出願の相互参照
[0001]本出願は、2016年6月21日に出願された、「HIGH POWER ESC DESIGN WITH POROUS THROUGH HOLE IN A COOLING PLATE」と題する、米国仮特許出願第62/352,717号、および2016年6月7日に出願された、「HIGH POWER ESC DESIGN WITH POROUS THROUGH HOLE IN A COOLING PLATE」と題する、米国仮特許出願第62/346,802号の優先権を主張する。
[0002]本明細書は、半導体およびマイクロメカニカル処理のためのワークピースを支持する静電チャックに関し、特にチャック内の内部多孔性貫通孔に関する。
[0003]半導体チップの製造において、シリコンウエハまたは他の基板は、異なる処理チャンバ内で様々な異なるプロセスに曝される。チャンバは、ウエハをいくつかの異なる化学的および物理的プロセスに曝すことができ、それによって微細な集積回路が基板上に形成される。集積回路を構成する材料の層は、化学気相堆積、物理気相堆積、エピタキシャル成長などを含むプロセスによって形成される。材料層のいくつかは、フォトレジストマスクおよび湿式または乾式エッチング技術を使用してパターニングされる。基板は、シリコン、ヒ化ガリウム、リン化インジウム、ガラス、または他の適切な材料であってよい。
[0004]これらの製造プロセスでは、様々な材料層を堆積またはエッチングするために、プラズマが用いられ得る。プラズマ処理は、熱処理よりも多くの利点を提供する。例えば、プラズマ化学気相堆積(PECVD)は、類似の熱プロセスにおけるよりも低い温度かつ高い堆積速度で堆積プロセスを実行することを可能にする。したがって、PECVDは、材料をより低い温度で堆積させることを可能にする。
[0005]これらのプロセスで使用される処理チャンバは通常、処理中に基板を支持するためにその中に配置された基板支持体、ペデスタル、またはチャックを含む。いくつかのプロセスでは、ペデスタルは、基板の温度を制御し、かつ/またはプロセスで使用され得る高い温度を提供するように適合された埋め込みヒータを含むことができる。
[0006]HAR(高アスペクト比)プラズマエッチングは、曲がりのないプロファイルを達成するために、著しく高いバイアス電力を使用する。誘電体エッチングのためのHARをサポートするために、電力は20KWに増加されてもよく、これはESC(静電チャック)に重大な影響をもたらす。現在の多くのESC設計は、高バイアス電力の直接の結果として生じる高電圧に耐えることができない。ESCの中に設計された孔が、特に影響を被る可能性がある。さらに、過剰なラジカルが接合部を侵食すると、ESCは、リフトピン領域で接合不良を起こす可能性がある。他の影響は、ESC表面温度がより速い速度で変化することである。ESC表面の加熱は、印加されたRFプラズマ電力に正比例する。熱はまた、接合不良の結果である可能性がある。さらに、ESC上に支持されたウエハの湾曲およびウエハ上の電荷の蓄積も、ウエハのデチャッキングをより困難にする。
[0007]一般的なプロセスは、エッチング用途のために2MHzの6.5KWプラズマ電力が印加されているウエハを保持するためにESCを使用する。高アスペクト比(例えば100:1)の用途は、はるかに高いプラズマ電力を使用する。本明細書では、高いウエハバイアスを生成する低周波高電力プラズマ電圧とともに動作するESCが説明される。より高い電力は、絶縁破壊ならびにESCに設計されているガス孔でのプラズマ点火のために、ESCの故障を増大させるであろう。
[0008]大電力プラズマ処理などの処理のためにワークピースを支持する静電チャックが、記載されている。実施形態において、チャックは、ワークピースを支持するための上部プレートであって、ワークピースを保持するための電極を有する上部プレートと、上部プレートを冷却するための上部プレートの下の冷却プレートと、上部プレートを通ってワークピースにガスを供給するための、冷却プレートと上部プレートとを貫通するガス孔と、孔を通ってガス流を導くための、冷却プレートのガス孔内の開口縮小プラグとを、含む。
[0009]本発明の実施形態が、添付の図面の図において、限定ではなく例として示される。
本発明の一実施形態による、プラズマ処理チャンバ内での処理中のESCの熱画像の図である。 本発明の一実施形態による、ESCの上部プレート上のパックの上面図である。 本発明の一実施形態による、上部層およびパックを示すESCの部分断面側面図である。 本発明の一実施形態による、ベースプレート孔内にプラグを備えた、ベースプレートおよび上部プレート内のガス孔の部分断面側面図である。 本発明の一実施形態による、ベースプレート孔内に代替的なプラグを備えた、ベースプレートおよび上部プレート内のガス孔の部分断面側面図である。 本発明の一実施形態による、冷却プレートに電圧が印加されている静電チャックの断面側面図である。 本発明の一実施形態による、ワークピースキャリアを含むプラズマエッチングシステムの図である。
[0017]記載されたESCは、大電力および高バイアス電圧に耐える。記載された本発明のESCは、ヘリウム(He)孔内でのプラズマ点火を防止するために冷却プレート内に多孔性プラグを使用する。ヘリウム孔は、ウエハ裏面冷却用のヘリウムを供給する。多くのESCは、冷却のためにウエハの裏面にヘリウムを供給するために、上部パックの中央付近に別個のチャネルを使用する。Heは、圧力をかけられてESCの底部に加えられ、ESCの上部プレートまたはパックを通ってパックとウエハ裏面との間の空間へ押し上げられる。He孔は、高電圧(RF電力)下でアーク放電が発生する可能性がある。本明細書に記載されているように、ESC内のHe孔内のアーク放電は、低減または除去され得る。
[0018]図1は、プラズマ処理チャンバ内での処理中のESC10の熱画像の図である。中央スポット12は、ヘリウム冷却ガス孔の位置に対応し、3つの周辺スポット14は、リフトピン孔の位置に対応する。図のように、接合部が局所的に侵食されているため、3つのリフトピン領域が熱くなる。これらのホットスポットではウエハプロセスに関する問題があり、パックと支持プレートとの間の接合部が、ホットスポット(リフトピン)の周囲で侵食される。中央ガス孔を通ってHeをポンプ輸送することにより、これらの位置および他の位置における温度差を減少させるために、ウエハの裏面を横切って周囲へガスが押される。ポンプ輸送されたガスはまた、上部プレートをESCのその他部分に保持する接合材料を侵食する傾向があるウエハの裏面近くのラジカルの存在を減少させる。Heは、その電気的特性および熱伝導性のために、中央ガス孔12を通って適用されるのに適したガスである。
[0019]図2は、ESCの上部プレート上のパック206の上面図である。パックは、ウエハを保持するための内部電極を有することができる(図示せず)。電極は、誘電体層の下にあり、それが保持するウエハとほぼ同じサイズになるようにサイズ設定されている。電極は、DC電圧源に電気的に接続されている。
[0020]中央ガス孔212と一緒に、周辺ガス孔213のさらなる配列およびリフトピン孔214の配列があってもよい。ガス孔は、追加の冷却ガスをウエハとパックとの間の空間に押し出すことを可能にする。リフトピン孔は、リフトピンが孔を通って延びて、ウエハを押してチャックから離すこと(デチャッキング)を可能にし、それによってウエハは、他のまたは追加の処理のために取り外されることができる。他の機能を実行するための追加の孔および他の構造があってもよい。図面を曖昧にしないようにするために、ヒータ、冷却チャネル、プラズマプロセス構造および他の構成要素は、示されていない。
[0021]図3は、図2の上部層208およびパック206を示すESCの部分断面側面図である。上部プレートは、シリコンウエハまたは他の品目などのワークピース202を支持するように構成されている。この例では、ワークピースは、上部プレート内の電極210によって発生した静電気力によって保持されている。上部プレートは、セラミック、例えば窒化アルミニウムなどの誘電体材料で形成され、例えば接着剤を用いてベースプレート220に取り付けられる。ベースプレートまたは冷却プレートは、上部プレートを支持するために、アルミニウムなどの任意の適切な材料で形成することができる。ベースプレートは、冷却チャネル230、配線層、パイプ、管、およびパックと、パックに取り付けられて支持されるウエハ202とを支持するための他の構造(図示せず)を含むことができる。
[0022]ベースプレートは、支持プレート226によって支持されている接地プレート224によって支持されている。絶縁プレート222は、Rexolite(登録商標)、または他のプラスチックもしくはポリスチレンの耐熱材料などの電気的および熱的アイソレータで形成されて、下側の接地プレートおよび支持プレートからベース冷却プレートを分離する。底部支持プレートは、電気的接続およびガス接続用の取り付け具を提供し、キャリアのための取り付け点および他の取り付け具を提供する。
[0023]ガス孔213は、上部プレート208、ベースプレート220、絶縁プレート222、接地プレート224、および支持プレート226を貫通して延び、加圧下でガスを供給するガスライン232に接続している。ガスは、タンクおよびポンプなどの調整された冷却ガス供給源236または他の任意の種類の供給源によってガスラインに供給される。上述のように、冷却ガスは、ヘリウム、窒素、または高い熱伝導率を有する他の任意の適切な不活性ガスであってよい。中央または周辺のいずれのガス孔も、同一または類似の外観を有することができ、図示の孔は、いずれかのタイプを表す。
[0024]図4は、ベースプレート222または冷却プレートおよび上部プレート208内のガス孔213の拡大部分断面側面図である。誘電体パック208が、接着剤252を用いて、導電性冷却プレート220に取り付けられている。ベースプレートは、上面に、それと接着剤との間において誘電体コーティングを有する。これにより、ベースプレートと上部プレートの間のアーク放電が減少する。パックは、プラズマ処理および他の処理中にウエハなどのワークピース(図示せず)を支持する。いくつかの実施形態では、冷却プレートは、パックと冷却プレートとの間の電位差を下げるためにDC電圧が印加されている。冷却プレートの中心は、冷却プレートの孔233を通って延びるガスライン232用の取り付け具を有し、それを通って冷却ガスが、冷却プレートの下方から冷却プレート内のガスチャネル240に供給される。ガスチャネルは、チャネルの上に取り付けられている中実のカバー260によって覆われている。カバーは、冷却プレートのようにアルミニウムとすることができ、所定位置に電子ビーム溶接されている。チャネルは、カバー260を貫通する孔258に開口しており、冷却チャネル内の第1の多孔性プラグ242にガスを供給する。冷却チャネル内の多孔性プラグは、パック内の第2の多孔性プラグ246にガスを導く。パック内の多孔性プラグは、加圧下でガスを、ウエハ裏面に向かって、パックの頂部を貫通する中央孔250に結合する。より多くの冷却ガス孔がある場合、チャネルは、それらの孔まで延びることができ、カバー内の対応する孔が、対応する多孔性プラグまでガスを通過させる。
[0025]ベースプレート内およびパック内のこれらのキャビティは、すべてアーク放電を起こしやすい。負電圧が、ウエハに結合されて、蒸気またはプラズマからウエハ上へのイオン衝撃を引き起こす。負電圧は、ウエハと、ヘリウムが導入されるキャビティを含む冷却プレートとの間に強い電界を発生させる。この強い電界のために、いくらかのヘリウムが点火される可能性があり、ESCに印加されるRF電力は、ヘリウムが点火される場所に集中する。これは、そこで激しいアーク放電現象を引き起こす可能性がある。同じ現象が、ヘリウムに加えて窒素、アルゴンなどの他の種類の熱結合ガスでも起こる。
[0026]冷却プレート内のプラグ242は、ガスが流れる開口を縮小する。これにより、冷却プレート内のアーク放電が低減または除去される。冷却を提供するために、冷却プレート220は、典型的には、アルミニウムなどの熱伝導性材料から作られる。これにより、冷却プレートはパックから熱を吸収し、それを冷却剤チャネル230に伝えることができる。熱伝導性材料は、多くの場合、導電性でもあり、それにより、ワークピースおよびパックに対する電圧を冷却プレートに伝導させる。この電圧は、ガスキャビティ内にアーク放電を誘発することができる。
[0027]冷却プレート内のガスチャネル、ならびに孔およびガスラインの側壁は、窒化アルミニウムまたはイットリアなどの誘電体コーティングで覆われていてもよい。これは、ガスのアーク放電に対する保護に役立ち、導電性冷却プレートから開口縮小プラグへの電気的移行をもたらす。その他の点では熱伝導性の冷却プレートにおける誘電体の増加は、冷却プレートの孔にアーク放電が発生することなく、より高い外部プラズマ電圧およびバイアス電圧を可能にするのに役立つ。プラグ242も誘電体である場合、それは、冷却プレートの導電性に打ち勝つ。流れを可能にするために多孔性であることに加えて、開口縮小プラグは、より大きいガス流を可能にするために中央垂直管(図示せず)を任意選択で有してもよい。プラグの多孔性により、中央管の周りに追加のガス流が可能になり、冷却プレートの孔を通ってパック内にガス流が導かれる。より具体的には、中央管および多孔性材料は、ヘリウムなどの熱的ガスが多孔性プラグを通って冷却プレート220から上部プレート208内の多孔性プラグ246の中に流れることを可能にする。いくつかの実施形態では、中央管はなく、ヘリウム、または他の熱伝導ガスは、プラグの多孔性領域を通って流れる。
[0028]冷却プレート内のこの追加の開口縮小プラグ242は、ウエハとESC上面との間の熱伝達のためにヘリウムが導入されるウエハと冷却プレートとの間に生じる電界密度を低減する。
[0029]上部プレート内の多孔性プラグは、ガスをガス孔213に導くためにテーパ形状を有する。上部プレートプラグ246の基部248は、冷却プレート内のプラグ242の頂部よりも狭いかまたはほぼ同じサイズである。上部プレートプラグの頂部244は、基部よりも狭く、ガスを、上部プレートの頂部を貫通する上側中央孔250に導き、それがガス孔213に通じている。ガス孔は、ワークピースと上部プレートとの間の熱伝導を促進するために、ワークピースの裏面に近接して配置される。プラグ246は、段差の後により狭い直径を有する段差形状を有するように示されているが、プラグは、複数の段差、狭くなる円錐直径、または組み合わせもしくは異なるテーパ効果を有することができる。
[0030]示されるように、冷却プレート開口縮小プラグ242は、より大きなチャネル240内に配置される。これらは、両方とも円筒形として示されているが、所望のガス流ならびにガス流の方向および伝播に応じて任意の所望の形状を取り得る。プラグは、チャネルの直径の約半分であり、上部プレートに最も近いチャネルの頂部に対して配置される。プラグは、適切な接着剤を用いてチャネル壁に取り付けられてもよい。ガスが冷却プレートプラグから上部プレートプラグ246へ流れることを可能にするために、プラグ242の上方のチャネル240内に開口部254がある。この開口部は、図示のように、上部プレートプラグと同じ大きさでもよく、またはそれより小さくてもよい。
[0031]2つの多孔性プラグは、同じまたは異なる多孔性誘電体材料から製造することができる。多種多様なセラミックを使用することができる。しかしながら、他の適切な材料もあり得る。例として、プラグは、窒化アルミニウム(AlN)、酸化アルミニウム(Al)、ポリエーテルエーテルケトン(PEEK)、VELSEL(登録商標)などの多孔性セラミック材料、または任意の他の適切な材料で作られてもよい。材料の多孔度は、使用中に所望のガス流量を可能にするように選択される。多孔度が、十分なガス流量を供給するのに十分ではない場合、1つ以上の小さな垂直管が、プラグを貫通して穿孔されてもよい。
[0032]図5は、冷却プレート内に代替的な流れおよび空間を制限する開口縮小プラグを備えた、ベースプレート222および上部プレート208内のガス孔213の拡大部分断面側面図である。冷却プレート内の図4の底部多孔性プラグ242は、アルミナ、AlNまたはプラスチックなどの任意の誘電体インサートと置き換えることができる。プラグは、その中に少なくとも1つの管を有するか、または冷却ガスが、孔を通って流れる。プラグは、ガス絶縁破壊電圧を低下させるが、ガス流の通りを改善し、ガス安定化時間およびガス放出時間を短くする。
[0033]しかしながら、図5の例では、多孔性プラグ242は、アルミナまたは他の誘電体の中実の開口縮小プラグ262で置き換えられている。様々なセラミックを含む、他の任意の誘電性アモルファス、ポリマー、または他の非多孔性材料を使用することができる。プラグは、冷却ガスの流れを可能にするための中央管264を有する。それはまた、より高い総流量を可能にするために、中央管の側部に追加の垂直管266を有してもよい。管のサイズは、様々なガス流量に適するようにのみならず、様々な温度およびプラズマ電圧条件に適するように選択することができる。より高い電圧は、ガス絶縁破壊電圧を有効に低下させるために、より小さな管を必要とし得る。
[0034]図4の例のように、誘電体パック208が、接着剤252を用いて、導電性冷却プレート220に取り付けられている。冷却プレートの中心は、ガスライン232用の取り付け具を有し、ガスライン232を通って冷却ガスが、冷却プレートの下方から冷却プレート内の通路233を通って冷却プレート内のチャネル240に供給される。チャネルは、チャネルカバー260の孔258を通って冷却チャネル内の下側の中実の開口縮小プラグ262にガスを供給する。冷却チャネル内の中実のプラグは、1つ以上の管を通ってパック内の上側多孔性プラグ246にガスを結合する。パック内の多孔性プラグは、加圧下でガスを、ウエハ裏面に向かって、パックの頂部を貫通する中央孔250に結合する。代替として、上側プラグは、取り外されてもよく、または任意の様々な異なる形状をとってもよい。
[0035]図6は、上部パック内に電極を有する静電チャックの断面側面図である。図示の例において、チャックは、Al冷却プレートまたはベースプレート302を備えたESCである。図3の他のプレートは、図面を簡単にするために示されていない。あるいは、これらの他のプレートは、特定の用途によりよく適合するように削除されてもよい。パック306は、誘電性接着剤304の層でベースプレートに接合されている。接着剤は、パックとベースプレートとの間の電気的および熱的伝導を弱める。パックは、セラミックまたは他の誘電体で作られている。パックは、静電気力を用いて、ウエハ308などのワークピースを保持する。ワークピースは、本明細書ではウエハと呼ばれているが、チャックは、様々な異なる製品およびプロセスのために他のワークピースを支持することができる。この図は、本発明の特徴を曖昧にしないように、簡略化されている。
[0036]ベースプレートは、他の多くの構成要素、特徴、ならびに熱流体、ガス流、ヒータ電力、センサ、および図3と図7に示すものを含む他の構成要素のための外部接続部を含むことができる。同様に、パックは、ヒータ、センサ、液体およびガス流チャネル、ならびにベースプレートを通って外部の構成要素に接続されている他の機構を含むことができる。物理的支持のためおよびこれらの他の構成要素のいくつかを支持するために、図示のベースプレートの下に追加のプレートがあってもよい。他の多くの追加の特徴があってもよいが、チャックのベースプレートおよび上部プレートを貫通する単一の中央管330が存在し、ウエハの裏面からチャックを通ってヘリウムなどの冷却および熱伝導ガスを運ぶことができる。追加のガス孔および他の孔があってもよい。ベースプレートおよびウエハを通る追加の孔332は、例えば、デチャッキングのためにウエハを押してチャックから離すためのリフトピンを提供することができる。孔は、中実または多孔性のいずれかである、上述のような開口制限プラグ(図示せず)を有してもよい。
[0037]ウエハ308を保持するための静電気力は、ベースプレートおよびパックを通る電気コネクタまたはロッド320を通って外部電源322からワイヤメッシュに電圧を印加することによって帯電されるパックの上面の近くのワイヤメッシュまたはプレートなどの電極312を使用して生成される。1つのコネクタしか示されていないが、複数のコネクタがあってもよく、電極の異なる部分に対して異なる極性があってもよい。外部電源は、AC(交流)またはDC(直流)電源またはその両方であってもよい。いくつかの実施形態では、DCを印加して、電極に静電気を生じさせて、ウエハを保持する。ACが、同じ目的のために使用されてもよいが、ウエハにバイアス電圧を誘導し、プラズマからウエハ上へのイオン衝撃を誘発するために使用されてもよい。
[0038]この断面側面図において、ワイヤメッシュ312は、ウエハの近くの線として現れている。上面図では、メッシュは、パックの上面の近くの領域の大部分を覆う通常直交する交差ワイヤのウェブである。ワイヤは、銅、アルミニウム、またはモリブデンであってよい。あるいは、ワイヤメッシュは、パックに埋め込まれた中実または大部分中実の導電性プレートであってもよい。プレートは、異なる静電極性または電荷量を印加するために、いくつかの部分に分かれていてもよい。メッシュ312は、スクリーン印刷、堆積、またはスピニングによって形成することができる。あるいは、導電性プレートが、別個に鋳造または機械加工されて、次いで、上部プレートが形成されるときに、上部プレートの中に配置されてもよい。
[0039]ベースプレート302もまた、電気コネクタ326を介してDC電源324に結合されている。RF電源328も電気コネクタ326を使用してベースプレート302に結合することができる。RF電源328は、DC電圧源322、324のいずれかまたは両方と同じであっても異なっていてもよい。冷却プレートに供給される電力は、冷却プレートに電圧を印加することによって、冷却プレート内の冷却ガス孔330、332内でのプラズマ点火の可能性をさらに低下させるように働く。
[0040]冷却プレートの電圧は、ウエハの電圧に対応するように選択されてもよい。ウエハの電圧が負の場合、冷却プレートに印加される電圧が、負であってもよい。負電圧は、ウエハと冷却プレートとの間の電位差を減少させる。これは、ガス孔内の電界密度を減少させる。
[0041]一例として、-4kVのDC電圧バイアスがRFプラズマによってウエハ上に誘起されている場合、ウエハとベースプレートとの間に4kV以上の電位差がある。ベースプレートの電圧が変動することが可能である場合、電位差は、より大きくなるかもしれない。一方、ベースプレートに約-2kVの電圧を印加することによって、電位差を半分減少させて約2kVにすることができる。他の任意の負電圧を使用することができ、例として-2kVが提供されている。典型的なRFプラズマプロセスでは、ウエハは、冷却プレートに印加されるバイアスRF電力に対して負にバイアスされている。この印加されたDC電圧はまた、ベースプレートとウエハとの間のパック内の電界を減少させる。
[0042]図7は、本明細書に記載の実施形態による、ペデスタル128を有するプラズマシステム100の部分断面図である。ペデスタル128は、基板が多数のプロセスおよびチャンバ条件に曝されている間に、広い温度範囲にわたって、ペデスタル上に配置された基板の温度を能動的に制御することを可能にする能動的冷却システムを有する。プラズマシステム100は、処理領域120を画定する側壁112および底壁116を有する処理チャンバ本体102を含む。
[0043]ペデスタル、キャリア、チャックまたはESC128が、システム100の底壁116に形成された通路122を通って、処理領域120に配置されている。ペデスタル128は、その上面に基板(図示せず)を支持するように適合されている。基板は、様々な異なる材料のうちのいずれかで作製された、チャンバ100によって適用される処理のための様々な異なるワークピースのうちのいずれであってもよい。ペデスタル128は、基板温度を所望のプロセス温度に加熱および制御するために、加熱素子(図示せず)、例えば抵抗素子を任意選択で含んでもよい。あるいは、ペデスタル128は、ランプアセンブリなどの遠隔加熱素子によって加熱されてもよい。
[0044]ペデスタル128は、処理領域120内でのペデスタル128の上昇および移動を制御する駆動システムを含むことができる電源出力または電源ボックス103にシャフト126によって結合されている。シャフト126は、ペデスタル128に電力を供給するための電力インターフェースをさらに含む。電源ボックス103は、熱電対インターフェースなどの電力および温度インジケータのためのインターフェースをさらに含む。シャフト126は、電源ボックス103に取り外し可能に結合するように適合されているベースアセンブリ129をさらに含む。円周リング135が、電源ボックス103の上方に示されている。一実施形態では、円周リング135は、ベースアセンブリ129と電源ボックス103の上面との間に機械的インターフェースを提供するように構成された機械的停止部またはランドとして適合された肩部である。
[0045]ロッド130が、底壁116に形成された通路124を通って配置され、ペデスタル128を通って配置された基板リフトピン161を作動させるのに使用される。基板リフトピン161は、ワークピースをペデスタル上面から持ち上げて、典型的には基板移送ポート160を通ってロボット(図示せず)を使用して、チャンバから出し入れできるようにする。
[0046]チャンバリッド104が、チャンバ本体102の上部に結合されている。リッド104は、それに結合された1つ以上のガス分配システム108を収容する。ガス分配システム108は、シャワーヘッドアセンブリ142を通って処理領域120B内に反応ガスおよび洗浄ガスを供給するガス入口通路140を含む。シャワーヘッドアセンブリ142は、フェースプレート146との中間に配置されたブロッカプレート144を有する環状ベースプレート148を含む。
[0047]高周波(RF)源165が、シャワーヘッドアセンブリ142に結合されている。RF源165は、シャワーヘッドアセンブリ142に電力を供給して、シャワーヘッドアセンブリ142のフェースプレート146と加熱されたペデスタル128との間でのプラズマの発生を容易にする。一実施形態では、RF源165は、13.56MHzのRF発生器などの高周波無線周波数(HFRF)電源であってもよい。別の実施形態では、RF源165は、HFRF電源と、300kHzのRF発生器などの低周波無線周波数(LFRF)電源とを含んでもよい。あるいは、RF源は、プラズマ発生を容易にするために、ペデスタル128などの処理チャンバ本体102の他の部分に結合されてもよい。誘電体アイソレータ158が、リッド104とシャワーヘッドアセンブリ142との間に配置されて、RF電力がリッド104に伝導されるのを防止する。ペデスタル128の所望の高さで基板と係合するシャドーリング106が、ペデスタル128の周囲に配置されてもよい。
[0048]任意選択で、動作中に環状ベースプレート148を冷却するために、冷却チャネル147が、ガス分配システム108の環状ベースプレート148に形成される。ベースプレート148が所定の温度に維持されるように、水、エチレングリコール、ガスなどの熱伝達流体を冷却チャネル147を通って循環させることができる。
[0049]チャンバライナアセンブリ127が、処理領域120内の処理環境への側壁101、112の曝露を防ぐために、チャンバ本体102の側壁101、112に非常に近接して処理領域120内に配置される。ライナアセンブリ127は、処理領域120からガスおよび副生成物を排出し、処理領域120内の圧力を制御するように構成されたポンピングシステム164に結合された円周ポンピングキャビティ125を含む。複数の排気口131が、チャンバライナアセンブリ127に形成されていてもよい。排気口131は、システム100内の処理を促進するような、処理領域120から円周ポンピングキャビティ125へのガスの流れを可能にするように構成される。
[0050]システムコントローラ170が、チャンバ内の製造プロセスを制御するために様々な異なるシステムに結合されている。コントローラ170は、温度制御アルゴリズム(例えば、温度フィードバック制御)を実行するための温度コントローラ175を含むことができ、ソフトウェアまたはハードウェア、またはソフトウェアとハードウェアの両方の組み合わせのいずれであってもよい。システムコントローラ170は、中央処理装置172、メモリ173、および入出力インターフェース174をさらに含む。温度コントローラは、ペデスタル上のセンサ(図示せず)から温度測定値143を受け取る。温度センサは、冷却剤チャネルに近接しても、ウエハに近接しても、またはペデスタルの誘電体材料内に配置されてもよい。温度コントローラ175は、感知された1つ以上の温度を用いて、ペデスタルアセンブリ142とプラズマチャンバ105の外部の熱源および/またはヒートシンク、例えば熱交換器177などとの間の熱伝達速度に影響を及ぼす制御信号を出力する。
[0051]システムは、温度フィードバックループに基づいて流れが制御される制御された熱伝達流体ループ141を、さらに含んでもよい。例示的な実施形態において、温度コントローラ175は、熱交換器(HTX)/冷却器177に結合されている。熱伝達流体は、熱伝達流体ループ141を通ってバルブによって制御される流量でバルブ(図示せず)を通って流れる。バルブは、熱流体の流量を制御するために、熱交換器に、または熱交換器の内部もしくは外部のポンプに組み込むことができる。熱伝達流体は、ペデスタルアセンブリ142内の導管を通って流れ、その後HTX177に戻る。熱伝達流体の温度は、HTXによって上昇または下降し、その後、流体は、ループを通ってペデスタルアセンブリに戻る。
[0052]HTXは、熱伝達流体を加熱し、それによって基板を加熱するためのヒータ186を含む。ヒータは、熱交換器内のパイプの周りの抵抗コイルを使用して、または加熱された流体が熱交換器を通って熱流体を含む導管に熱を伝導する熱交換器を用いて、形成されてもよい。HTXは、熱流体から熱を奪うクーラ188をさらに含む。これは、ラジエータを使用して熱を周囲空気もしくは冷却流体内に放出するか、または任意の他の様々な方法で行うことができる。ヒータおよびクーラは、温度制御流体が先ず加熱または冷却され、次いでその制御流体の熱が熱伝達流体ループ内の熱流体の熱と交換されるように、組み合わされてもよい。
[0053]HTX177とペデスタルアセンブリ142内の流体導管との間のバルブ(または他の流量制御装置)は、流体ループへの熱伝達流体の流量を制御するために温度コントローラ175によって制御されてもよい。構造および動作を簡単にするように、温度コントローラ175、温度センサ、およびバルブを組み合わせることができる。実施形態において、熱交換器は、流体導管から戻った後の熱伝達流体の温度を感知し、流体の温度とチャンバ102の動作状態に対する所望の温度とに基づいて熱伝達流体を加熱または冷却する。
[0054]ペデスタルアセンブリに熱を加えるために、電気ヒータ(図示せず)が、ペデスタルアセンブリ内で使用されてもよい。典型的には抵抗素子の形態の電気ヒータは、所望の温度を得るためにヒータ素子にエネルギーを供給するように温度制御システム175によって制御される電源179に結合されている。
[0055]熱伝達流体は、限定しないが脱イオン水/エチレングリコールなどの液体、3MからのFluorinert(登録商標)もしくはSolvay Solexis、Inc.からのGalden(登録商標)などのフッ素化冷却剤、またはパーフルオロ不活性ポリエーテルを含有する流体などの任意の他の適切な誘電体流体であってもよい。本明細書ではPECVD処理チャンバの文脈でペデスタルを説明しているが、本明細書で説明したペデスタルは、様々な異なるチャンバ内で様々な異なるプロセスのために使用することができる。
[0056]加圧ガス供給源またはポンプおよびガス貯蔵器などの裏面ガス源178が、質量流量計185または他の種類のバルブを介してチャックアセンブリ142に結合されている。裏面ガスは、ヘリウム、アルゴン、またはチャンバのプロセスに影響を与えることなくウエハとパックとの間に熱対流および/または冷却をもたらす任意のガスであってよい。ガス源は、システムが接続されているシステムコントローラ170の制御下で、以下でより詳細に説明されるペデスタルアセンブリのガス出口を通ってウエハの裏面にガスをポンプ輸送する。
[0057]本明細書に記載されているように、ウエハとペデスタルとの間の熱対流を改善するために、ペデスタルの上面とウエハとの間のウエハの裏面にガスを供給することができる。有効な半径方向のガス流が、ウエハの裏面を横切るガス流を改善する。ガスは、ペデスタルアセンブリの基部内のチャネルを通ってペデスタルの頂部までポンプ輸送されてもよい。チャネルは、リフトピン孔を含んでもよい。ペデスタルを通る流れを制御するために、マスフローコントローラを使用することができる。真空または化学堆積チャンバにおいて、裏面ガスは、処理中にウエハを加熱および冷却するための熱伝達媒体を提供する。
[0058]処理システム100は、とりわけ、プラズマ源、真空ポンプシステム、アクセスドア、マイクロマシニング、レーザシステム、および自動ハンドリングシステムなどの、図1に特に示されていない他のシステムを、さらに含むことができる。図示のチャンバは一例として提供されており、ワークピースの性質および所望のプロセスに応じて、本発明と共に任意の様々な他のチャンバを使用することができる。記載されたペデスタルおよび熱流体制御システムは、異なる物理的チャンバおよびプロセスと共に使用するために適合され得る。
[0059]この説明では、多数の詳細が述べられているが、本発明がこれらの具体的な詳細なしに実施され得ることは、当業者には明らかであろう。いくつかの例では、本発明を不明瞭にすることを避けるために、周知の方法および装置は、詳細にではなくブロック図の形で示されている。本明細書を通じて「実施形態(an embodiment)」または「一実施形態(one embodiment)」と言及した場合、その実施形態に関連して説明した特定の特徴、構造、機能または特性が本発明の少なくとも一つの実施形態に含まれることを、意味する。したがって、本明細書を通じて様々な箇所に「実施形態では」または「一実施形態では」という句が出現しても、必ずしも本発明の同じ実施形態を指すとは限らない。さらに、特定の特徴、構造、機能、または特性は、1つ以上の実施形態において任意の適切な方法で組み合わせることができる。例えば、2つの実施形態に関連する特定の特徴、構造、機能、または特性が相互に排他的ではない場合はいつでも、第1の実施形態を第2の実施形態と組み合わせることができる。
[0060]本発明の明細書および添付の特許請求の範囲で使用される場合、単数形「1つの(a)」、「1つの(an)」および「その(the)」は、文脈が明らかにそうでないことを示さない限り、複数形も含むことを意図する。本明細書で使用される「および/または」という言葉は、対応する列挙された項目のうちの1つ以上の項目の任意かつ全ての可能な組合せを指し、それらを包含する、ということもまた理解されよう。
[0061]「結合された」および「接続された」という言葉は、それらの派生語とともに、構成要素間の機能的または構造的関係を説明するために、本明細書で使用され得る。これらの言葉は互いに同義語として意図されていないことを、理解されたい。むしろ、特定の実施形態では、「接続された」は、2つ以上の要素が互いに物理的、光学的、または電気的に直接接触していることを示すために、使用され得る。「結合された」は、2つ以上の要素が互いに直接的または間接的に(他の要素が間に介在している)物理的、光学的、または電気的に接触していること、および/または2つ以上の要素が互いに協働する、もしくは相互作用する(例えば、因果関係のように)ことを示すために使用され得る。
[0062]本明細書で使用される「上方に(over)」、「下に(under)」、「間に(between)」、および「上に(on)」という言葉は、そのような物理的関係が注目に値する場合に、他の構成要素または層に対するある構成要素または材料層の相対位置を指す。例えば、材料層の文脈において、他の層の上(over)または下(under)に配置されたある層は、該他の層と直接接触していてもよいし、または1つ以上の介在層を有していてもよい。さらに、2つの層の間(between)に配置された1つの層は、該2つの層と直接接触していてもよいし、または1つ以上の介在層を有していてもよい。対照的に、第2の層の「上に(on)」ある第1の層は、その第2の層と直接接触している。構成要素アセンブリの文脈において、同様の区別がなされるべきである。
[0063]ESC設計の異なる実施形態の例は、ヘリウム孔内でのプラズマ点火を防止するための冷却プレート内の多孔性プラグを含む。
[0064]実施形態は、誘電体プラグが冷却プレートのガス孔に配置される上記設計を含む。
[0065]実施形態は、冷却プレートが導電性である上記設計を含む。
[0066]実施形態は、誘電体プラグが貫通孔を有するか、または多孔性であって、ヘリウムがそれを通って冷却プレートから上部プレート内の多孔性プラグに入ることを可能にする上記設計を含む。
[0067]実施形態は、冷却プレートが、冷却プレートの下方のガスが冷却プレートの多孔性プラグへのチャネルに供給されるガス孔のための取り付け具を有する、上記設計を含む。
[0068]実施形態は、冷却プレート内のチャネルがパック内の多孔性プラグ内にガスを供給する上記設計を含む。
[0069]実施形態は、多孔性プラグが、加圧下でガスを、ウエハ裏面に向かって、パックの頂部を貫通する孔に結合する上記設計を含む。
[0070]実施形態は、多孔性プラグが多孔性誘電体材料から作られる上記設計を含む。
[0071]実施形態は、多孔性プラグが多孔性セラミック材料、例えば窒化アルミニウム(AlN)、酸化アルミニウム(Al)、ポリエーテルエーテルケトン(PEEK)、VELSEL(登録商標)、または任意の他の適切な材料から作られる上記設計を含む。
[0072]実施形態は、冷却プレート内のガスチャネルおよびガス孔側壁が窒化アルミニウムまたはイットリアなどの誘電体コーティングで覆われている上記設計を含む。
[0073]実施形態は、冷却プレートの頂部が窒化アルミニウムまたはイットリアなどの誘電体コーティングで覆われている上記設計を含む。
[0074]実施形態は、ワークピースを保持するための手段を有する静電気を用いてワークピースを支持するための手段と、保持するための手段を冷却するための手段と、ワークピースの裏側に冷却ガスを運ぶための手段とを含み、運ぶための手段は、冷却するための手段の中に多孔性誘電体手段を含む。
[0075]実施形態は、上部プレートおよび冷却プレートを備えた静電チャックを使用してワークピースを処理する方法を含み、この方法は、冷却プレートから上部プレートを通って上部プレートのガス孔を通ってワークピースの裏側に冷却ガスを運ぶことを含み、運ぶことは、冷却プレート内の誘電体多孔性プラグを通って冷却ガスを運ぶことを含む。

Claims (18)

  1. 処理のためにワークピースを支持する静電チャックであって、
    前記ワークピースを支持する上部プレートであって、前記ワークピースを保持する電極を有する上部プレートと、
    前記上部プレートの下にある冷却プレートであって、前記上部プレートを冷却する冷却プレートと、
    前記冷却プレートおよび前記上部プレートを貫通するガス孔であって、前記上部プレートを通って前記ワークピースにガスを供給するガス孔と、
    前記冷却プレートのガス孔内の開口縮小プラグであって、前記ガス孔を通ってガス流を導く開口縮小プラグと
    を備え、前記冷却プレートが、前記ガスを前記上部プレート内のテーパ形状のプラグへと供給し、当該テーパ形状のプラグは、前記冷却プレートの反対方向を向く上面と、前記冷却プレートに面する底面とを有し、かつ前記テーパ形状のプラグは、前記冷却プレートから前記上部プレートへの方向で内側に先細りするように狭くなる円錐直径を有し、前記底面が、前記テーパ形状のプラグの最大径を有し、前記上面が、前記テーパ形状のプラグの最小径を有し、前記ガス孔の一部が前記テーパ形状のプラグの前記上面から前記上部プレートを通って延びる、静電チャック。
  2. 前記開口縮小プラグが、前記ガス孔内でのプラズマ点火を防止する、請求項1に記載の静電チャック。
  3. 前記開口縮小プラグが、誘電体である、請求項1または2に記載の静電チャック。
  4. 前記冷却プレートが、導電性である、請求項1から3のいずれか一項に記載の静電チャック。
  5. 前記テーパ形状のプラグが、多孔性プラグである、請求項1から4のいずれか一項に記載の静電チャック。
  6. 前記開口縮小プラグが、多孔性セラミック材料から作られている、請求項1から5のいずれか一項に記載の静電チャック。
  7. 前記多孔性セラミック材料が、窒化アルミニウム(AlN)、酸化アルミニウム(Al)、ポリエーテルエーテルケトン(PEEK)、VELSEL(登録商標)のうちの少なくとも1つを含む、請求項6に記載の静電チャック。
  8. 前記開口縮小プラグが、当該開口縮小プラグを通ってガス流を導く中央管をさらに含む、請求項1から7のいずれか一項に記載の静電チャック。
  9. 前記開口縮小プラグが、中実材料で作られている、請求項8に記載の静電チャック。
  10. 前記開口縮小プラグが、当該開口縮小プラグの高さ全体にわたって延びて当該開口縮小プラグを通ってガス流を導く複数の追加の管をさらに含む、請求項9に記載の静電チャック。
  11. 前記冷却プレート内のガスチャネルおよび/もしくは前記ガス孔の側壁が、窒化アルミニウムまたはイットリアなどの誘電体コーティングで覆われている、請求項1から10のいずれか一項に記載の静電チャック。
  12. 前記冷却プレートの上部が、窒化アルミニウムまたはイットリアなどの誘電体コーティングで覆われている、請求項1から11のいずれか一項に記載の静電チャック。
  13. 上部プレートおよび冷却プレートを備えた静電チャックを使用してワークピースを処理する方法であって、前記冷却プレートから前記上部プレート内のプラグへと前記上部プレート内のガス孔を通って前記ワークピースの裏側に冷却ガスを導くことを含み、前記プラグは、上面と底面を有し、かつテーパ形状を有し、前記上面は前記冷却プレートの反対方向を向き、前記底面は前記冷却プレートに面しており、前記プラグは前記冷却プレートから前記上部プレートへの方向で内側に先細りするように狭くなる円錐直径を有し、前記底面が、前記プラグの最大径を有し、前記上面が、前記プラグの最小径を有し、前記ガス孔が前記プラグの前記上面から前記上部プレートを通って延び、前記導くことが、前記冷却プレート内の開口縮小プラグを通って前記冷却ガスを導くことを含む、方法。
  14. 前記開口縮小プラグが、前記冷却プレートを通る孔を通ってガスを導く中央管を有する固体金属を含む、請求項13に記載の方法。
  15. さらに、前記冷却ガスを導く間に、プラズマチャンバ内で前記ワークピースにプラズマを付与することを含む、請求項13に記載の方法。
  16. プラズマチャンバと、
    ガスイオンを含むプラズマを前記プラズマチャンバ内に発生させるプラズマ源と、
    ワークピースを支持する静電チャックと、
    を備えるプラズマ処理チャンバであって、
    前記静電チャックが、
    前記ワークピースを支持する上部プレートであって、前記ワークピースを保持する電極を有する上部プレートと、
    前記上部プレートの下にある冷却プレートであって、前記上部プレートを冷却する冷却プレートと、
    前記冷却プレートおよび前記上部プレートを貫通するガス孔であって、前記上部プレートを通って前記ワークピースにガスを供給するガス孔と、
    前記冷却プレートのガス孔内の開口縮小プラグであって、前記ガス孔を通ってガス流を導く開口縮小プラグと、を含み、
    前記冷却プレートが、前記ガスを前記上部プレート内のテーパ形状のプラグへと供給し、当該テーパ形状のプラグは、前記冷却プレートの反対方向を向く上面と、前記冷却プレートに面する底面とを有し、かつ前記テーパ形状のプラグは、前記冷却プレートから前記上部プレートへの方向で内側に先細りするように狭くなる円錐直径を有し、前記底面が、前記テーパ形状のプラグの最大径を有し、前記上面が、前記テーパ形状のプラグの最小径を有し、前記ガス孔の一部が前記テーパ形状のプラグの前記上面から前記上部プレートを通って延びる、プラズマ処理チャンバ。
  17. 前記静電チャックがさらに、前記冷却プレートの下に支持プレートを備え、前記支持プレートは、加圧下で前記ガス孔に前記ガスを供給するためにガスラインに接続するように構成されている、請求項16に記載のチャンバ。
  18. 前記開口縮小プラグが、前記冷却プレートのガス孔を通って前記上部プレートにガス流を導く中央管を有する多孔性セラミックを含む、請求項16に記載のチャンバ。
JP2020099889A 2016-06-07 2020-06-09 ガス孔に開口縮小プラグを有する大電力静電チャック Active JP7169319B2 (ja)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201662346802P 2016-06-07 2016-06-07
US62/346,802 2016-06-07
US201662352717P 2016-06-21 2016-06-21
US62/352,717 2016-06-21
US15/383,124 2016-12-19
US15/383,124 US10770270B2 (en) 2016-06-07 2016-12-19 High power electrostatic chuck with aperture-reducing plug in a gas hole

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2018563555A Division JP6717985B2 (ja) 2016-06-07 2017-01-24 ガス孔に開口縮小プラグを有する大電力静電チャック

Publications (2)

Publication Number Publication Date
JP2020174180A JP2020174180A (ja) 2020-10-22
JP7169319B2 true JP7169319B2 (ja) 2022-11-10

Family

ID=60483953

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2018563555A Active JP6717985B2 (ja) 2016-06-07 2017-01-24 ガス孔に開口縮小プラグを有する大電力静電チャック
JP2020099889A Active JP7169319B2 (ja) 2016-06-07 2020-06-09 ガス孔に開口縮小プラグを有する大電力静電チャック

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2018563555A Active JP6717985B2 (ja) 2016-06-07 2017-01-24 ガス孔に開口縮小プラグを有する大電力静電チャック

Country Status (6)

Country Link
US (1) US10770270B2 (ja)
JP (2) JP6717985B2 (ja)
KR (2) KR102487566B1 (ja)
CN (2) CN116544171A (ja)
TW (2) TWI722103B (ja)
WO (1) WO2017213714A1 (ja)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10867816B2 (en) * 2016-12-13 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for wafer backside cooling
JP6994981B2 (ja) * 2018-02-26 2022-01-14 東京エレクトロン株式会社 プラズマ処理装置及び載置台の製造方法
JP6922874B2 (ja) * 2018-03-14 2021-08-18 Toto株式会社 静電チャック
CN110277343B (zh) 2018-03-14 2023-06-30 Toto株式会社 静电吸盘
JP7205285B2 (ja) * 2018-03-14 2023-01-17 Toto株式会社 静電チャック
SG11202008969PA (en) * 2018-03-23 2020-10-29 Applied Materials Inc Isolated backside helium delivery system
JP7020238B2 (ja) * 2018-03-29 2022-02-16 住友大阪セメント株式会社 静電チャック装置
US11456161B2 (en) * 2018-06-04 2022-09-27 Applied Materials, Inc. Substrate support pedestal
US10971352B2 (en) 2018-07-16 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd Cleaning method and apparatus
US11715652B2 (en) * 2018-09-28 2023-08-01 Ngk Insulators, Ltd. Member for semiconductor manufacturing apparatus
US10896837B2 (en) * 2018-10-01 2021-01-19 Lam Research Corporation Ceramic foam for helium light-up suppression
JP7002014B2 (ja) 2018-10-30 2022-01-20 Toto株式会社 静電チャック
JP7402411B2 (ja) * 2018-10-30 2023-12-21 Toto株式会社 静電チャック
US11626310B2 (en) * 2018-10-30 2023-04-11 Toto Ltd. Electrostatic chuck
KR20210072114A (ko) * 2018-11-01 2021-06-16 램 리써치 코포레이션 He 홀 라이트-업 (light-up)/아크 (arcing) 를 방지하는 특징들을 갖는 고전력 정전 척
CN113228496A (zh) * 2019-01-24 2021-08-06 京瓷株式会社 静电卡盘
JP7441404B2 (ja) * 2019-03-05 2024-03-01 Toto株式会社 静電チャック、および処理装置
CN111668151A (zh) * 2019-03-05 2020-09-15 Toto株式会社 静电吸盘及处理装置
JP7441403B2 (ja) 2019-03-05 2024-03-01 Toto株式会社 静電チャック、および処理装置
CN111668150A (zh) * 2019-03-05 2020-09-15 Toto株式会社 静电吸盘及处理装置
CN113728424A (zh) * 2019-04-22 2021-11-30 朗姆研究公司 具有对于晶片的空间性可调谐rf耦合的静电卡盘
CN113994462A (zh) * 2019-09-06 2022-01-28 Toto株式会社 静电吸盘
JP7291046B2 (ja) * 2019-09-18 2023-06-14 新光電気工業株式会社 基板固定装置
CN112863983B (zh) * 2019-11-28 2023-09-29 中微半导体设备(上海)股份有限公司 用于等离子体处理设备的下电极组件和等离子体处理设备
JP7304799B2 (ja) * 2019-11-28 2023-07-07 東京エレクトロン株式会社 基板処理装置および配管アセンブリ
CN112908919A (zh) * 2019-12-04 2021-06-04 中微半导体设备(上海)股份有限公司 静电吸盘装置及包括该静电吸盘装置的等离子体处理装置
JP2022094023A (ja) * 2020-12-14 2022-06-24 東京エレクトロン株式会社 プラズマ処理装置
JP7372271B2 (ja) * 2021-01-06 2023-10-31 日本碍子株式会社 半導体製造装置用部材及びその製法
JP7382978B2 (ja) 2021-02-04 2023-11-17 日本碍子株式会社 半導体製造装置用部材及びプラグ
CN113078068B (zh) * 2021-03-23 2022-04-29 长江存储科技有限责任公司 铜导线的形成方法
KR20230138021A (ko) 2021-10-20 2023-10-05 니테라 컴퍼니 리미티드 유지 장치
WO2023121945A1 (en) * 2021-12-22 2023-06-29 Lam Research Corporation Electrostatic chucks with self-sealing gas conduits and/or reduced clogging due to residue
JP2023106928A (ja) * 2022-01-21 2023-08-02 日本碍子株式会社 半導体製造装置用部材
WO2024072777A1 (en) * 2022-09-30 2024-04-04 Applied Materials, Inc. Large diameter porous plug for argon delivery and two stage soft chucking method

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013232641A (ja) 2012-04-27 2013-11-14 Ngk Insulators Ltd 半導体製造装置用部材
JP2014209615A (ja) 2013-03-29 2014-11-06 Toto株式会社 静電チャック
JP2015195346A (ja) 2014-03-27 2015-11-05 Toto株式会社 静電チャック

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3225850B2 (ja) * 1995-09-20 2001-11-05 株式会社日立製作所 静電吸着電極およびその製作方法
US6108189A (en) * 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5720818A (en) * 1996-04-26 1998-02-24 Applied Materials, Inc. Conduits for flow of heat transfer fluid to the surface of an electrostatic chuck
US6047480A (en) * 1998-04-13 2000-04-11 Motorola, Inc. Method of processing a semiconductor device
US6478924B1 (en) * 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6353210B1 (en) * 2000-04-11 2002-03-05 Applied Materials Inc. Correction of wafer temperature drift in a plasma reactor based upon continuous wafer temperature measurements using and in-situ wafer temperature optical probe
US6483690B1 (en) * 2001-06-28 2002-11-19 Lam Research Corporation Ceramic electrostatic chuck assembly and method of making
TWI234417B (en) * 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
JP4397271B2 (ja) * 2003-05-12 2010-01-13 東京エレクトロン株式会社 処理装置
JP2005076071A (ja) * 2003-08-29 2005-03-24 Kobe Steel Ltd 脱ガス装置
US7718007B2 (en) * 2005-03-17 2010-05-18 Tokyo Electron Limited Substrate supporting member and substrate processing apparatus
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US20090086401A1 (en) 2007-09-28 2009-04-02 Intevac, Inc. Electrostatic chuck apparatus
US20090086400A1 (en) 2007-09-28 2009-04-02 Intevac, Inc. Electrostatic chuck apparatus
JP5331519B2 (ja) 2008-03-11 2013-10-30 日本碍子株式会社 静電チャック
US9218997B2 (en) * 2008-11-06 2015-12-22 Applied Materials, Inc. Electrostatic chuck having reduced arcing
JP5449750B2 (ja) 2008-11-19 2014-03-19 株式会社日本セラテック 静電チャックおよびその製造方法
US20100326602A1 (en) 2009-06-30 2010-12-30 Intevac, Inc. Electrostatic chuck
US20110024049A1 (en) 2009-07-30 2011-02-03 c/o Lam Research Corporation Light-up prevention in electrostatic chucks
US9608549B2 (en) 2011-09-30 2017-03-28 Applied Materials, Inc. Electrostatic chuck
JP6223983B2 (ja) 2011-09-30 2017-11-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 温度制御付き静電チャック
TW201334213A (zh) 2011-11-01 2013-08-16 Intevac Inc 處理太陽能電池晶圓的靜電吸盤
US9076831B2 (en) 2011-11-04 2015-07-07 Lam Research Corporation Substrate clamping system and method for operating the same
US9412635B2 (en) 2012-02-08 2016-08-09 Tokyo Electron Limited Electrostatic chuck device
JP6180510B2 (ja) 2012-04-26 2017-08-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Escの接着剤の浸食を防止するための方法及び装置
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9622375B2 (en) * 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US20150332942A1 (en) * 2014-05-16 2015-11-19 Eng Sheng Peh Pedestal fluid-based thermal control
JP6377975B2 (ja) * 2014-06-23 2018-08-22 新光電気工業株式会社 基板固定装置
WO2016014138A1 (en) * 2014-07-23 2016-01-28 Applied Materials, Inc. Tunable temperature controlled substrate support assembly
US10002782B2 (en) 2014-10-17 2018-06-19 Lam Research Corporation ESC assembly including an electrically conductive gasket for uniform RF power delivery therethrough

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013232641A (ja) 2012-04-27 2013-11-14 Ngk Insulators Ltd 半導体製造装置用部材
JP2014209615A (ja) 2013-03-29 2014-11-06 Toto株式会社 静電チャック
JP2015195346A (ja) 2014-03-27 2015-11-05 Toto株式会社 静電チャック

Also Published As

Publication number Publication date
TW201810512A (zh) 2018-03-16
JP2019519927A (ja) 2019-07-11
US10770270B2 (en) 2020-09-08
KR20190003837A (ko) 2019-01-09
KR102487566B1 (ko) 2023-01-11
CN116544171A (zh) 2023-08-04
CN109219873A (zh) 2019-01-15
WO2017213714A1 (en) 2017-12-14
KR102232803B1 (ko) 2021-03-25
JP6717985B2 (ja) 2020-07-08
TWI809356B (zh) 2023-07-21
JP2020174180A (ja) 2020-10-22
CN109219873B (zh) 2023-06-02
TWI722103B (zh) 2021-03-21
KR20210034115A (ko) 2021-03-29
TW202137281A (zh) 2021-10-01
US20170352568A1 (en) 2017-12-07

Similar Documents

Publication Publication Date Title
JP7169319B2 (ja) ガス孔に開口縮小プラグを有する大電力静電チャック
KR102354961B1 (ko) 페디스털 유체-기반 열 제어
US11948826B2 (en) High power electrostatic chuck design with radio frequency coupling
JP4481913B2 (ja) 基板ペデスタルアッセンブリ及び処理チャンバー
US20170352565A1 (en) Workpiece carrier with gas pressure in inner cavities
KR102503105B1 (ko) 응축 감소를 위해 기판 프로세싱 척을 이용하는 가스 유동
CN101471275B (zh) 一种被处理体的保持装置
JP2018113430A (ja) 接点を有する、応力均衡のとれた静電基板キャリア
KR20190088078A (ko) 후면 가스 공급부를 갖는 회전가능 정전 척
JP2019522374A (ja) 半導体及び機械処理におけるワークピースキャリアの上板としての処理済みウエハ
JP2004014752A (ja) 静電チャック、被処理体載置台およびプラズマ処理装置
KR20110083979A (ko) 플라즈마 처리 장치

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200709

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200709

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210819

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210831

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211130

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20220426

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220826

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20220826

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20220907

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20220913

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221004

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221028

R150 Certificate of patent or registration of utility model

Ref document number: 7169319

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150