KR102354961B1 - 페디스털 유체-기반 열 제어 - Google Patents

페디스털 유체-기반 열 제어 Download PDF

Info

Publication number
KR102354961B1
KR102354961B1 KR1020167034920A KR20167034920A KR102354961B1 KR 102354961 B1 KR102354961 B1 KR 102354961B1 KR 1020167034920 A KR1020167034920 A KR 1020167034920A KR 20167034920 A KR20167034920 A KR 20167034920A KR 102354961 B1 KR102354961 B1 KR 102354961B1
Authority
KR
South Korea
Prior art keywords
substrate
top surface
thermal fluid
bumps
temperature
Prior art date
Application number
KR1020167034920A
Other languages
English (en)
Other versions
KR20170003683A (ko
Inventor
엔그 셍 페
스리스칸타라자 티루나부카라수
카르티크 에루마라이
키란쿠마르 사반다이아
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20170003683A publication Critical patent/KR20170003683A/ko
Application granted granted Critical
Publication of KR102354961B1 publication Critical patent/KR102354961B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

열 유체를 사용하는 기판 캐리어의 열 제어가 설명된다. 일 예에서, 열적으로 제어된 기판 지지부는, 기판을 지지하기 위한 최상부면 - 최상부면은 기판에 열적으로 커플링됨 -, 열 유체를 운반하기 위해 최상부면에 열적으로 커플링된 열 유체 채널 - 열 유체는, 최상부면으로부터 열을 인출하고, 최상부면에 열을 제공하기 위한 것임 -, 및 열 유체를 열 유체 채널에 공급하기 위한 열 교환기를 포함하며, 열 교환기는, 기판 온도를 조정하기 위해 열 유체를 번갈아 가열 및 냉각시킨다.

Description

페디스털 유체-기반 열 제어{PEDESTAL FLUID-BASED THERMAL CONTROL}
[1] 본 발명의 실시예들은 마이크로일렉트로닉스 제조 산업에 관한 것으로, 더 상세하게는, 프로세싱 동안 워크피스를 지지하기 위한 온도 제어된 페디스털들에 관한 것이다.
[2] 반도체 칩들의 제조에서, 실리콘 웨이퍼 또는 다른 기판은, 상이한 프로세싱 챔버들에서의 다양한 상이한 프로세스들에 노출된다. 챔버들은 다수의 상이한 화학적 및 물리적 프로세스들에 웨이퍼를 노출시킬 수 있으며, 그에 의해, 미세한 집적 회로들이 기판 상에서 생성된다. 집적 회로를 구성하는 재료들의 층들은, 화학 기상 증착, 물리 기상 증착, 에피택셜 성장 등을 포함하는 프로세스들에 의해 생성된다. 재료의 층들 중 일부는, 포토레지스트 마스크들 및 습식 또는 건식 에칭 기술들을 사용하여 패터닝된다. 기판들은, 실리콘, 갈륨 아세나이드, 인듐 포스파이드, 유리, 또는 다른 적절한 재료일 수 있다.
[3] 이들 제조 프로세스들에서, 플라즈마가 다양한 재료 층들을 증착 또는 에칭시키기 위해 사용될 수 있다. 플라즈마 프로세싱은 열 프로세싱에 비해 많은 이점들을 제공한다. 예를 들어, 플라즈마 강화 화학 기상 증착(PECVD)은, 증착 프로세스들이 유사한 열 프로세스들보다 더 낮은 온도들에서 그리고 더 높은 증착 레이트들로 수행되도록 허용한다. 따라서, PECVD는 재료가 더 낮은 온도들에서 증착되도록 허용한다.
[4] 이들 프로세스들에서 사용되는 프로세싱 챔버들은 통상적으로, 프로세싱 동안 기판을 지지하기 위해, 기판 지지부 또는 그 내에 배치된 페디스털을 포함한다. 일부 프로세스들에서, 페디스털은, 기판의 온도를 제어하고 그리고/또는 프로세스에서 사용될 수 있는 상승된 온도들을 제공하도록 적응된 매립형 히터를 포함할 수 있다.
[5] 제조 기술들이 진보함에 따라, 프로세싱 동안의 웨이퍼의 온도는 더 중요해진다. 일부 페디스털들은, 워크피스로 종종 지칭되는 기판의 표면에 걸친 열 균일도를 위해 설계된다. 액체 냉각은, 플라즈마 전력 열(power heat)을 흡수하여 그것을 워크피스로부터 제거하기 위해 사용된다. 페디스털은 또한, 다수의 구역들에서 독립적으로 제어되는 히터들을 포함할 수 있다. 이것은, 화학 기상 및 플라즈마 조건들과 같은 상이한 프로세스들 하의 더 넓은 프로세스 윈도우를 허용한다.
[6] 많은 프로세스들에 있어서, 프로세싱 동안의 웨이퍼의 온도는, 웨이퍼 상의 구조들이 형성, 노출, 현상, 또는 에칭되는 레이트에 영향을 준다. 다른 프로세스들이 또한, 온도 의존성을 가질 수 있다. 더 정밀한 열 성능은 웨이퍼 상에서의 더 정밀하게 형성된 구조들을 허용한다. 웨이퍼에 걸친 균일한 에칭 레이트들은 더 작은 구조들이 웨이퍼 상에 형성되도록 허용한다. 따라서, 열 성능 또는 온도 제어는, 실리콘 칩 상의 트랜지스터들 및 다른 구조들의 사이즈를 감소시키는 요인이다.
[7] 열 유체를 사용하는 기판 캐리어의 열 제어가 설명된다. 일 예에서, 열적으로 제어된 기판 지지부는, 기판을 지지하기 위한 최상부면 -최상부면은 기판에 열적으로 커플링됨-, 열 유체를 운반하기 위해 최상부면에 열적으로 커플링된 열 유체 채널 -열 유체는, 최상부면으로부터 열을 인출하고, 최상부면에 열을 제공하기 위한 것임-, 및 열 유체를 열 유체 채널에 공급하기 위한 열 교환기를 포함하며, 열 교환기는, 기판 온도를 조정하기 위해 열 유체를 번갈아 가열 및 냉각시킨다.
[8] 본 발명의 실시예들은, 첨부한 도면들의 도들에서 제한이 아니라 예로서 도시된다.
[9] 도 1은 본 발명의 실시예에 따른, 페디스털 어셈블리를 포함하는 반도체 프로세싱 시스템의 개략도이다.
[10] 도 2는 본 발명의 실시예에 따른 페디스털 어셈블리의 등각도이다.
[11] 도 3은 본 발명의 실시예에 따른 도 2의 페디스털 어셈블리의 단면도이다.
[12] 도 4는 본 발명의 실시예에 따른 도 2의 페디스털 어셈블리의 냉각 플레이트의 평면도이다.
[13] 도 5는 본 발명의 실시예에 따른 도 2의 페디스털 어셈블리의 등각도이다.
[14] 도 6은 본 발명의 실시예에 따른 도 2의 페디스털 어셈블리의 최상부면의 일부들의 부분적인 단면도이다.
[15] 도 7은 본 발명의 실시예에 따른 도 2의 페디스털 어셈블리에 설치된 가스 플러그의 측단면도이다.
[16] 도 8은 본 발명의 실시예에 따른 도 7의 가스 플러그의 평면도이다.
[17] 도 9는 본 발명의 실시예에 따른 기판 지지부 어셈블리를 갖는 프로세싱 챔버를 동작시키는 프로세스 흐름도이다.
[18] 도 10은 본 발명의 실시예에 따른 정전척의 형태의 기판 지지부 어셈블리의 단면도이다.
[19] 다음의 설명에서, 다수의 세부사항들이 기재되지만, 본 발명이 이들 특정한 세부사항들 없이도 실시될 수 있다는 것이 당업자에게는 명백할 것이다. 일부 예시들에서, 잘-알려진 방법들 및 디바이스들은, 본 발명을 불명료하게 하는 것을 회피하기 위해 상세하게 도시되기보다는 블록도 형태로 도시된다. "실시예" 또는 "일 실시예"에 대한 본 명세서 전반에 걸친 참조는, 실시예와 관련하여 설명된 특정한 특성, 구조, 기능, 또는 특징이 본 발명의 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전반의 다양한 부분들에서의 "실시예에서" 또는 "일 실시예에서"라는 표현들은 본 발명의 동일한 실시예를 반드시 지칭할 필요는 없다. 또한, 특정한 특성들, 구조들, 기능들, 또는 특징들은 하나 또는 그 초과의 실시예들에서 임의의 적절한 방식으로 결합될 수 있다. 예를 들어, 2개의 실시예들(제 1 실시예 및 제 2 실시예)과 연관된 특정한 특성들, 구조들, 기능들, 또는 특징들이 어디든지 상호배타적이지 않은 데에서 제 1 실시예는 제 2 실시예와 결합될 수 있다.
[20] 본 발명의 설명 및 첨부된 청구항에서 사용될 때, 단수형들은, 문맥상 명확하게 달리 표시되지 않으면, 복수형들을 또한 포함하도록 의도된다. 본원에서 사용되는 용어 "및/또는"은 연관된 리스팅된 아이템들 중 하나 또는 그 초과의 임의의 그리고 모든 가능한 결합들을 지칭하고 포함한다는 것이 또한 이해될 것이다.
[21] 용어들 "커플링된" 및 "접속된"은, 그들의 파생어들과 함께, 컴포넌트들 사이의 기능적 또는 구조적 관계들을 설명하기 위해 본원에서 사용될 수 있다. 이들 용어들이 서로에 대해 동의어로서 의도되지 않음을 이해해야 한다. 오히려, 특정한 실시예들에서, "접속된"은, 2개 또는 그 초과의 엘리먼트들이 서로 직접적인 물리적, 광학적, 또는 전기적으로 접촉한다는 것을 표시하기 위해 사용될 수 있다. "커플링된"은, 2개 또는 그 초과의 엘리먼트들이 서로 직접적인 또는 간접적인(그들 사이에 다른 개재 엘리먼트들을 가짐) 물리적, 광학적, 또는 전기적으로 접촉하고, 그리고/또는 2개 또는 그 초과의 엘리먼트들이 서로 협력하거나 상호작용한다(예를 들어, 인과 관계에 있는 것과 같음)는 것을 표시하기 위해 사용될 수 있다.
[22] 본원에서 사용되는, 용어들 "위에", "아래에", "사이에", 그리고 "상에"는, 하나의 컴포넌트 또는 재료층의, 다른 컴포넌트들 또는 층들에 대한 상대적인 포지션을 지칭하며, 여기서, 그러한 물리적인 관계들이 주목할만한 하다. 예를 들어, 재료층들의 상황에서, 다른 층 위에 또는 아래에 배치된 하나의 층은, 다른 층과 직접적으로 접촉할 수 있거나, 하나 또는 그 초과의 개재층들을 가질 수 있다. 또한, 2개의 층들 사이에 배치된 하나의 층은, 2개의 층들과 직접적으로 접촉할 수 있거나, 하나 또는 그 초과의 개재층들을 가질 수 있다. 대조적으로, 제 2 층 "상의" 제 1 층은 그 제 2 층과 직접적으로 접촉한다. 유사한 구별들이 컴포넌트 어셈블리들의 상황에서 행해질 것이다.
[23] 웨이퍼 페디스털의 최상부면의 온도 및 결과로서의 웨이퍼의 온도는, 냉각제 유체를 가열 유체로서 또한 사용함으로써 프로세싱 동안 더 정밀하게 제어될 수 있다. 여분의 열을 제거하기 위해 사용되는 동일한 유체가 또한, 부가적인 열을 제공하기 위해 사용될 수 있다. 냉각제 유체의 온도는, 챔버 외부에 있는 열 교환기를 사용하여 정밀하게 제어될 수 있다.
[24] 저항성 가열 엘리먼트들이 더 이상 사용되지 않으면, 히터 구조들은 페디스털 어셈블리로부터 제거될 수 있다. 이것은 페디스털이 더 얇게 제조되도록 허용한다. 페디스털의 감소된 두께는, 냉각제 유체가 더 효율적으로 웨이퍼에 열적으로 커플링하도록 허용한다. 저항성 히터 트레이스(trace)들이 제거되는 경우, PID(Proportional-Integral-Derivative) 온도 제어기 센서, 제어 시스템, 및 전기 접속기들과 같은 다른 히터 컴포넌트들이 또한 회피된다.
[25] 대신, 외부 열 교환기가 냉각제의 온도를 증가 또는 감소시키기 위해 사용될 수 있다. 냉각제의 온도는, 냉각제가 페디스털로부터 유동함에 따라 페디스털 및 웨이퍼의 온도의 표시로서 측정 및 사용될 수 있다. 열전쌍들과 같은 부가적인 센서들이, 냉각제 온도에 부가하여 또는 그 대신에 사용될 수 있다. 많은 프로세스들에 대해, 열 교환기가 30℃ 내지 200℃ 범위 내에서 냉각제 온도를 제어하는 것이 충분하다.
[26] 가스는, 웨이퍼와 페디스털 사이에서 열 대류를 개선시키기 위해 페디스털의 최상부면과 웨이퍼 사이에서 웨이퍼의 후면에 전달될 수 있다. 효율적인 방사상 가스 유동은 웨이퍼의 후면에 걸친 가스 유동을 개선시킨다. 가스는, 페디스털 어셈블리의 베이스 내의 채널을 통해 페디스털의 최상부에 펌핑될 수 있다. 질량 유동 제어기는 페디스털을 통한 유동을 제어하기 위해 사용될 수 있다. 진공 또는 화학 증착 챔버에서, 후방 가스는, 프로세싱 동안 웨이퍼의 가열 및 냉각을 위한 열 전달용 매체를 제공한다. 가스 유동은, 히터 페디스털 설계에서 스텝형 포켓(stepped pocket)들 내의 웨이퍼의 중심으로부터 방사상 유동 패턴을 설정함으로써 개선될 수 있다.
[27] 열 전달은 또한, 페디스털과 웨이퍼의 사이에서 웨이퍼의 후면에 접촉하는 범프들을 사용하여 개선될 수 있다. 범프들의 표면 직경 및 수는, 범프들을 통한 증가된 열 전도를 위해 증가될 수 있다.
[28] 도 1은 본원에 설명된 실시예들에 따른 페디스털(128)을 갖는 플라즈마 시스템(100)의 부분적인 단면도이다. 페디스털(128)은, 기판이 다수의 프로세스 및 챔버 조건들에 영향을 받는 동안, 넓은 온도 범위에 걸쳐 페디스털 상에 포지셔닝된 기판의 온도의 활성 제어를 허용하는 활성 냉각 시스템을 갖는다. 플라즈마 시스템(100)은, 프로세싱 영역(120)을 정의하는 측벽들(112) 및 최하부 벽(116)을 갖는 프로세싱 챔버 몸체(102)를 포함한다.
[29] 페디스털(128)은, 시스템(100) 내의 최하부 벽(116)에 형성된 통로(122)를 통해 프로세싱 영역(120)에 배치된다. 페디스털(128)은 자신의 상부 표면 상에 기판(미도시)을 지지하도록 적응된다. 기판은, 다양한 상이한 재료들 중 임의의 재료로 구성된 챔버(100)에 의해 적용되는 프로세싱을 위한 다양한 상이한 워크피스들 중 임의의 워크피스일 수 있다. 페디스털(128)은 선택적으로, 원하는 프로세스 온도로 기판 온도를 가열 및 제어하기 위한 가열 엘리먼트들(미도시), 예를 들어, 저항성 엘리먼트들을 포함할 수도 있다. 대안적으로, 페디스털(128)은, 램프 어셈블리와 같은 원격 가열 엘리먼트에 의해 가열될 수 있다.
[30] 페디스털(128)은, 프로세싱 영역(120) 내에서의 페디스털(128)의 상승 및 움직임을 제어하는 구동 시스템을 포함할 수 있는 전력 배출구(power outlet) 또는 전력 박스(103)에 샤프트(126)에 의해 커플링된다. 샤프트(126)는 또한, 전기 전력을 페디스털(128)에 제공하기 위한 전기 전력 인터페이스들을 포함한다. 전력 박스(103)는 또한, 열전쌍 인터페이스와 같은 전기 전력 및 온도 표시자들을 위한 인터페이스들을 포함한다. 샤프트(126)는 또한, 전력 박스(103)에 분리가능하게 커플링하도록 적응된 베이스 어셈블리(129)를 포함한다. 원주 링(135)이 전력 박스(103) 위에 도시된다. 일 실시예에서, 원주 링(135)은, 베이스 어셈블리(129)와 전력 박스(103)의 상부 표면 사이에 기계적인 인터페이스를 제공하도록 구성된 기계적인 정지부 또는 랜드(land)로서 적응된 숄더이다.
[31] 로드(130)는, 최하부 벽(116)에 형성된 통로(124)를 통해 배치되고, 페디스털(128)을 통해 배치된 기판 리프트 핀들(161)을 활성화시키기 위해 사용된다. 기판 리프트 핀들(161)은, 페디스털 최상부면으로부터 워크피스를 리프팅시켜, 기판 이송 포트(160)를 통해 통상적으로 로봇(미도시)을 사용하여, 워크피스가 제거되고 챔버 안밖으로 취해지도록 허용한다.
[32] 챔버 리드(104)는 챔버 몸체(102)의 최상부 부분에 커플링된다. 리드(104)는, 리드(104)에 커플링된 하나 또는 그 초과의 가스 분배 시스템들(108)을 수용한다. 가스 분배 시스템(108)은, 샤워헤드 어셈블리(142)를 통해 반응물 및 세정 가스들을 프로세싱 영역(120B)으로 전달하는 가스 유입 통로(140)를 포함한다. 샤워헤드 어셈블리(142)는, 면판(146)의 중간에 배치된 차단 플레이트(144)를 갖는 환상형 베이스 플레이트(148)를 포함한다.
[33] 라디오 주파수(RF) 소스(165)는 샤워헤드 어셈블리(142)에 커플링된다. RF 소스(165)는, 샤워헤드 어셈블리(142)의 면판(146)과 가열된 페디스털(128) 사이에서의 플라즈마의 생성을 용이하게 하기 위해 샤워헤드 어셈블리(142)에 전력을 공급(power)한다. 일 실시예에서, RF 소스(165)는, 13.56 MHz RF 생성기와 같은 고주파수 라디오 주파수(HFRF) 전력 소스일 수 있다. 다른 실시예에서, RF 소스(165)는, HFRF 전력 소스, 및 300 kHz RF 생성기와 같은 저주파수 라디오 주파수(LFRF) 전력 소스를 포함할 수 있다. 대안적으로, RF 소스는, 플라즈마 생성을 용이하게 하기 위해 페디스털(128)과 같은 프로세싱 챔버 몸체(102)의 다른 부분들에 커플링될 수 있다. 유전체 격리자(158)는, RF 전력이 리드(104)로 전도되는 것을 방지하기 위해 리드(104)와 샤워헤드 어셈블리(142) 사이에 배치된다. 새도우 링(106)은, 페디스털(128)의 주변부 상에 배치될 수 있고, 이 새도우 링(106)은 페디스털(128)의 원하는 높이에서 기판에 인게이징(engage)한다.
[34] 선택적으로, 냉각 채널(147)은, 동작 동안 환상형 베이스 플레이트(148)를 냉각시키기 위해 가스 분배 시스템(108)의 환상형 베이스 플레이트(148)에 형성된다. 물, 에틸렌 글리콜, 가스 등과 같은 열 전달 유체는, 베이스 플레이트(148)가 미리 정의된 온도로 유지되도록 냉각 채널(147)을 통해 순환될 수 있다.
[35] 챔버 라이너 어셈블리(127)는, 프로세싱 영역(120) 내의 프로세싱 환경으로의 측벽들(101, 112)의 노출을 방지하기 위해 챔버 몸체(102)의 측벽들(101, 112)에 매우 근접하게 프로세싱 영역(120) 내에 배치된다. 라이너 어셈블리(127)는, 프로세싱 영역(120)으로부터 가스들 및 부산물들을 배기시키고 프로세싱 영역(120) 내의 압력을 제어하도록 구성되는 펌핑 시스템(164)에 커플링된 원주 펌핑 캐비티(125)를 포함한다. 복수의 배기 포트들(131)은 챔버 라이너 어셈블리(127) 상에 형성될 수 있다. 배기 포트들(131)은, 시스템(100) 내에서의 프로세싱을 촉진하는 방식으로 프로세싱 영역(120)으로부터 원주 펌핑 캐비티(125)로의 가스들의 유동을 허용하도록 구성된다.
[36] 시스템 제어기(170)는, 챔버에서의 제조 프로세스를 제어하기 위해 다양한 상이한 시스템들에 커플링된다. 제어기(170)는, 온도 제어 알고리즘들(예를 들어, 온도 피드백 제어)을 실행하기 위한 온도 제어기(175)를 포함할 수 있으며, 소프트웨어 또는 하드웨어 중 어느 하나 또는 소프트웨어 및 하드웨어 둘 모두의 결합일 수 있다. 시스템 제어기(170)는 또한, 중앙 프로세싱 유닛(172), 메모리(173) 및 입력/출력 인터페이스(174)를 포함한다. 온도 제어기는, 페디스털 상의 센서(미도시)로부터 온도 판독치(143)를 수신한다. 온도 센서는, 냉각제 채널 근방에 있거나, 웨이퍼 근방에 있거나, 페디스털의 유전체 재료에 배치될 수 있다. 온도 제어기(175)는, 열 교환기(177)와 같이 플라즈마 챔버(105) 외부에 있는 열 소스 및/또는 열 싱크(sink)와 페디스털 어셈블리(142) 사이에서의 열 전달 레이트에 영향을 주는 제어 신호들을 출력하기 위해, 감지된 온도 또는 온도들을 사용한다.
[37] 시스템은 또한, 온도 피드백 루프에 기초하여 유동이 제어되는 제어된 열 전달 유체 루프(141)를 포함할 수 있다. 예시적인 실시예에서, 온도 제어기(175)는 열 교환기(HTX)/냉각장치(177)에 커플링된다. 열 전달 유체는, 열 전달 유체 루프(141)를 통하여 밸브(미도시)에 의해 제어되는 레이트로 밸브를 통해 유동한다. 밸브는, 열 유체의 유량을 제어하기 위해 열 교환기에 또는 열 교환기 내부 또는 외부의 펌프에 통합될 수 있다. 열 교환 유체는, 페디스털 어셈블리(142) 내의 도관들을 통해 유동되고, 그 후, HTX(177)로 리턴한다. 열 전달 유체의 온도는 HTX에 의해 증가 또는 감소되며, 그 후, 유체는 페디스털 어셈블리로의 루프 백을 통해 리턴된다.
[38] HTX는, 열 전달 유체를 가열시키고 그에 의해 기판을 가열시키기 위한 히터(186)를 포함한다. 히터는, 가열된 유체가 열 유체를 포함하는 도관으로 교환기를 통해 열을 전도시키는 열 교환기로, 또는 열 교환기 내의 파이프 주변의 저항성 코일들을 사용하여 형성될 수 있다. HTX는 또한, 열 유체로부터 열을 인출하는 냉각기(188)를 포함한다. 이것은, 주변 공기로 또는 냉각제 유체로 열을 덤핑(dump)시키기 위한 방열기(radiator)를 사용하여 또는 다양한 다른 방식들 중 임의의 방식으로 행해질 수 있다. 히터 및 냉각기는, 온도 제어된 유체가 먼저 가열 또는 냉각되고, 그 후, 제어 유체의 열이 열 전달 유체 루프에서 열 유체의 열과 교환되도록 결합될 수 있다.
[39] 페디스털 어셈블리(142) 내의 유체 도관들과 HTX(177) 사이의 밸브(또는 다른 유동 제어 디바이스들)는, 열 전달 유체의 유체 루프로의 유동의 레이트를 제어하기 위하여 온도 제어기(175)에 의해 제어될 수 있다. 온도 제어기(175), 온도 센서, 및 밸브는 구성 및 동작을 간략화시키기 위해 결합될 수 있다. 실시예들에서, 열 교환기는, 열 전달 유체가 유체 도관으로부터 리턴한 이후 열 전달 유체의 온도를 감지하며, 유체의 온도 및 챔버(102)의 동작 상태에 대한 원하는 온도에 기초하여 열 전달 유체를 가열 또는 냉각시킨다.
[40] 전기 히터들(미도시)은 또한, 열을 페디스털 어셈블리에 적용하기 위해 페디스털 어셈블리에서 사용될 수 있다. 통상적으로, 저항성 엘리먼트들의 형태인 전기 히터들은, 원하는 온도를 획득하도록 히터 엘리먼트들을 에너자이징(energize)하기 위하여 온도 제어 시스템(175)에 의해 제어되는 전력 공급부(179)에 커플링된다.
[41] 열 전달 유체는, 탈이온수/에틸렌 글리콜, 3M으로부터의 Fluorinert® 또는 Solvay Solexis 사로부터의 Galden®과 같은 불화된(fluorinated) 냉각제, 또는 과불화된(perfluorinated) 비활성 폴리에테르들을 포함하는 것들과 같은 임의의 다른 적절한 유전체 유체와 같은 액체일 수 있지만, 이에 제한되지는 않는다. 본 발명의 설명이 PECVD 프로세싱 챔버의 맥락에서 페디스털을 설명하지만, 본원에 설명된 페디스털은, 다양한 상이한 챔버들에서 그리고 다양한 상이한 프로세스들에 대해 사용될 수 있다.
[42] 가압된 가스 공급부 또는 펌프와 같은 후방 가스 소스(178) 및 가스 저장소는, 질량 유동 계량기(meter)(185) 또는 다른 타입의 밸브를 통해 척 어셈블리(142)에 커플링된다. 후방 가스는 아르곤, 또는 챔버의 프로세스들에 영향을 주지 않으면서 웨이퍼와 퍽(puck) 사이에 열 대류를 제공하는 임의의 가스일 수 있다. 가스 소스는, 시스템이 접속되는 시스템 제어기(170)의 제어 하에서, 더 상세히 아래에서 설명되는 페디스털 어셈블리의 가스 배출구를 통해 웨이퍼의 후면으로 가스를 펌핑한다.
[43] 프로세싱 시스템(100)은 또한, 그 중에서도, 플라즈마 소스들, 진공 펌프 시스템들, 액세스 도어들, 마이크로머시닝, 레이저 시스템들, 및 자동화된 핸들링 시스템들과 같이 도 1에 상세히 도시되지 않은 다른 시스템들을 포함할 수 있다. 예시된 챔버는 예로서 제공되며, 워크피스 및 원하는 프로세스들의 속성에 의존하여, 다양한 다른 챔버들 중 임의의 챔버가 본 발명과 함께 사용될 수 있다. 설명된 페디스털 및 열 유체 제어 시스템은, 상이한 물리 챔버들 및 프로세스들과 함께 사용을 위해 적응될 수 있다.
[44] 도 2는, 실시예에 따른 웨이퍼 페디스털(200)의 형태의 기판 지지부 어셈블리의 등각도이다. 페디스털 또는 캐소드는 최상부 유전체 표면(202) 및 지지 샤프트(204)를 갖는다. 최상부 유전체 표면은, 주조 및 머시닝되고, 그 후, 질화 알루미늄, 산화 알루미늄, 또는 다른 산화물 또는 세라믹 재료와 같은 유전체로 코팅된 알루미늄 플레이트를 사용하여 형성될 수 있다. 대안적으로, 최상부면은 산화물, 세라믹, 또는 다른 유전체 재료로부터 전체적으로 형성될 수 있다. 웨이퍼 페디스털의 유전체 최상부면을 포함하는 이러한 최상부 플레이트는 본원에서 퍽으로 지칭될 것이다. 가스 배출구(206)에는, 유전체 퍽(202)의 중심을 통해 구멍이 뚫려있다. 가스 플러그(208)는, 지지부 컬럼(204)으로부터 바깥으로 가스 배출구(206)를 통해 유전체 퍽(202)의 최상부면으로의 가스의 유동을 제어하기 위해 가스 배출 채널(206)의 중심에 삽입된다.
[45] 유전체 퍽의 최상부면은, 유전체 퍽의 최상부 상에 놓인 웨이퍼 또는 임의의 다른 기판이 작은 범프들의 어레이에 의해 지지될 것이도록 복수의 범프들(210)을 갖는다. 작은 범프들은 유전체 퍽의 표면 상에 형성될 수 있거나, 범프들이 부착될 수 있다. 범프들은 퍽의 최상부면으로부터 떨어져서 웨이퍼를 홀딩한다. 웨이퍼의 포지션은 각각의 범프의 높이에 의해 결정된다.
[46] 도 3은 도 2의 페디스털 어셈블리(200)의 단면 측면도이다. 도 3에 도시된 바와 같이, 페디스털 어셈블리의 베이스(204)는, 도 1의 가스 소스(178)와 같은 외부 소스로부터 열-전도성 가스를 수신하는 중앙 가스 튜브(304)를 갖는다. 가스는, 페디스털 지지부의 중심의 튜브를 통해 가스 플러그(208)로 펌핑 업된다. 가스 플러그로부터, 가스는, 페디스털로부터 유전체 퍽(202)과 유전체 퍽 위의 웨이퍼(302) 사이의 공간(306)으로 나간다.
[47] 페디스털 어셈블리는 3개의 별개의 주요 부분들로 형성되지만, 본 발명은 그렇게 제한되지는 않는다. 웨이퍼(302)와 대략적으로 동일한 표면적을 갖는 유전체 퍽에 의해 형성되는 상부 디스크 형상 구조(202)가 존재한다. 예시된 예에서, 웨이퍼는, 예를 들어, 약 300mm의 직경을 갖는다. 따라서, 퍽은, 예를 들어, 약 330mm의 직경을 갖는다. 워크피스 및 퍽은, 직사각형을 포함하는 다른 형상들을 가질 수 있고, 임의의 원하는 사이즈를 가질 수 있다. 퍽은, 낮은 전기 전도율을 갖는 세라믹 또는 다른 단단한 재료로 제조될 수 있다. 다른 것들 중에서도, 산화 알루미늄 및 질화 알루미늄이 적절한 재료들이다. 높은 열 전도율이 일부 애플리케이션들에서는 이점이지만, 열 전도는 또한, 퍽을 매우 얇게 제조함으로써 향상될 수 있다.
[48] 퍽에 부착된 하부 히터 플레이트(308) 및 그 히터 플레이트에 부착된 지지 샤프트(204)가 존재한다. 히터 플레이트 및 지지 샤프트는, 알루미늄과 같이 높은 열 전도율을 갖는 강한 금속, 또는 다른 재료들로 제조될 수 있다. 유전체 퍽은, 용접(welding) 프로세스 접착제, 또는 볼트들 또는 스크류들(미도시)과 같은 다른 체결구(fastener)를 사용하여 히터 플레이트에 부착된다.
[49] 히터 플레이트는 냉각제 채널들(310)의 패턴을 갖는다. 예시된 예에서, 냉각제 채널들은, 히터 플레이트의 최상부면 상에서 개방된 홈들로서 하부 히터 플레이트로 머시닝된다. 냉각제 채널들은, 냉각제 채널들의 최상부들 위에 최상부 유전체 퍽(202)을 부착함으로써 폐쇄된다. 퍽이 냉각제 채널들의 최상부면을 형성하는 이러한 설계는 열 전달 유체가 퍽에 직접적으로 접촉하도록 허용하여, 퍽과 열 전달 유체 사이의 열 전도를 개선시킨다. 냉각제 채널들은 유입구(312)를 가지며, 여기서, 냉각제 유체는 열 교환기로부터 페디스털(204)의 베이스를 통해 냉각제 채널들로 유동한다. 냉각제는 채널을 통해 유동하고, 냉각제 배출구(314)에 도달하며, 여기서, 그 배출구는, 유입 냉각제에 의해 배출구 밖의 열 교환기로 다시 푸쉬(push)된다. 도 1에 도시된 것과 같은 열 교환기(177)는, 특정한 제어된 온도로 열 전달 유체를 다양한 챔버들 내의 하나 또는 그 초과의 페디스털에 공급할 수 있다.
[50] 열 전달 유체의 온도를 제어함으로써, 웨이퍼의 온도가 제어될 수 있다. 열 전달 유체는, 히터 플레이트(308) 및 퍽과 직접적으로 물리적으로 접촉한다. 히터 플레이트는 또한, 웨이퍼(302)를 지지하는 상부 유전체 퍽(202)에 열적으로 커플링된다. 가스 채널(304)은, 웨이퍼와 유전체 퍽 사이의 공간으로 가스를 적용한다. 이러한 가스는, 챔버가 진공 챔버이더라도, 열이 웨이퍼와 유전체 퍽 사이에서 전도되도록 허용하는 열 전도 매체이다. 이러한 방식으로, 웨이퍼의 온도는, 냉각제 채널들에서 열 전달 유체의 온도를 제어함으로써 제어될 수 있다.
[51] 도 4는, 히터 플레이트(308)의 최상부를 도시하는, 유전체 퍽(202)이 제거된 페디스털 어셈블리(200)의 평면도이다. 도시된 바와 같이, 냉각제 유입구(312)는, 원형 패턴(circular pattern)으로 냉각제 히터 플레이트를 순환시키는 개방된 냉각제 채널(310)에 열 전달 유체를 제공하며, 그 원형 패턴은, 가스 배출구(206) 근방의 퍽의 중심 근방에서 시작하고, 퍽의 주변부(404)에 각각 더 가까운 일련의 동심 아크들에서 외부를 향해 중심 주변에서 이동한다. 리턴 채널(406)은, 주변부로부터 다시 퍽의 중심을 향해 그리고 냉각제 출구(314)로 방사상으로 이어져있다(run).
[52] 경로들에 따르는 냉각제 채널들은, 상이한 애플리케이션들, 구성 재료들, 유동 요건들, 및 열 전달 요건들에 맞춤화(suit)하기 위해 변경될 수 있다. 도시된 바와 같이, 각각의 아크는 거의 완전한 원이며, 각각의 아크는 그 아크 이전의 아크보다 중심으로부터 더 떨어져 있다. 아크들은, 완전한 원의 1/2, 1/3, 또는 다른 분수만을 커버하도록 더 짧게 제조될 수 있다. 아크들은 또한, 내부 아크에 외부 아크가 뒤따르고 그 외부 아크에는 다른 내부 아크가 뒤따르도록 하는 상이한 순서로 접속될 수 있다.
[53] 원형 패턴이 도시되지만, 나선형 패턴, 방사상 패턴, 또는 임의의 다른 패턴이 대안적으로 사용될 수 있다. 경로는, 냉각제가 히터 플레이트 상의 상이한 위치들 또는 다수의 위치들로부터 적용 및 제거되도록 변경될 수 있다. 중심 입구 및 출구는 냉각제 채널들이 스탠드(stand)(204)에 의해 용이하게 공급되도록 허용하지만, 냉각제가 다른 방식으로 히터 플레이트에 공급되면, 입구 및 출구는 에지 또는 히터 플레이트의 주변부에 더 가깝게 배치될 수 있다.
[54] 가스 유동을 위한 홀(206)이 또한, 히터 플레이트의 중심에 도시된다. 이러한 홀은, 가스 플러그가 삽입되는 유전체 퍽 내의 홀에 커플링한다.
[55] 도 5는, 자신의 베이스(204) 상에 있는(stand) 페디스털 어셈블리(200)의 최상부면의 확대된 등각도이다. 페디스털은 최상부 유전체 퍽(202) 및 하부 히터 플레이트(308)를 갖는다. 리프트 핀들(322)은, 웨이퍼가 퍽에 정전기적으로 부착되는 경우, 웨이퍼 아래에 있을 포지션에서 유전체 퍽의 주변 근방에 배치된다. 리프트 핀들은, 프로세스가 완료된 이후 유전체 퍽의 웨이퍼를 리프팅 오프시킨다. 가스 플러그(208)가 또한, 유전체 퍽의 중심에 존재한다.
[56] 유전체 퍽의 최상부면은 3개의 상이한 스텝형 구역들(502, 504, 506)으로 분할된다. 구역들은, 주변 구역(506)에 의해 둘러싸이고 에워싸이는 중간 구역(504)에 의해 중심 구역(502)이 둘러싸이고 에워싸이도록 동심이다. 각각의 구역은 상이한 높이의 범프들을 제공한다. 이러한 방식으로, 범프들의 최상부들 모두는 동일한 높이에 있다. 즉, 유전체 퍽의 표면은 각각의 스텝에서 점진적으로 더 높아지지만, 웨이퍼의 평평한 최하부 표면은 범프들에 걸쳐 수평하게 지지된다. 이것은, 가스 플러그(206)로부터의 가스가 유전체 퍽의 주변부를 향해 웨이퍼와 유전체 퍽 사이의 공간에서 유전체 퍽의 중심으로부터 외곽으로 용이하게 유동하도록 허용한다. 주변부로부터, 가스는 유전체 퍽의 측면들을 빠져나올 수 있다(escape out). 그 후, 이러한 가스는 배기 펌프 또는 임의의 다른 원하는 접근법을 사용하여 챔버로부터 제거될 수 있다.
[57] 3개의 상이한 스텝 구역들이 도 6에 단면도로서 도시된다. 중심 구역(502)에서, 범프들(520)은 초기의 더 높은 높이(526)를 가지며, 범프들 주변의 유전체 퍽(524)의 최하부는 제 1 깊이에 있다. 중간 구역(504)에서, 범프들(532)은 더 낮으며, 즉, 범프들의 최상부들은 유전체 퍽(534)의 표면의 최하부에 더 가깝게 이격된다. 따라서, 유전체 퍽은 웨이퍼에 더 가까우며, 퍽 위의 범프들의 높이(536)는 감소된다. 주변 구역(506)에서, 퍽(544)의 표면은, 범프들(540)이 더 짧도록, 즉 그들이 더 낮은 높이(546)를 갖도록 여전히 더 높다. 유전체 퍽의 최하부는 여전히 웨이퍼에 더 가깝다. 이것은, 웨이퍼의 중심으로부터 바깥으로 웨이퍼의 주변부를 향한 유동을 제한하며, 웨이퍼로부터 바깥으로 그리고 멀리 유동하기 전에, 가스가 중심 근방에서 누적되기 위한 공간을 제공한다. 가스 유동이 웨이퍼 페디스털의 중심으로부터 에지로 제한되는 경우, 더 많은 열이 가스에서 흡수되고, 대류가 개선된다.
[58] 도 6의 도면은 축척에 맞지 않는다. 각각의 범프는 대략 2mm 내지 3mm의 폭을 가질 수 있고, 각각의 범프의 높이는 대략 0.1mm일 수 있다. 높이에서의 차이는 대략 0.02 내지 .03mm일 수 있거나, 범프들의 전체 높이의 대략 1/10 내지 1/3일 수 있다. 범프들의 사이즈 및 범프들의 수는 상이한 구현들에 맞춤화하도록 적응될 수 있다.
[59] 가스는, 웨이퍼와 유전체 퍽 사이에서 열을 전도시키기에 적절한 아르곤을 포함하는 다양한 상이한 가스들 중 임의의 가스일 수 있다. 일 예에서, 범프들은 직경이 더 높을 뿐만 아니라 더 작기도 하다. 직경에서의 이러한 감소는, 단면 폭에서의 감소로서 도 6의 단면도에 도시된다. 3개의 스텝들, 즉 중심 스텝, 중간 스텝 및 주변 스텝만이 도시되지만, 유동을 감소시키고, 웨이퍼의 중심으로부터 주변부로의 가스의 방사상 유동 패턴을 장려하기 위해 더 많거나 더 적은 스텝들이 사용될 수 있다. 대안적으로, 후방 가스 유동 시스템이 유전체 퍽에서 어떠한 스텝들도 없이 사용될 수 있다.
[60] 도 7은, 본원에서 설명된 바와 같은 가스 플러그(208)의 단면 측면도이다. 가스 플러그는, 퍽과 웨이퍼 사이의 열 전달의 균일도를 증가시키기 위해 웨이퍼와 퍽 사이의 공간으로의 후방 가스의 유동을 가이드한다. 후방 가스는 웨이퍼의 후면에 대해 릴리즈(release)된다. 가스는 냉각제 히터 플레이트(308) 및 유전체 퍽(202)을 통하여 가스 유동 채널(304)을 통해 내부로 유동한다. 가스는 채널로부터 플러그 어셈블리(208)로 유동한다. 플러그 어셈블리의 일 단부에서, 가스 유동은, 베이스로부터 가스 플러그로의 수직 상방 유동으로부터 수평 유동 도관들(352) 내의 측면 수평 유동으로 변한다. 이들 수평 유동 도관들로부터, 가스는, 플러그(354)의 에지로 유동하고, 통로들(356)을 통하여 가스 플러그로부터 위로 그리고 멀리 그리고 웨이퍼 후면을 향해 유동한다.
[61] 가스 플러그는, 히터 플레이트 내의 포지션에 가스 플러그를 홀딩하기 위한 스프링 클립(360)을 갖는 것으로 도시된다. 이것은, 가스 플러그가 상부 유전체 퍽보다는 하부 히터 플레이트에 고정되도록 허용한다. 히터 플레이트는 통상적으로, 알루미늄과 같이 높은 열 전도를 갖는 금속으로부터 제조된다. 이것은, 가스 플러그를 지지하기 위한 강한 표면을 제공한다. 유전체 퍽은 통상적으로, 높은 열 저항을 위해 그리고 웨이퍼를 정전기적으로 탑재하기 위한 유전 특성을 위해 세라믹 재료로 구성된다. 이것은, 탄성중합체 플러그가 온도 및 압력에서의 변화들로 인해 세라믹으로부터 마모되는 스프링(360)으로부터의 마모를 갖지 않으면서 세라믹으로 머시닝된 홀의 형상에 용이하게 일치하도록 허용한다.
[62] 도 8은, 점선의 내부 피쳐들을 갖는 가스 플러그(208)의 상면도를 제공한다. 중심 가스 유동 도관(304)은 가스 플러그의 챔버의 중심 위로 나온다(come up). 그 후, 가스는 외부로 확장하기 위해 수평 도관들(352)로 측면으로 지향된다. 예시된 실시예에서, 가스는, 직교하거나 90°만큼 분리된 4개의 상이한 방향들로 유동하지만, 측면 도관들 및 방향들의 수는 임의의 특정한 구현에 맞춤화하도록 변경될 수 있다. 부가적으로, 측면 도관들은 반드시 수평일 필요는 없지만, 원하는 가스 유동 특성들을 달성하기 위해 다양한 상이한 방식들 중 임의의 방식으로 각져있을 수 있다.
[63] 도 9는 프로세싱 챔버에서 페디스털을 동작시키는 프로세스 흐름도이다. 페디스털은 광범위하게 상이한 프로세싱 챔버들에서 사용될 수 있으며, 프로세싱 챔버들에서 수행되지 않는 프로세스들에 대해 또한 사용될 수 있다. 페디스털은, 실리콘 웨이퍼들과 같은 반도전성 및 마이크로기계적인 기판들을 포함하는 다양한 상이한 타입들의 기판들을 홀딩하기 위해 사용될 수 있다.
[64] (902)에서, PECVD와 같은 제조 프로세스를 위해 프로세스 챔버가 준비된다. 준비는 특정한 프로세스에 의존할 것이며, 챔버를 비우고 세정하는 것, 가스 또는 화학적 환경을 챔버에 부가하는 것, 및 챔버를 특정한 온도로 구동시키는 것을 포함할 수 있다.
[65] (904)에서, 실리콘 웨이퍼와 같은 기판 또는 임의의 다른 기판이 페디스털의 최상부면 상에 배치된다. 본원에서 설명된 바와 같이, 웨이퍼는, 페디스털 어셈블리의 최상부면 또는 유전체 퍽 상에 형성된 유전체 범프들의 어레이 위에 배치될 수 있다. 이것은, 로봇 또는 임의의 다른 수단을 사용하여 행해질 수 있으며, 준비된 챔버 내부에서 행해진다. 대안적으로, 챔버의 속성에 의존하여, 기판은 챔버 외부에 부착될 수 있고, 그 후, 페디스털 및 기판이 챔버 내로 이동될 수 있다.
[66] (906)에서, 열 유체가 기판을 가열시키기 위하여 페디스털 어셈블리의 냉각제 채널을 통해 펌핑된다. 이것은, 냉각제 채널을 통한 유동을 강제하기 위해 열 교환기 또는 다른 어떤 디바이스의 펌프를 사용하여 행해질 수 있다. 동시에, 후방 가스는, 기판과 페디스털 사이의 열 대류를 야기하기 위해 가스 플러그를 통해 웨이퍼의 후면으로 펌핑된다. 기판이 의도된 온도에 도달하는 경우, 프로세싱 챔버는 그 후에, 에너지를 기판에 적용함으로써 동작된다. 예를 들어, 플라즈마 프로세스는 RF 에너지 및 화학 반응 에너지를 기판에 적용한다. 이것은 기판을 가열시킨다. 다른 프로세스들은, 프로세스의 속성에 의존하여 상이한 방식들로 기판을 가열시킬 수 있다.
[67] (908)에서, 기판의 온도는, 열 유체를 사용하여 기판 프로세싱 동안 유지된다. 열 유체는 필요에 따라 기판을 냉각 또는 가열시키기 위하여 페디스털 어셈블리의 냉각제 채널을 통해 유동된다. 유체를 가열시키는 것 대신 열 교환기에서 유체를 냉각시킴으로써, 유체는, 기판을 냉각시키고 프로세스의 효과들에 대항하도록 작동한다. 유체는, 기판에 대해 원하는 온도를 유지하기 위한 유체를 포함할 수 있는 시스템의 하나 또는 그 초과의 다른 부분들의 측정된 온도 또는 냉각제의 측정된 온도에 기초하여 번갈아 가열 및 냉각될 수 있다.
[68] (910)에서, 열 유체는, 열 교환기에서 냉각되며, 기판을 냉각시키기 위해 페디스털 어셈블리의 냉각제 채널들을 통해 펌핑된다. (912)에서, 프로세싱 챔버 동작은 중지되며, (914)에서, 기판은 페디스털의 최상부면으로부터 제거된다. 통상적으로, 이것은, 페디스털로부터 멀리 웨이퍼를 리프팅하기 위해 리프트 핀들을 활성화시킴으로써 행해지며, 그 후, 로봇 아암 상의 그리퍼가 웨이퍼의 에지들을 그립(grip)한다. 그 후, 웨이퍼는 다른 프로세스 챔버 또는 다른 프로세싱 스테이션으로 이동될 수 있다.
[69] 본원에서 설명된 특정한 기계적인 구성을 사용하면, 냉각제는 히터 플레이트의 최상부면 상에 개방된 냉각제 채널들을 통해 유동하므로, 냉각제 채널들에서 유동하는 냉각제는 유전체 퍽과 물리적으로 접촉한다. 이것은 유체와 퍽 사이의 열 전도를 개선시킨다. 히터 플레이트는 또한, 그것이 퍽에 열을 또한 전도하도록 열적으로 전도성인 재료로 제조될 수 있다.
[70] 퍽과 기판 사이의 열 전도는, 기판과 퍽 사이에 열을 전도시키기 위하여 퍽 최상부면과 기판 사이의 공간으로 가스를 제공하도록 유전체 퍽의 가스 배출구를 통해 펌핑되는 후방 가스를 사용하여 개선될 수 있다.
[71] 도 9의 예가 프로세싱 챔버를 동작시키고 챔버 내의 페디스털 상에 기판을 지지하는 맥락에서 제시되지만, 본 발명은 그렇게 제한되지는 않는다. 페디스털은 챔버 외부에서 사용될 수 있다. 냉각제 유체는 기판의 온도가 광범위하게 다양한 상이한 환경들 및 프로세스들에서 정밀하게 제어되도록 허용한다.
[72] 도 10은 본 발명의 대안적인 실시예들에 따른 정전척(ESC)의 형태의 기판 지지부 어셈블리의 단면도이다. ESC(632)는 3개의 플레이트들(602, 604, 606)로부터 형성된다. 상부 또는 최상부 플레이트(602)는, 실리콘 웨이퍼와 같은 기판(608)을 ESC에 정전기적으로 부착하기 위한 정전식 전극들(612)을 지탱(carry)한다. 최상부 플레이트는 또한, 웨이퍼를 가열시키기 위한 선택적인 저항성 히터 엘리먼트들(620)을 포함한다. 히터 엘리먼트들은, 단독의 열 유체보다는 더 높은 온도들을 생성하기 위해 냉각제 채널들에서 열 유체와 함께 사용될 수 있다.
[73] 최상부 플레이트(602)는, 냉각제 채널들(630)을 갖는 냉각제 플레이트(604)에 부착된다. 이러한 예에서, 냉각제 채널들은 최상부에서 개방되어 있다. 이것은, 채널들이 냉각제 플레이트로 용이하게 머시닝되도록 허용하며, 냉각제 채널 내의 열 유체와 최상부 플레이트 사이에서의 열 전도를 허용한다. 최상부 플레이트 및 냉각제 플레이트는 지지를 위해 강한 금속 배킹(backing) 또는 베이스 플레이트(606)에 의해 지지된다. 3개의 플레이트들은, 알루미늄, 또는 양호한 열 전도율을 갖고 프로세싱 챔버의 화학적 및 열적 조건들을 견딜 수 있는 다른 재료로부터 주조 및 머시닝될 수 있다. ESC에 대해, 최상부 플레이트는, 웨이퍼(608)를 적소에 홀딩하기 위하여 정전하를 보유하기 위해 유전체 재료로 코팅되거나 유전체 재료로부터 제조될 수 있다.
[74] ESC는, 정전식 전극들(612)에 대해 적용 및 보유되는 전하를 제어하기 위해 구동 전압(614)에 접속된 제어기(640)에 의해 제어된다. 제어기는, 선택적인 히터 엘리먼트들(620)에 적용된 전력을 제어하기 위해 구동 전류(622)에 접속된다. 제어기는 또한, 냉각제 채널들(630)을 통해 펌핑되는 열 유체의 유량 및 온도를 제어하기 위해 열 교환기(636)에 커플링된다. 열 교환기는, 온도 조정된 냉각제를 냉각제 플레이트의 냉각제 채널들에 공급하는 공급 사이드(side) 라인(632), 및 ESC로부터 열 유체를 수신하고, 가열 또는 냉각되어 공급 라인에 다시 공급되도록 열 교환기(636)에 열 유체를 리턴하는 리턴 라인(634)에 커플링된다. 열 교환기는, 도 1의 맥락에서 설명된 것과 유사한 유체 냉각 시스템 및 유체 가열 시스템을 갖는다.
[75] 제어기는 선택적으로, 후방 가스 채널(626)을 통한 웨이퍼의 후면으로의 후방 가스의 유동을 제어하기 위해 가스 공급부(628)에 추가적으로 접속한다. 후방 가스는, 웨이퍼(608)와 ESC(632) 사이의 열 대류를 개선시킨다. 열 센서(638)로부터의 온도 정보가 그 내부에 있다.
[76] ESC(632)는 선택적으로, 최상부 플레이트(602), 냉각제 채널(630) 또는 임의의 다른 원하는 위치들에 하나 또는 그 초과의 열 센서들(638)을 더 포함한다. 도시된 바와 같은 열 센서는, 최상부 플레이트와 같은, 웨이퍼 온도에 관련된 온도를 갖는 컴포넌트 또는 웨이퍼(608)의 온도에 대한 정보를 제공하기 위해 열 교환기에 커플링된다. 열 교환기는, 냉각제 유체의 온도를 제어하기 위해 이러한 정보를 사용한다. 열 교환기는 또한, 온도 정보를 제어기(640)에 제공할 수 있거나, 온도 센서는 열 교환기에 접속되는 것 대신 또는 그에 부가하여 제어기에 직접 접속될 수 있다.
[77] ESC는 또한, 리프트 핀들(616), 및 리프트 핀들을 상향으로 구동시키고 ESC의 표면(602)으로부터 웨이퍼(608)를 릴리즈시키기 위한 리프트 핀 구동 모터들(618)을 갖는다. 리프트 핀들의 수, 포지션, 및 동작은, ESC의 상이한 애플리케이션들 및 상이한 타입들의 ESC들에 맞춤화하도록 적응된다. 도 10의 ESC는 예로서 제공된다. 본 발명의 원리들은, 제어된 온도가 소망되는 다양한 상이한 기판 지지부들에 적응될 수 있다. 본원에 설명된 ESC 및 페디스털은, 특정한 구현에 의존하여 더 많거나 더 적은 피쳐들을 가질 수 있다.
[78] 본원에 설명된 바와 같이, 열 교환기는 기판 지지부 어셈블리에 커플링된다. 기판 지지부 어셈블리는, 기판을 지탱하기 위한 최상부면, 및 열 유체 또는 냉각제가 유동하는 유체 채널을 갖는다. 열 유체는, 기판 지지부를 가열 및 냉각시키는 것 둘 모두를 행하며, 따라서 기판을 간접적으로 가열 및 냉각시킨다. 위에서 언급된 바와 같이, 기판은 많은 상이한 타입들을 가질 수 있다. 기판은, 실리콘, 유리, 또는 몇몇 다른 재료의 단일 웨이퍼일 수 있거나, 기판은 하나 또는 그 초과의 층들을 가질 수 있다. 기판은 또한, 기판에 부가하여, 예를 들어, 빌드-업(build-up) 층들, 반도체 층들, 광학 층들, 또는 마이크로-머시닝된 층들이 존재하도록 많은 프로세싱 동작이 이미 적용되었던 기판일 수 있다.
[79] 기판 지지부는 또한, 상이한 형태들을 취할 수 있다. 웨이퍼 페디스털 및 정전척이 설명 및 예시되지만, 프로세싱 챔버에 기판을 지탱 또는 지지하는 다른 디바이스들이 본원에 설명된 유체-기반 열 제어와 함께 사용될 수 있다. 기판 지지부 어셈블리는, 기판을 지탱하기 위한 최상부면 및 온도를 제어하기 위한 유체 채널들과 같은 1개 초과의 부분을 갖는, 기판을 지지하기 위한 물품으로 간단히 지칭된다. 예시된 예들에서, 기판 지지부 어셈블리들은, 함께 체결되는 2개 또는 3개의 플레이트들로 형성되지만, 기판 지지부는 또한, 본원에 설명된 구조들을 갖도록 드릴링, 머시닝, 또는 빌트-업(built up)된 재료의 단일 통합 부분으로 제조될 수 있다.
[80] 위의 설명은 제한이 아니라 예시적인 것으로 의도됨을 이해해야 한다. 예를 들어, 도면들 내의 흐름도들이 본 발명의 특정한 실시예들에 의해 수행되는 동작들의 특정한 순서를 도시하지만, 그러한 순서가 요구되지는 않음을 이해해야 한다(예를 들어, 대안적인 실시예들은, 상이한 순서로 동작들을 수행할 수 있고, 특정한 동작들을 결합시킬 수 있고, 특정한 동작들을 중복할 수 있는 등의 식이다). 또한, 위의 설명을 읽고 이해할 시에, 많은 다른 실시예들이 당업자들에게 명백할 것이다. 본 발명이 특정한 예시적인 실시예들을 참조하여 설명되었지만, 본 발명은 설명된 실시예들로 제한되는 것이 아니라, 첨부된 청구항들의 사상 및 범위 내에서 변경 및 수정하여 실시될 수 있음을 인식할 것이다. 따라서, 본 발명의 범위는, 첨부된 청구항들이 권리를 가지는 등가물들의 전체 범위와 함께 그러한 청구항들을 참조하여 결정되어야 한다.

Claims (20)

  1. 열적으로 제어된 기판 지지부로서,
    기판을 지지하기 위한 최상부면 - 상기 최상부면은 상기 기판에 열적으로 커플링됨 -;
    열 유체를 운반하기 위해 상기 최상부면에 열적으로 커플링된 열 유체 채널 - 상기 열 유체는 상기 최상부면으로부터 열을 인출하고 상기 최상부면으로 열을 제공하기 위한 것임 -; 및
    열 유체를 상기 열 유체 채널에 공급하기 위한 열 교환기;를 포함하며,
    상기 열 교환기는 기판 온도를 조정하기 위해 상기 열 유체를 번갈아 가열 및 냉각시키고,
    상기 최상부면은 상기 기판을 지지하기 위한 복수의 범프(bump)들을 포함하며, 상기 범프들은 상기 범프들에 의해 결정된, 상기 최상부면으로부터의 거리에서 상기 기판을 지지하고, 상기 최상부면은 동심의 구역들을 포함하며, 각각의 구역은 상기 기판으로부터 상이한 거리에 있고, 상기 최상부면은 가장 높은 범프들을 갖는 중심 구역에서 상기 기판으로부터 가장 멀리 있고, 상기 최상부면은 가장 짧은 범프들을 갖는 주변 구역에서 상기 기판에 가장 가깝고, 상기 중심 구역의 범프들은 상기 주변 구역의 범프들보다 작은 직경을 갖는,
    열적으로 제어된 기판 지지부.
  2. 제 1 항에 있어서,
    상기 최상부면에 열적으로 커플링되며, 감지된 온도를 상기 열 교환기에 제공하기 위해 상기 열 교환기에 커플링된 온도 센서를 더 포함하고,
    상기 열 교환기는 상기 감지된 온도에 적어도 부분적으로 기초하여 상기 열 유체의 가열 및 냉각을 제어하는,
    열적으로 제어된 기판 지지부.
  3. 제 2 항에 있어서,
    상기 온도 센서는 상기 열 교환기를 제어하기 위한 프로세서를 갖는 제어기를 통해 상기 열 교환기에 커플링되는,
    열적으로 제어된 기판 지지부.
  4. 제 2 항에 있어서,
    상기 온도 센서는 상기 기판 지지부의 최상부면의 온도를 감지하기 위해 상기 최상부면에 포지셔닝되는,
    열적으로 제어된 기판 지지부.
  5. 제 1 항에 있어서,
    상기 최상부면은 원형 영역을 갖는 원형 기판을 지탱(carry)하도록 원형이며,
    상기 열 유체 채널은 상기 기판의 영역과 같은 공간을 차지하는 아크(arc)들로 연장하는,
    열적으로 제어된 기판 지지부.
  6. 제 5 항에 있어서,
    상기 열 유체 채널은 페디스털의 중심으로부터 상기 페디스털의 에지로 나선형 패턴으로 연장하는,
    열적으로 제어된 기판 지지부.
  7. 제 1 항에 있어서,
    상기 최상부 면을 포함하는 유전체 퍽(dielectric puck) 및,
    상기 최상부면에 대향하게 상기 유전체 퍽에 부착된 히터 플레이트를 더 포함하고,
    상기 열 유체 채널들은 상기 히터 플레이트에 존재하는,
    열적으로 제어된 기판 지지부.
  8. 제 7 항에 있어서,
    상기 열 유체 채널들은 상기 열 유체 채널들에서 유동하는 열 유체가 상기 유전체 퍽과 물리적으로 접촉하도록, 상기 유전체 퍽에 대면하는, 상기 히터 플레이트의 측 상에서 개방되는,
    열적으로 제어된 기판 지지부.
  9. 제 1 항에 있어서,
    상기 중심 구역은 상기 기판과 상기 최상부면 사이에서 열을 전도시키기 위해 상기 최상부면과 상기 범프들 사이의 공간으로 가스를 제공하기 위한 가스 배출구를 포함하며,
    상기 공간은 상기 중심 구역에서 상기 범프들의 높이에 의해 정의되는,
    열적으로 제어된 기판 지지부.
  10. 제 9 항에 있어서,
    상기 가스 배출구는 상기 최상부면을 가로지르는 방향으로 가스를 릴리즈(release)하기 위한 복수의 측면 배기부들을 갖는,
    열적으로 제어된 기판 지지부.
  11. 제 1 항에 있어서,
    상기 기판으로부터 중간 거리를 갖고, 중간 높이를 갖는 범프들을 갖는 중간 구역을 더 포함하는,
    열적으로 제어된 기판 지지부.
  12. 방법으로서,
    프로세싱 챔버 내의 지지부 어셈블리 상에 기판을 배치하는 단계;
    상기 기판을 가열시키기 위해 상기 지지부 어셈블리의 열 유체 채널을 통해 열 유체를 유동시키는 단계;
    상기 기판에 에너지를 적용함으로써 상기 프로세싱 챔버를 동작시키는 단계;
    상기 기판을 냉각시키기 위해 상기 지지부 어셈블리의 상기 열 유체 채널을 통해 상기 열 유체를 유동시키는 단계;
    상기 프로세싱 챔버의 동작을 중지시키는 단계; 및
    상기 지지부 어셈블리로부터 상기 기판을 분리시키는 단계;를 포함하고,
    상기 지지부 어셈블리는 상기 기판을 지탱하기 위한 유전체 최상부면을 포함하고, 상기 최상부면은 상기 기판에 열적으로 커플링되고, 상기 지지부 어셈블리는 열 유체를 운반하기 위해 상기 최상부면에 열적으로 커플링된 열 유체 채널을 갖고, 상기 열 유체는 상기 지지부 어셈블리의 최상부면으로부터 열을 인출하고 상기 지지부 어셈블리의 최상부면으로 열을 제공하고,
    상기 최상부면은 상기 기판을 지지하기 위한 복수의 범프들을 포함하며, 상기 범프들은 상기 범프들에 의해 결정된, 상기 최상부면으로부터의 거리에서 상기 기판을 지지하고, 상기 최상부면은 동심의 구역들을 포함하며, 각각의 구역은 상기 기판으로부터 상이한 거리에 있고, 상기 최상부면은 가장 높은 범프들을 갖는 중심 구역에서 상기 기판으로부터 가장 멀리 있고, 상기 최상부면은 가장 짧은 범프들을 갖는 주변 구역에서 상기 기판에 가장 가깝고, 상기 중심 구역의 범프들은 상기 주변 구역의 범프들보다 작은 직경을 갖는,
    방법.
  13. 제 12 항에 있어서,
    상기 열 유체를 유동시키는 단계는, 열 유체 채널들에서 유동하는 열 유체가 상기 지지부 어셈블리의 유전체 퍽과 물리적으로 접촉하도록, 히터 플레이트의 최상부면 상에서 개방된 상기 열 유체 채널들을 통해 열 유체를 유동시키는 단계를 포함하며,
    상기 유전체 퍽은 상기 기판이 배치되는 최상부면을 포함하는,
    방법.
  14. 제 12 항에 있어서,
    상기 열 유체의 온도를 측정하는 단계, 및
    온도 측정에 의존하여, 상기 열 유체를 번갈아 가열 및 냉각시키기 위하여 열 교환기를 통해 상기 열 유체의 온도를 제어하는 단계를 더 포함하는,
    방법.
  15. 제 12 항에 있어서,
    상기 기판과 상기 지지부 어셈블리 사이에서 열을 대류시키도록 상기 지지부 어셈블리와 상기 기판의 후면측 사이의 공간으로 가스를 제공하기 위하여 상기 지지부 어셈블리의 가스 배출구를 통해 후방 가스를 펌핑하는 단계를 더 포함하는,
    방법.
  16. 기판 프로세싱 시스템으로서,
    기판에 프로세스를 적용하기 위한 프로세싱 챔버;
    상기 챔버 내의 열적으로 제어된 지지부 어셈블리 - 상기 지지부 어셈블리는 상기 기판을 지탱하기 위한 유전체 최상부면을 포함하고, 상기 최상부면은 상기 기판에 열적으로 커플링되고, 상기 지지부 어셈블리는 열 유체를 운반하기 위해 상기 최상부면에 열적으로 커플링된 열 유체 채널을 갖고, 상기 열 유체는 상기 지지부 어셈블리의 최상부면으로부터 열을 인출하고 상기 지지부 어셈블리의 최상부면으로 열을 제공하기 위한 것임 -; 및
    상기 열 유체 채널을 통해 상기 열 유체를 드라이빙(drive)시키고, 상기 열 유체의 온도를 제어하여 상기 기판의 온도를 제어하기 위한 열 교환기;를 포함하고,
    상기 최상부면은 상기 기판을 지지하기 위한 복수의 범프들을 포함하며, 상기 범프들은 상기 범프들에 의해 결정된, 상기 최상부면으로부터의 거리에서 상기 기판을 지지하고, 상기 최상부면은 동심의 구역들을 포함하며, 각각의 구역은 상기 기판으로부터 상이한 거리에 있고, 상기 최상부면은 가장 높은 범프들을 갖는 중심 구역에서 상기 기판으로부터 가장 멀리 있고, 상기 최상부면은 가장 짧은 범프들을 갖는 주변 구역에서 상기 기판에 가장 가깝고, 상기 중심 구역의 범프들은 상기 주변 구역의 범프들보다 작은 직경을 갖는,
    기판 프로세싱 시스템.
  17. 제 16 항에 있어서,
    상기 기판의 온도의 표시인 온도를 측정하기 위해 상기 지지부 어셈블리에 부착된 온도 센서를 더 포함하며,
    상기 온도 센서는 상기 열 유체의 온도를 제어할 시에 사용을 위해 상기 열 교환기에 커플링되는,
    기판 프로세싱 시스템.
  18. 제 16 항에 있어서,
    상기 지지부 어셈블리는 전도성 금속으로 형성된 하부 히터 플레이트, 및 상기 최상부면을 포함하는 유전체 퍽을 포함하며,
    상기 유전체 퍽은 세라믹 재료로 형성되고, 상기 하부 히터 플레이트에 부착되는,
    기판 프로세싱 시스템.
  19. 제 16 항에 있어서,
    상기 기판과 상기 최상부면 사이에서 열을 전도시키기 위해, 후방 가스를 상기 지지부 어셈블리에 펌핑하고, 그리고 상기 지지부 어셈블리의 가스 배출구를 통해 상기 최상부면과 상기 기판 사이의 공간으로 상기 후방 가스를 펌핑하기 위한 후방 가스 소스를 더 포함하는,
    기판 프로세싱 시스템.
  20. 삭제
KR1020167034920A 2014-05-16 2015-05-08 페디스털 유체-기반 열 제어 KR102354961B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/280,031 2014-05-16
US14/280,031 US20150332942A1 (en) 2014-05-16 2014-05-16 Pedestal fluid-based thermal control
PCT/US2015/029882 WO2015175339A1 (en) 2014-05-16 2015-05-08 Pedestal fluid-based thermal control

Publications (2)

Publication Number Publication Date
KR20170003683A KR20170003683A (ko) 2017-01-09
KR102354961B1 true KR102354961B1 (ko) 2022-01-21

Family

ID=54480474

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167034920A KR102354961B1 (ko) 2014-05-16 2015-05-08 페디스털 유체-기반 열 제어

Country Status (6)

Country Link
US (1) US20150332942A1 (ko)
JP (1) JP6594960B2 (ko)
KR (1) KR102354961B1 (ko)
CN (1) CN106463363B (ko)
TW (1) TWI683926B (ko)
WO (1) WO2015175339A1 (ko)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI654332B (zh) * 2014-07-02 2019-03-21 美商應用材料股份有限公司 用於電漿處理的多區域基座
US9872341B2 (en) 2014-11-26 2018-01-16 Applied Materials, Inc. Consolidated filter arrangement for devices in an RF environment
US11315813B2 (en) 2015-04-10 2022-04-26 Ev Group E. Thallner Gmbh Substrate holder and method for bonding two substrates
CN104988472B (zh) * 2015-06-25 2018-06-26 沈阳拓荆科技有限公司 半导体镀膜设备控温***
CN105132865B (zh) * 2015-08-20 2017-12-08 京东方科技集团股份有限公司 蒸发源装置及蒸镀设备
SG11201805655VA (en) 2016-02-16 2018-07-30 Ev Group E Thallner Gmbh Method and device for bonding substrates
US10770270B2 (en) * 2016-06-07 2020-09-08 Applied Materials, Inc. High power electrostatic chuck with aperture-reducing plug in a gas hole
US20180053666A1 (en) * 2016-08-19 2018-02-22 Applied Materials, Inc. Substrate carrier with array of independently controllable heater elements
JP6704834B2 (ja) * 2016-10-28 2020-06-03 日本特殊陶業株式会社 加熱装置
JP6807217B2 (ja) * 2016-11-16 2021-01-06 東京エレクトロン株式会社 ステージ及び基板処理装置
CN109427635B (zh) * 2017-08-29 2020-09-11 创意电子股份有限公司 半导体元件的测试设备及其搬运装置
CN108538760B (zh) * 2018-04-03 2020-11-27 德淮半导体有限公司 热板结构
GB201806377D0 (en) * 2018-04-19 2018-06-06 Metryx Ltd Semiconductor wafer mass metrology apparatus and semiconductor wafer mass metrology method
US11456161B2 (en) 2018-06-04 2022-09-27 Applied Materials, Inc. Substrate support pedestal
CN110658683A (zh) * 2018-06-28 2020-01-07 上海微电子装备(集团)股份有限公司 晶片承载***和浸没光刻设备
US11133211B2 (en) * 2018-08-22 2021-09-28 Lam Research Corporation Ceramic baseplate with channels having non-square corners
JP7112915B2 (ja) * 2018-09-07 2022-08-04 東京エレクトロン株式会社 温調システム
TWI699079B (zh) * 2019-04-29 2020-07-11 品法設計國際有限公司 可調控溫度的液體載具
WO2020242661A1 (en) * 2019-05-24 2020-12-03 Applied Materials, Inc. Substrate support carrier with improved bond layer protection
CN112216585B (zh) * 2019-07-11 2022-12-30 中微半导体设备(上海)股份有限公司 一种等离子体处理器及基座温度控制方法
KR102188261B1 (ko) * 2019-08-02 2020-12-09 세미기어, 인코포레이션 기판 냉각 장치 및 방법
JP7458195B2 (ja) * 2020-02-10 2024-03-29 東京エレクトロン株式会社 載置台、プラズマ処理装置及びクリーニング処理方法
US20210249284A1 (en) * 2020-02-12 2021-08-12 Applied Materials, Inc. Fast response dual-zone pedestal assembly for selective preclean
US11428479B2 (en) * 2020-04-15 2022-08-30 Baidu Usa Llc Compact thermal control plate
CN112501589A (zh) * 2020-11-06 2021-03-16 北京印刷学院 一种原子层沉积装置
KR102561064B1 (ko) * 2021-01-07 2023-07-27 박병옥 표시장치의 열화 성능 테스트 방법
TWI768786B (zh) * 2021-03-24 2022-06-21 天虹科技股份有限公司 可準確調整溫度的承載盤及應用該承載盤的薄膜沉積裝置
CN113604786B (zh) * 2021-07-06 2024-05-17 北京北方华创微电子装备有限公司 半导体设备的加热器及半导体设备
CN116994998B (zh) * 2023-09-26 2023-12-08 苏州智程半导体科技股份有限公司 一种半导体工艺冷盘及水冷增强方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06132387A (ja) * 1992-10-19 1994-05-13 Hitachi Electron Eng Co Ltd 真空吸着ステージ
TW334609B (en) * 1996-09-19 1998-06-21 Hitachi Ltd Electrostatic chuck, method and device for processing sanyle use the same
JP4237317B2 (ja) * 1997-12-26 2009-03-11 株式会社日立製作所 プラズマ処理装置
US6482747B1 (en) * 1997-12-26 2002-11-19 Hitachi, Ltd. Plasma treatment method and plasma treatment apparatus
US6081414A (en) * 1998-05-01 2000-06-27 Applied Materials, Inc. Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
JP2000031253A (ja) * 1998-07-10 2000-01-28 Komatsu Ltd 基板処理装置及び方法
JP3983387B2 (ja) * 1998-09-29 2007-09-26 日本碍子株式会社 静電チャック
US6506291B2 (en) * 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
US6730175B2 (en) * 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
US6646233B2 (en) * 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
US20040011780A1 (en) * 2002-07-22 2004-01-22 Applied Materials, Inc. Method for achieving a desired process uniformity by modifying surface topography of substrate heater
US20040163601A1 (en) * 2003-02-26 2004-08-26 Masanori Kadotani Plasma processing apparatus
WO2004095531A2 (en) * 2003-03-28 2004-11-04 Tokyo Electron Ltd Method and system for temperature control of a substrate
US7697260B2 (en) * 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
US20060027169A1 (en) * 2004-08-06 2006-02-09 Tokyo Electron Limited Method and system for substrate temperature profile control
US7544251B2 (en) * 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7718007B2 (en) * 2005-03-17 2010-05-18 Tokyo Electron Limited Substrate supporting member and substrate processing apparatus
DE102007009145A1 (de) * 2007-02-24 2008-08-28 Aixtron Ag Vorrichtung zum Abscheiden kristalliner Schichten wahlweise mittels MOCVD oder HVPE
JP4898556B2 (ja) * 2007-05-23 2012-03-14 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20090181553A1 (en) * 2008-01-11 2009-07-16 Blake Koelmel Apparatus and method of aligning and positioning a cold substrate on a hot surface
US20100116788A1 (en) * 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
KR20120090996A (ko) * 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching

Also Published As

Publication number Publication date
TWI683926B (zh) 2020-02-01
US20150332942A1 (en) 2015-11-19
CN106463363B (zh) 2020-09-22
WO2015175339A1 (en) 2015-11-19
JP2017519373A (ja) 2017-07-13
TW201604317A (zh) 2016-02-01
CN106463363A (zh) 2017-02-22
JP6594960B2 (ja) 2019-10-23
KR20170003683A (ko) 2017-01-09

Similar Documents

Publication Publication Date Title
KR102354961B1 (ko) 페디스털 유체-기반 열 제어
JP7169319B2 (ja) ガス孔に開口縮小プラグを有する大電力静電チャック
JP7090115B2 (ja) 独立した分離されたヒータ区域を有するウエハキャリア
US10770329B2 (en) Gas flow for condensation reduction with a substrate processing chuck
US20170352565A1 (en) Workpiece carrier with gas pressure in inner cavities
US20180025931A1 (en) Processed wafer as top plate of a workpiece carrier in semiconductor and mechanical processing

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant