JP2008511753A - Cvd反応炉の洗浄プロセスおよび操作プロセス - Google Patents

Cvd反応炉の洗浄プロセスおよび操作プロセス Download PDF

Info

Publication number
JP2008511753A
JP2008511753A JP2007528803A JP2007528803A JP2008511753A JP 2008511753 A JP2008511753 A JP 2008511753A JP 2007528803 A JP2007528803 A JP 2007528803A JP 2007528803 A JP2007528803 A JP 2007528803A JP 2008511753 A JP2008511753 A JP 2008511753A
Authority
JP
Japan
Prior art keywords
chamber
cleaning
temperature
cleaning process
process according
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2007528803A
Other languages
English (en)
Other versions
JP2008511753A5 (ja
Inventor
レオン ステファノ
マウセリ マルコ
アボンダンザ ジュゼッペ
クリッパ ダニーロ
バレンテ ジャンルカ
マシ マウリツィオ
プレティ フランコ
Original Assignee
エルピーイー ソシエタ ペル アチオニ
イー・テイ・シー・エピタキシヤル・テクノロジー・センター・エス・アール・エル
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エルピーイー ソシエタ ペル アチオニ, イー・テイ・シー・エピタキシヤル・テクノロジー・センター・エス・アール・エル filed Critical エルピーイー ソシエタ ペル アチオニ
Publication of JP2008511753A publication Critical patent/JP2008511753A/ja
Publication of JP2008511753A5 publication Critical patent/JP2008511753A5/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

本発明は、CVD反応炉の反応チャンバ(12)を洗浄するためのプロセスに関し、適切な温度までチャンバ壁を加熱するステップと、チャンバ中にガス流を流入させるステップとを含む。この洗浄プロセスは、チャンバ内の基板上に半導体材料を堆積するためのCVD反応炉の操作プロセス中で、有利に使用することができる。この操作プロセスでは、チャンバ(12)中に基板を順次的、循環的に取り付けるステップと、基板上に半導体材料を堆積するステップと、チャンバ(12)から基板を取り外すステップとを含んだ成長プロセスが設けられる。取り外しステップの後に、チャンバ(12)を洗浄するためのプロセスが実施される。本発明は、CVD反応炉全体を洗浄するためのプロセスにも関し、それには、加熱するステップとともに、ガス流中に化学エッチングする構成要素が設けられる。

Description

本発明は、CVD反応炉のための洗浄プロセスおよび操作プロセスに関する。
知られているように、CVD(化学気相蒸着)反応炉は、薄い一様な材料層が基板上に堆積される、エピタキシャル成長プロセスを実施するために使用される。
マイクロエレクトロニクス領域では、CVD反応炉は、薄い半導体材料層を基板上に堆積し、次いで電子部品、特に集積回路の製造に使用されるスライスを準備するために使用される。半導体材料は、成長プロセス中、基板上および反応チャンバの内壁上の両方に堆積する。これは、いわゆる「ホットウォール(hot−wall)」CVD反応炉の場合に特にそのような傾向にある。それは、この材料が、温度がかなり高いときにだけ堆積するからである。
プロセス毎に、新しい薄い材料層が、チャンバの内壁上に堆積し、様々なプロセスの後では、壁は、厚い材料層を有する。この厚い材料層によって、チャンバの形状が変化し、それによって反応ガスの流れが影響され、したがって、その後の成長プロセスが影響を受ける。さらに、この厚い材料層は、完全に詰まっておらず、その後の成長プロセス中に、微小粒子がこの層から分離することがあり、それが成長中の基板の上に降りかかった場合、基板が損傷する恐れがある。
現在、マイクロエレクトロニクス産業で最も広く使用されている半導体材料は、シリコンである。大変有望な材料は、マイクロエレクトロニクス産業において現在まだ盛んには使用されていないが炭化ケイ素である。
マイクロエレクトロニクス産業で必要な高品質の炭化ケイ素をエピタキシャル成長させるために、極めて高い温度、すなわち1,500℃より高い温度、したがってシリコンのエピタキシャル成長のために必要な、一般に1,100℃から1,200℃の範囲の温度より高い温度が必要である。この高温を得るために、「ホットウォール」CVD反応炉が特に適している。
したがって、炭化ケイ素のエピタキシャル成長用のCVD反応炉では、特に、反応チャンバの内壁上への材料の堆積に関連する問題で苦慮する。さらに、炭化ケイ素は、機械的、化学的、いずれにおいても、除去することが特に困難な材料である。
この問題を解決するために、通常採用される解決法は、反応炉から反応チャンバを定期的に分解し、機械的および/または化学的にそれを洗浄することである。この操作は、大いに時間がかかり、したがって反応炉が長期に停止されることになり、さらに、所定回数の洗浄操作の後は、チャンバを廃棄または処理することがしばしば必要になる。
さらに、特に、実際の反応チャンバの上流および下流にある反応炉領域は、同様に除去する必要があるシリコン堆積物が生じる恐れがある。
国際公開第2004/053189号パンフレット 国際公開第2004/053187号パンフレット 国際公開第2004/053188号パンフレット
本発明の全般的な目的は、CVD反応炉の反応チャンバ用およびCVD反応炉用の洗浄プロセスを提供することであり、それは、前述の欠点を克服するものである。
この目的は、独立請求項1に記載された機能的特徴を有する洗浄プロセスによって実質的に達成され、このプロセスのさらに有利な態様は、従属項に記載されている。
他の態様によれば、本発明は、この洗浄プロセスを使用し、独立請求項12に記載された機能的特徴を有するCVD反応炉用の操作プロセスにも関する。このプロセスの他の有利な態様が、従属項に記載されている。
本発明は、添付図面とともに検討される以下の記述から明らかである。
本記述とこれらの図面はともに、例示の目的のためだけであると考えるべきであり、したがって、これに限定されるものでない、さらに、これらの図面は、概略的で簡略化されていることを念頭に置かなければならない。
図1に、その全体を参照番号1で示した反応チャンバとその全体を参照番号2で示した囲繞するシェルとから構成されたアセンブリを示す。
図1の上部右側に、中央で切断されたアセンブリの正面図、上部左側に、中心で切断されたアセンブリの側面図、および下部左側に、中心で切断されたアセンブリの上から見た図を示す。
本発明による洗浄プロセスは、例えば図1に示すチャンバ1に有利に適用することができる。このチャンバは、炭化ケイ素のエピタキシャル成長用のCVD反応炉の中で使用するのに特に適する。
チャンバ1は、半導体材料の層が堆積される基板を収容するための空洞12を有する。空洞12は、この目的のために、実質的に平坦な、CVD反応炉内に実質的に水平位置で配置される底壁を有する。空洞12は、他の壁によって、特に上部壁および2つの側壁によって囲繞される。反応ガスが、空洞12中を縦方向に流れる。チャンバ1は、空洞12の壁を加熱し、したがってその中を流れる反応ガスも加熱するように、加熱されるのに適する。通常、チャンバ1は、電磁誘導によって加熱されるのに適する。この目的で、チャンバ1は、通常グラファイトからなり、炭化ケイ素、炭化タンタルまたは炭化ニオブの保護層によって裏打ちされる。図1に示すチャンバ1は、軸10に沿って(長さ300mmで)一様に延在し、その断面は、外形が円形である(直径が270mm)、あるいは、この断面は、多角形や楕円形とすることができる。図1に示す空洞12の断面は、内側形状が実質的に矩形である(幅が210mm、高さが25mm)。この断面は、異なる形とすることができる。
本発明による洗浄プロセスは、基板に直面する反応チャンバの表面(図1の場合では、空洞12の上部壁)が、前記基板に極めて近い場合、特に有益である。実際、この場合、この表面(より正確には、この表面上に成長した層)から分離したすべての粒子が、それらが反応ガスの流れによって運び去られる前に、基板上に降りかかる。
チャンバ1の空洞12の壁が保護層、例えば炭化タンタルまたは炭化ニオブによって裏打ちされている場合、成長プロセス中に壁上に堆積した材料の付着が制限され、したがって粒子の形成が一層ありそうである。これは、保護層の材料と堆積した材料が、結晶構造に差があるために異なる場合は、特にそうである。これは、例えば、反応チャンバがグラファイトからなり、炭化タンタルまたは炭化ニオブによって裏打ちされていて、反応チャンバが炭化ケイ素の成長プロセスのために使用されるときのような場合である。
図1に示すタイプの反応チャンバでは、基板は、成長プロセス開始前の取り付け、および成長プロセスの終了時の取り外しを容易にするために、一般に、トレイ上に位置している。図1による実施例では、トレイは、参照番号3で示され、対応する3つの中空部31内に3つの円形基板を支持することができる。今のところ、基板数は、最小1から最大12までの範囲内とすることができ、その直径は、最小2インチ(5.1mm)から最大6インチ(15.2mm)までの範囲とすることができるが、これは、本発明の目的には関係しない。明らかに、基板数を増加すると、その直径が減少する。
図1に示すタイプの反応チャンバでは、基板上に一様に堆積するよう促進するために、基板支持部が回転可能に設けられると好都合である。確実に、効果的および効率的にトレイを回転するためにも、反応チャンバを適切に洗浄することを達成し、したがってチャンバの内壁上に堆積した材料を除去することが有益である。図1による実施例では、トレイ3は、その回転を達成するための手段が示されていないが、回転可能である。トレイを回転させるための様々な方法は、例えば、特許文献1により、当業者に知られている。
図1に示されているようなトレイを有するチャンバでは、空洞の内側表面に唐突な突起部や凹部が無いように、トレイが空洞の底壁の凹所内に収納されるように設けられると都合が良い。確実に反応チャンバが適切に洗浄され、したがって空洞の底壁上に堆積した材料が除去されることは、トレイの表面と壁の表面の位置合わせされた状態を維持するためにも有益である。図1による実施例では、(回転可能な)トレイ3は、薄い円盤形状(直径が190mm、厚さが5mm)であり、空洞12の底壁の、円形の凹所11内に収納される。
図1に示すチャンバなどのチャンバのトレイは、一般に、サセプタ(susceptor)、すなわち、電磁誘導によって加熱しそれが支持する基板を直接加熱する要素としても働く。
図1によるチャンバ1は、反応ガスがその中では流れない2つの大きな貫通穴13、14を有し、したがってこれらの穴の壁上に材料が堆積せず、それゆえこれらの壁は、本発明の目的には大きな意味を持たない。
図1に示すチャンバなど、穴13および14の機能および構造を含んだチャンバの多くの機能的な構造上の細部は、本明細書中に組み込まれて参照される特許文献2および特許文献3から得られるであろう。
エピタキシャル反応炉の反応チャンバは、反応環境を正確に制御するために、それを囲繞する環境から物理的に隔離する必要がある。エピタキシャル反応炉の反応チャンバは、それを囲繞する環境から熱的にも隔離する必要がある。実際、エピタキシャル成長プロセス中に、チャンバおよびその環境は、1,000℃から2,000℃(堆積する材料に依存する)までの範囲の温度であり、したがって熱損失を制限することが重要である。この目的のために、チャンバは、断熱構造によって囲繞される。
図1による実施例では、チャンバ1は、断熱シェル2によって囲繞される。シェル2は、例えば多孔性グラファイト、すなわち耐火性で断熱性の材料から製作することができる。シェル2は、円筒形本体21と、周辺リングによって本体21上に取り付けられた2つのサイドカバー(左側に22A、右側に22B)とを含み、その周辺リングによって、本体とサイドカバー間の結合ゾーンの断熱性が向上する。2つのカバー22A、22Bは、反応ガスを流入させ排気ガスを流出させるための、空洞12と実質的に同じ断面を有した2つの開口部221A、221Bをそれぞれ有する。明らかに、これらの開口部は、空洞12と実質的に位置合わせされる。これらの開口部、特に開口部221Aは、適切な手動や自動のツールによって、基板、あるいはむしろ基板を有したトレイの取り付けおよび取り外しのためにも使用される。
図2に、図1によるアセンブリを含んでいるCVD反応炉の一部分を示す。
図1によるアセンブリは、長い、例えば反応チャンバの長さの2倍、3倍、または4倍の長さの水晶チューブ4の中央ゾーン中へ挿入される。チューブ4の機能は、とりわけ、サイドカバー22、特に開口部221から現れる放射エネルギーを分散する機能である。
注入口結合部6および流出口案内部7が設けられる。これら要素は、通常水晶から製作される。注入口結合部6は、円形断面を有した反応ガス供給ダクト(図2に図示せず)をカバー22Aの開口部221Aに接続する機能を有し、それは、矩形で極めて平らの断面を有する。流出口案内部7は、排気ガスを排出するためのダクト(図2に図示せず)に向けて排気ガスを案内する機能を有する。
チューブ4は、中央ゾーン中で図1によるアセンブリの領域中において、誘導によってチャンバ1を加熱する電磁場を発生するソレノイド5が、そのまわりに巻き付いている。
チューブ4の2つの末端部は、そのチューブをエピタキシャル反応炉のハウジングに固定するために、2つの側方フランジ、すなわち左側フランジ8Aおよび右側フランジ8Bを備える。
既に述べたように、図2によるアセンブリは、反応チャンバの空洞12内で極めて高い温度を発生し維持するように特に設計されているので、炭化ケイ素のエピタキシャル成長のためのプロセスを実施するのに特に適している。
図3に、炭化ケイ素のエピタキシャル成長のためのプロセス中の、対称軸10に沿った図2によるアセンブリについての典型的な温度の図を示す。図3の上部に、空間対応が一層容易に理解できるように、図2のアセンブリを部分的に示す。
結合部6の出だしの部分では、温度が周囲温度、例えば20℃に一致する。次いで温度は、結合部6に沿って段々と上昇する。次いでカバー22Aの開口部221Aの領域中で急速に上昇する。空洞12内では、温度は、特に空洞12の中央ゾーン中で、ほとんど一定であり、そこでは基板を有したトレイ3が位置し、すなわち温度は、通常1,500℃から1,700℃の範囲内にあり、好ましくは1,550℃から1,650℃の範囲内である。次いでカバー22Bの開口部221Bの領域中で急速に低下する。最後に温度は、案内部7に沿って段々と下がる。空洞12の流入口における温度は、反応ガスが空洞12内で流れた結果としても温まるので、空洞12の流出口の温度より低い。
図3に示す温度状況など、温度が一様でない状況では、壁に沿った材料の堆積は、一様でない。さらに、図2を参照すると、材料は、空洞12の壁に沿ってだけでなく、結合部6に沿って、案内部7に沿って、さらに2つの開口部221の領域中でも堆積する。例えば、低温ゾーン中では、シリコン層が堆積し、高温ゾーン中では、炭化ケイ素の層が堆積する。明らかに、堆積した材料にかかわらず、反応炉の部分をできるだけ全部洗浄することは、都合が良い。
本発明によれば、CVD反応炉の反応チャンバを洗浄するためのプロセスは、
炭化ケイ素の昇華を開始させる温度以上の温度までチャンバの壁を加熱するステップと、
ガス流をチャンバ中に流入させるステップを基本的に含む。
このようにして、チャンバの壁上に、そしてチャンバに近接した他の部分上にも堆積し、高温およびガス流のいずれによっても影響される材料を容易におよび効率的に除去することが可能である。ガスを送るために、成長プロセスに使用されるのと同じダクトを使用し、チャンバを加熱するために、成長プロセスに使用されるのと同じ手段を使用することは、典型的で有利なことである。したがって、このプロセスを実現するために、CVD反応炉またはその反応チャンバのいずれもまったく分解する必要が無い。
温度によって、堆積した材料の分子は、固体壁から離れ、気相に移行しやすい。ガス流によって、気相中の化学種の分圧が減少し、したがってこの移行がかなり増加される。これら2つの現象の作用は、堆積した材料を除去することである。この作用は、堆積した材料の低結晶品質によって、さらに促進される。
反応チャンバ、したがってSiCの層の場合には、適切な温度まで加熱することによる最適条件下で洗浄が実施され、ガス流は、主な目的が、そのようにして形成されたSiC蒸気を搬送し去るということになる。
一方、洗浄プロセスがCVD反応炉の他の要素とも関係するとき、すなわちシリコン堆積物のある恐れがあり、そして温度が最小値に達する場合、加熱は、洗浄プロセスの前に導入されるガス流の適切な成分によって施される化学エッチングと関連付ける必要がある。
基本的には、2つのパラメータ、すなわち温度およびガスの組成が、本発明による洗浄プロセスと関連付けられる。
本発明による洗浄プロセス中で使用されるガスは、1つだけの化学種またはいくつかの化学種を含むことができる。
本発明によるプロセス中で使用すると有利になり得る化学種には、希ガスが含まれる。というのは、それは極めて不活性であり、したがって反応チャンバ内のどのような残留物も、確実に成長プロセスを実施するうえで問題を引き起こさない。通常、ヘリウムやアルゴンを使用することが可能であり、その化学種は、搬送ガスとして、マイクロエレクトロニクス産業で既に共通に使用されている。
本発明によるプロセス中で使用すると有利になり得る化学種には、水素も含まれる。これは、いくつかの材料について反応特性を有する。さらに、水素は、極めて小さい分子量を有し、したがって壁を加熱した結果として形成される化学種の拡散係数が極めて大きい。水素は、コストが低いという主な利点も有する。
本発明によるプロセス中で使用すると有利になり得る他の化学種は、塩酸や臭化水素酸である。知られているように、これらの物質は、多くの材料に関して顕著な化学エッチング特性を有し、したがって物理的除去に加えて、化学的除去の作用を有する。
したがって、いくつかの化学種を使用すると、異なるポイント中で異なる材料の除去が必要なとき、特に都合が良い。例えば、既に述べたように、図2による反応炉内では、いくつかのポイント中にシリコン堆積物があり、他のポイント中に炭化ケイ素の堆積物があり得る。
化学種の第1の有利な組み合せは、塩酸と希ガスが設定される。塩酸は、シリコン除去に特に有効であり、希ガスは、高温において炭化ケイ素を除去することに関して特に有効である。
化学種の第2の有利な組み合せは、塩酸と水素が設定される。塩酸は、シリコン除去に特に有効であり、水素は、高温において炭化ケイ素を除去することに関して特に有効である。
本発明による洗浄プロセス中で使用される温度は、高く、典型的には、1,800℃より高く、好ましくは基板上に成長させるプロセスの温度(シリコンについては、この温度は、典型的に1,100℃〜1,200℃の範囲内であり、炭化ケイ素には、この温度は、典型的に1,550℃〜1,650℃の範囲内である)より高い。高温の結果、壁から材料が早く除去される(そして、したがって洗浄プロセスが早い)。しかし、洗浄プロセスの結果としてだけで反応炉を修正することが必要になることを避けるために、高過ぎない温度を選択することが、適切で有利である。
本発明の目的のため、最も重要な温度は、反応チャンバの壁の温度である(図1および2を参照すると、空洞12の壁)。しかし、図1に示すCVD反応炉など、「ホットウォール」の反応チャンバを有したCVD反応炉では、チャンバ周囲の温度およびチャンバの壁の温度は、著しくは異ならない。
有効で効率的な洗浄作用を得るのに適すると判明した温度は、好ましくは1,800℃から2,400℃の範囲であり、より好ましくは1,900℃から2,000℃の範囲である。これらの温度は、炭化ケイ素の除去にも適している。一方シリコンの場合、より低い温度を使用することもできる。
本発明による洗浄プロセスは、
チャンバ壁の温度を上げる第1の周期と、
チャンバ壁の温度を維持する第2の周期と、
チャンバ壁の温度を下げる第3の周期を含むことができる。
例えば、図4を参照すると、第1の周期は、参照記号RP2で示す図の部分に対応し、第2の周期は、参照記号EPで示す図の部分に対応し、そして第3の周期は、参照記号FP2で示す図の部分に対応する。図2に部分的に示す反応炉では、空洞12の壁温度の上昇は、ソレノイド5に電圧を加えて達成し、温度は、適切な(知られた)温度制御システムによる、ソレノイド5への通電を制御することによって維持し、そして温度の低下は、例えば、ソレノイド5への電力供給を中断することによって達成することができる。
3つの周期の中で、壁から材料を除去するのに最も有効な周期は、第2の周期である。というのは、温度がより高いからである。しかし、第1の周期の最終部分と第3の周期の最初の部分も、ある程度機能することができる。
洗浄プロセスを制御するための、第3の極めて重要なパラメータは、ガス流である。最も単純な場合、ガス流は、洗浄プロセスの期間全体にわたって同じである。例としてだけで、プロセス実施例のパラメータ値を示すと、ガス流のレート=100slm(標準リットル/分)、圧力=100mbar(すなわち10,000Pa)、温度=1,950℃、そしてガス流の流速=約25m/秒である。
洗浄プロセスを3つの周期に分割することを考えると、上記の如く設定されたように、ガス流は、温度が最も高いので、第2の周期中が最も重要である。この第2の周期中に、例えば、上記に示したパラメータ値を使用することができる。
第2の周期中のガス流が、第1の周期中のガス流よりずっと多いことが好ましく、好ましくは5倍から20倍多い。実際、温度上昇の周期中に、ガス流が多い場合、多量の熱エネルギーが、ガス流を加熱することに浪費されることになる。
第3の周期中のガス流が、第2の周期中のガス流と実質的に同じまたはそれより多いことが好ましく、好ましくは1倍から3倍多い。実際、この周期中にガス流が多いと、チャンバをより迅速に冷却する助けになり、したがってその効率を落とすことなく洗浄プロセスの継続期間を縮小し、一方ガス流は、その除去作用を維持することができる。
本発明によれば、いくつかの異なる連続した除去ステップが設けられることも可能であることは、指摘する価値がある。これらは、異なる継続期間を有し、異なる温度で実施し、異なる化学種を含んだガス流を使用することができる。これら連続したステップは、温度の上昇を伴う単一ステップを先行させることができ、温度の低下を伴う単一ステップを続けることができる。
本発明による洗浄プロセスは、例えば図2に部分的に示すCVD反応炉などであって、例えば図1に示す反応チャンバなど、堆積物用の反応チャンバを備えた、基板上に半導体材料を堆積するためのCVD反応炉の操作プロセス内で、典型的で都合の良い用途を有する。
本発明による操作プロセスには、
チャンバ内に基板を取り付けるためのプロセスと、
基板上に半導体材料を堆積するためのプロセスと、
チャンバから基板を取り外すためのプロセスを、順次、循環的に実施することを含んだ成長プロセスが設けられ、取り外しプロセスの後で、本発明によるチャンバを洗浄するためのプロセスが実施される。
洗浄プロセスの頻度は、主に堆積プロセスの特性と洗浄プロセスの特性を含んだ、様々な要因に依存する。
図4に、図2による反応炉中で実施される、本発明による操作プロセスの一部分に関する時間/温度の図が示されている。図4に、取り外しプロセスに対応する時間周期LPと、成長プロセスに対応する時間周期RP1+DP+FP1と、取り外しプロセスに対応する時間周期UPと、そして洗浄プロセスに対応する時間周期RP2+EP+FP2とを示す。より具体的には、成長プロセスに対応する時間周期は、温度上昇のための時間周期RP1と、堆積のための時間周期DPと、温度低下のための時間周期FP1とに分割される。そして洗浄プロセスに対応する時間周期は、温度上昇のための時間周期RP2と、除去のための時間周期EPと、温度低下のための時間周期FP2とに分割される。
本発明による操作プロセスには、取り付けプロセスの後で堆積プロセスの前に実施される浄化プロセスを有利に設けることができる。図4による図には、浄化プロセスは示されていない。
浄化プロセスの目的は、成長プロセスに、特に堆積プロセスに望ましくないまたは有害であるガス状物質を反応チャンバから除去することである。有害な物質は、半導体材料を酸化させるので、酸素(空気の成分)である。望ましくない物質は、半導体材料をドーピングするので、窒素(空気の成分)である。
有害物質は、通常空気の成分であり、通常基板の取り付けおよび取り外しのプロセス中に反応チャンバ中に侵入することができる。この侵入は、これから処理する基板を「浄化チャンバ」から引き出す場合や、既に処理した基板を「浄化チャンバ」中に挿入する場合、防止することができる。通常2つの浄化チャンバは、同じとすることができる。図2に部分的に示す反応炉は、どのような「浄化チャンバ」も想定されておらず、したがって浄化プロセスが必要である。
反応チャンバから望ましくないまたは有害なガスを除去するための最も便利な方法は、反応チャンバ内を真空にすることである。以下のステップ、
a)、不活性ガス、例えば「希」ガス、典型的にアルゴンやヘリウムで、例えば1atm(すなわち、約100,000Pa)においてチャンバを満たすステップと、
b)チャンバ内を低いレベルの真空、例えば10Paにするステップと、
c)チャンバ内を高いレベルの真空、例えば0.0001Paにするステップを使用して有利に処理することが可能である。
ステップb)は、例えば標準的な真空ポンプによって実施することができる。
ステップc)は、例えばターボ分子ポンプによって実施することができる。
ステップa)は、極めて短期間であり、例えば約1分間持続することができる。
ステップb)は、極めて短期間であり、例えば約1分間持続することができる。
ステップc)は、例えば10または15分間持続することができ、明らかに、その時間は、真空の所望のレベルに依存する。
通常、ステップc)中、温度は、望ましくないまたは有害な化学種の脱離を促進するために、約20℃毎で、例えば約1,200℃まで上昇させる。
堆積前に、その表面をエッチングすることによって基板表面を処理することが望ましい。この処理は、堆積プロセスに先行する温度上昇周期、すなわち図4を参照すると、周期RP1中に有効で効率的な方法で実施することができる。この目的のためには、水素流を、例えば20m/秒または25m/秒の流速で流入させれば十分である。基板を前処理するための水素流は、浄化プロセスの後程なく流入を有利に開始することができる。例えば、それは、約1,200℃で開始し、約1,600℃で終了することができる。通常、水素流は、堆積プロセス中、すなわち図4を参照すると、周期DP中も継続される。
本発明による操作プロセスでは、チャンバの洗浄プロセスは、例えば取り外しプロセスの後毎に実施することができる。このようにして、チャンバの壁上に堆積した材料が、堆積した後まもなく除去され、したがってその損傷作用は最小になり、特に壁から粒子が分離することに関連するリスクが最小になる。
成長プロセス毎に洗浄プロセスを実施する実際の可能性は、本発明による洗浄プロセスの継続期間に連動し、それは十分短い。実際、洗浄プロセスが成長プロセスより極めて長いなら、CVD反応炉は、生産高が低過ぎることになる。洗浄プロセスの継続期間は、特にそれが実施される温度に連動する。
以下の実施例は、表示するだけであり、上述のコメントを一層はっきりと理解できるように助けるものである。1,600℃において炭化ケイ素の堆積速度が、10ミクロン/時である場合、および所与の水素流を伴い、2,000℃において炭化ケイ素の除去速度が、100ミクロン/時である場合、1時間で堆積した層を除去するためには、約6分で十分である。理論的に、10%だけの生産高の減少があり、それは、落ちて来る粒子による基板欠陥の可能性が減少することに関する利益を考慮すると、極めて小さい。
上記に提示した実施例は、既に述べたように、操作プロセスの実施例にもっぱら言及している図4の助けで、より詳細に考えることができる。成長プロセスには、約20℃から約1,600℃まで温度を上げるための時間周期RP1、1,600℃で堆積させるための時間周期DP、および1,600℃から約20℃まで温度を下げるための時間周期FP1が設けられる。洗浄プロセスには、約20℃から約2,000℃まで温度を上げるための時間周期RP2、約2,000℃で除去するための時間周期EP、および約2,000℃から約20℃まで温度を下げるための時間周期FP2が設けられる。図2に部分的に示す反応炉などの反応炉では、温度は、例えば約50℃/分の速度で上げて下げることができる。図4による実施例では、周期RP1は、約30分持続され、周期FP1は、約60分持続され、周期RP2は、約40分持続され、そして周期FP2は、約80分持続される。周期DPは、約60分持続される。周期EPは、約6分持続される。したがって成長プロセスは、約150分持続され、洗浄プロセスは、約126分、すなわち成長プロセスよりわずかに短く持続され、約45%の生産高の減少になる。しかし、上記の計算では、取り付けプロセス、取り外しプロセスおよび浄化プロセスの継続期間がまったく考慮されていない。これらの時間周期が考慮された場合、洗浄プロセスは、成長プロセスより実質的に短い期間持続され、したがって生産高は、20%〜30%だけ減少することになる。
したがって、既に述べたように、洗浄プロセスが、成長プロセスより短く、好ましくは成長プロセスの1/2と1/4の範囲の短い時間、継続されることが有利である。
ここで、前述の周期のいくつかの継続期間について、2点コメントする価値がある。基板を取り付け、取り外すための周期LPおよびUPの継続期間は、CVD反応炉の自動化の程度に大いに依存する。壁上に堆積した材料の除去は、周期EP中単独では行われない、しかし、ガス流がある場合、チャンバの温度がかなり高い、例えば1,500℃より高いとき行われる。したがって、たとえ最初および最後の段階では除去がかなり遅くなるにしても、除去は、周期RP2中に開始され、周期FP2中に終了される。一方、周期EP中では、除去は、最大速度で行われることになる。この観察に基づき、洗浄プロセスの様々なステップの継続期間を正しく選択することが可能になる。
ともかく、CVD反応炉の生産高が、極めてわずかな量で減少することになる場合、本発明による操作プロセスでは、チャンバの洗浄プロセスが、所定数の取り外しプロセス、したがって成長プロセスの後、実施されるようにする。この数は、2から10の範囲から有利に選択することができる。
本発明は、基板上に半導体材料を堆積するためのCVD反応炉に、洗浄プロセスおよび操作プロセスともに関して適用される。
本発明は、堆積プロセス中に、炭化ケイ素が、既に述べた理由のために高温で堆積される、すなわち堆積材料の高品質のために、炭化ケイ素の堆積が、1,500℃と1,700℃の範囲、好ましくは1,550℃と1,650℃の範囲の温度で実施され、一方、最適な除去のために、除去が、1,800℃と2,400℃の範囲、好ましくは1,900℃と2,000℃の範囲の温度で実施される反応炉において、特に有利である。
本発明は、反応チャンバの壁が、なによりもまず、炭化タンタルおよび炭化ニオブの少なくとも1つの表面層を備えた反応炉において、特に有益である。既に述べたように、表面層は、グラファイトからなるチャンバのための保護層として働く。
炭化タンタルまたは炭化ニオブの表面層は、特に耐久性があり、したがって洗浄プロセスの継続期間があまり重要にならないことに留意すべきである。実際、耐久性の表面層がない場合、洗浄プロセスの継続期間は、壁上に堆積した材料だけでなく前記壁の材料も除去してしまうことを防止するために、正確に計算する必要がある。
本発明による洗浄プロセスまたは操作プロセスを実現するために、CVD反応炉は、適切な手段を備える必要がある。CVD反応炉では、本発明による洗浄プロセスを実現するために必要な機械部品、電気部品および材料は、既にたいていは存在していることがしばしばである。さらに、CVD反応炉は、一般にコンピュータ化された電子制御システムを備えている。したがって本発明を実現するためには、ソフトウェアプログラム、あるいは反応炉を制御するソフトウェアプログラムを変更することで、実質的に十分であることがしばしばである。
上記の記述は、炭化ケイ素を堆積するCVD反応炉に関して与えられたことが理解される。しかし、これは、反応チャンバおよび/または反応炉構成要素が、確実に反応炉を正しく動作させるために除去する必要がある不要な付着物または堆積物の形成を被る、CVD反応炉のそれらの場合すべてにおいて、適用可能である。
断熱シェルによって囲繞され、本発明による洗浄プロセスを適用することができる反応チャンバの、側面断面図、正面断面図および上から見た断面図である。 図1によるアセンブリを含むCVD反応炉の一部分を示す図である。 図2の反応炉内の温度の空間の図である。 図2による反応炉中で実施される、本発明による操作プロセスに関する時間/温度の図である。

Claims (22)

  1. CVD反応炉の反応チャンバを洗浄するためのプロセスであって、
    除去すべき材料の昇華を開始させる温度以上の温度まで、前記チャンバの壁を加熱するステップ、
    前記チャンバ中にガス流を流入させるステップ、
    を含むことを特徴とする洗浄プロセス。
  2. 除去すべき前記材料は、炭化ケイ素であることを特徴とする請求項1に記載の洗浄プロセス。
  3. 前記ガスは、希ガス、好ましくはアルゴンまたはヘリウムを含むことを特徴とする請求項1または2に記載の洗浄プロセス。
  4. CVD反応炉を洗浄するためのプロセスにおいて、
    前記反応炉の壁を加熱するステップであって、反応チャンバ壁を加熱する温度が、除去すべき材料の昇華を開始させる温度以上である、加熱するステップ、
    洗浄すべき前記反応炉の壁と接触させてガス流を流入させるステップであって、前記ガスは、除去すべき前記材料に関して反応性である少なくとも1つの成分を含むガス流を流入させるステップ、
    を含むことを特徴とする洗浄プロセス。
  5. 前記ガスは、水素または塩酸または臭化水素酸を含むことを特徴とする請求項1、2、3または4のいずれか一項に記載の洗浄プロセス。
  6. 前記ガスは、塩酸および希ガスを含むことを特徴とする請求項1、2、3または4のいずれか一項に記載の洗浄プロセス。
  7. 前記ガスは、塩酸および水素を含むことを特徴とする請求項1、2、3または4のいずれか一項に記載の洗浄プロセス。
  8. 前記チャンバの壁は、1,800℃より高い温度、好ましくは1,800℃から2,400℃の範囲の温度、より好ましくは1,900℃から2,000℃の範囲の温度まで加熱することを特徴とする前記請求項1から7のいずれか一項に記載の洗浄プロセス。
  9. 前記チャンバ壁の前記温度を上げる第1の周期、
    前記チャンバ壁の前記温度を維持する第2の周期、
    前記チャンバ壁の前記温度を下げる第3の周期、
    を含むことを特徴とする前記請求項1から8のいずれか一項に記載の洗浄プロセス。
  10. 前記第2の周期中の前記ガス流は、前記第1の周期中の前記ガス流より多い、好ましくは5倍から20倍多いことを特徴とする請求項9に記載の洗浄プロセス。
  11. 前記第3の周期中の前記ガス流は、前記第2の周期中の前記ガス流と実質的に等しいまたはそれより多い、好ましくは1倍から3倍多いことを特徴とする請求項10に記載の洗浄プロセス。
  12. 基板上に半導体材料を堆積するためのCVD反応炉の操作プロセスにおいて、
    前記反応炉は、堆積物のための反応チャンバを備え、
    前記チャンバ中に前記基板を取り付けるためのプロセス、
    前記基板上に半導体材料を堆積するためのプロセス、
    前記チャンバから前記基板を取り外すためのプロセス、
    を順次、循環的に実施することを含む成長プロセスが設けられた操作プロセスであって、
    取り外しプロセスの後に、請求項1から11の1つまたは複数の項に記載の前記チャンバを洗浄するためのプロセスが実施されることを特徴とする操作プロセス。
  13. 前記取り付けプロセスの後および前記堆積プロセスの前に、浄化プロセスが実施されることを特徴とする請求項12に記載の操作プロセス。
  14. 前記取り外しプロセスの後毎に、前記チャンバの洗浄プロセスが実施されることを特徴とする請求項12または13に記載の操作プロセス。
  15. 所定数の取り外しプロセスの後で、前記チャンバの洗浄プロセスが実施されることを特徴とする請求項12または13に記載の操作プロセス。
  16. 前記所定数は、2から10の範囲にあることを特徴とする請求項15に記載の操作プロセス。
  17. 前記洗浄プロセスは、前記成長プロセスより短く継続されることを特徴とする請求項14に記載の操作プロセス。
  18. 前記洗浄プロセスは、前記成長プロセスの継続期間の1/2から1/4の範囲で継続されることを特徴とする請求項17に記載の操作プロセス。
  19. 前記堆積プロセス中に炭化ケイ素が堆積されることを特徴とする請求項12から18のいずれか一項に記載の操作プロセス。
  20. 1,500℃から1,700℃の範囲の温度、好ましくは1,550℃と1,650℃の範囲の温度で、前記炭化ケイ素の堆積が実施されることを特徴とする請求項19に記載の操作プロセス。
  21. 前記反応炉の壁は、最初に、炭化タンタルまたは炭化ニオブの少なくとも1つの表面層を備えることを特徴とする請求項12から20のいずれか一項に記載の操作プロセス。
  22. 基板上に半導体材料を堆積するためのCVD反応炉であって、
    請求項12から21の1つまたは複数の項に記載の操作プロセスを実現する手段を含むことを特徴とするCVD反応炉。
JP2007528803A 2004-08-30 2005-07-12 Cvd反応炉の洗浄プロセスおよび操作プロセス Withdrawn JP2008511753A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
IT001677A ITMI20041677A1 (it) 2004-08-30 2004-08-30 Processo di pulitura e processo operativo per un reattore cvd.
PCT/EP2005/053328 WO2006024572A1 (en) 2004-08-30 2005-07-12 Cleaning process and operating process for a cvd reactor

Publications (2)

Publication Number Publication Date
JP2008511753A true JP2008511753A (ja) 2008-04-17
JP2008511753A5 JP2008511753A5 (ja) 2008-09-04

Family

ID=35355934

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007528803A Withdrawn JP2008511753A (ja) 2004-08-30 2005-07-12 Cvd反応炉の洗浄プロセスおよび操作プロセス

Country Status (8)

Country Link
US (1) US20070264807A1 (ja)
EP (1) EP1786949A1 (ja)
JP (1) JP2008511753A (ja)
KR (1) KR20070061844A (ja)
CN (1) CN101023198A (ja)
IT (1) ITMI20041677A1 (ja)
RU (1) RU2007111723A (ja)
WO (1) WO2006024572A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009277757A (ja) * 2008-05-13 2009-11-26 Denso Corp 半導体装置の製造方法
WO2011142074A1 (ja) * 2010-05-10 2011-11-17 三菱電機株式会社 炭化珪素エピタキシャルウエハ及びその製造方法、エピタキシャル成長用炭化珪素バルク基板及びその製造方法並びに熱処理装置
JP2016115938A (ja) * 2014-12-12 2016-06-23 韓國東海炭素株式會社 半導体工程部品の再生方法

Families Citing this family (371)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008034780A (ja) * 2006-07-07 2008-02-14 Fuji Electric Holdings Co Ltd エピタキシャルSiC膜付き半導体SiC基板の製造方法およびそのエピタキシャルSiC成膜装置
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP5125095B2 (ja) * 2006-12-22 2013-01-23 パナソニック株式会社 SiCエピタキシャル膜付き基板の製造方法及びSiCエピタキシャル膜付き基板の製造装置
JP5051875B2 (ja) * 2006-12-25 2012-10-17 東京エレクトロン株式会社 成膜装置および成膜方法
JP5478616B2 (ja) * 2008-06-04 2014-04-23 ダウ・コーニング・コーポレイション 半導体エピタキシーにおけるメモリ効果の低減方法
CN101612622B (zh) * 2008-06-23 2011-07-27 北京北方微电子基地设备工艺研究中心有限责任公司 用于减少腔室颗粒沉积的方法、***及半导体处理设备
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
IT1392068B1 (it) * 2008-11-24 2012-02-09 Lpe Spa Camera di reazione di un reattore epitassiale
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9127364B2 (en) * 2009-10-28 2015-09-08 Alta Devices, Inc. Reactor clean
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN102921680A (zh) * 2011-08-09 2013-02-13 无锡华润上华科技有限公司 一种cvd反应腔体清洁方法
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9044793B2 (en) 2011-11-22 2015-06-02 Semiconductor Energy Laboratory Co., Ltd. Method for cleaning film formation apparatus and method for manufacturing semiconductor device
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
DE102012101438B4 (de) * 2012-02-23 2023-07-13 Aixtron Se Verfahren zum Reinigen einer Prozesskammer eines CVD-Reaktors
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
CN102691050B (zh) * 2012-06-11 2016-04-13 上海华虹宏力半导体制造有限公司 一种钨化学气相沉积***的清洗方法
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) * 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
CN105210173A (zh) * 2013-05-23 2015-12-30 应用材料公司 用于半导体处理腔室的经涂布的衬里组件
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
CN103484933A (zh) * 2013-10-22 2014-01-01 西安电子科技大学 外延化学气相淀积设备的清洗方法
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
ITCO20130073A1 (it) 2013-12-19 2015-06-20 Lpe Spa Camera di reazione di un reattore per crescite epitassiali adatta per l'uso con un dispositivo di carico/scarico e reattore
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN103938268B (zh) * 2014-04-03 2016-08-24 中国电子科技集团公司第五十五研究所 一种降低碳化硅外延片表面颗粒密度的方法
JP6320831B2 (ja) * 2014-04-16 2018-05-09 株式会社ニューフレアテクノロジー サセプタ処理方法及びサセプタ処理用プレート
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
EP3164884B1 (en) 2014-07-03 2022-02-23 LPE S.p.A. Tool for manipulating substrates, manipulation method and epitaxial reactor
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6370684B2 (ja) * 2014-11-14 2018-08-08 エドワーズ株式会社 除害装置
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
CN111663115B (zh) * 2020-05-26 2022-06-28 东莞市天域半导体科技有限公司 一种SiC化学气相沉积设备反应腔配件清洁方法
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5277590A (en) * 1975-12-24 1977-06-30 Toshiba Corp Semiconductor producing device
US6236023B1 (en) * 1998-07-13 2001-05-22 Mattson Technology, Inc. Cleaning process for rapid thermal processing system
RU2162117C2 (ru) * 1999-01-21 2001-01-20 Макаров Юрий Николаевич Способ эпитаксиального выращивания карбида кремния и реактор для его осуществления
US6579361B2 (en) * 1999-12-02 2003-06-17 Lpe Spa Chemical vapor deposition epitaxial reactor having two reaction chambers alternatively actuated and actuating method thereof
JP2001345268A (ja) * 2000-05-31 2001-12-14 Matsushita Electric Ind Co Ltd 半導体製造装置及び半導体の製造方法
US20030221708A1 (en) * 2002-06-04 2003-12-04 Chun-Hao Ly Method of cleaning a semiconductor process chamber
DE60231256D1 (de) * 2002-12-10 2009-04-02 E T C Epitaxial Technology Ct Suszeptorsystem
DE602004001802T3 (de) * 2003-04-24 2012-01-26 Norstel Ab Vorrichtung und Verfahren zur Herstellung von Einkristallen durch Dampfphasenabscheidung
SE0301225L (sv) * 2003-04-24 2004-07-20 Okmetic Oyj Apparat och metod för tillverkning av monokristaller genom gasdeposition
ITMI20031196A1 (it) * 2003-06-13 2004-12-14 Lpe Spa Sistema per crescere cristalli di carburo di silicio
US7052546B1 (en) * 2003-08-28 2006-05-30 Cape Simulations, Inc. High-purity crystal growth

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009277757A (ja) * 2008-05-13 2009-11-26 Denso Corp 半導体装置の製造方法
WO2011142074A1 (ja) * 2010-05-10 2011-11-17 三菱電機株式会社 炭化珪素エピタキシャルウエハ及びその製造方法、エピタキシャル成長用炭化珪素バルク基板及びその製造方法並びに熱処理装置
US8679952B2 (en) 2010-05-10 2014-03-25 Mitsubishi Electric Corporation Method of manufacturing silicon carbide epitaxial wafer
KR101412227B1 (ko) * 2010-05-10 2014-06-25 미쓰비시덴키 가부시키가이샤 탄화규소 에피택셜 웨이퍼 및 그 제조 방법, 에피택셜 성장용 탄화규소 벌크 기판 및 그 제조 방법 및 열처리 장치
JP5598542B2 (ja) * 2010-05-10 2014-10-01 三菱電機株式会社 炭化珪素エピタキシャルウエハ及びその製造方法並びにエピタキシャル成長用炭化珪素バルク基板及びその製造方法
JP2016115938A (ja) * 2014-12-12 2016-06-23 韓國東海炭素株式會社 半導体工程部品の再生方法
US9956589B2 (en) 2014-12-12 2018-05-01 Tokai Carbon Korea Co., Ltd Method for repairing semiconductor processing components

Also Published As

Publication number Publication date
WO2006024572A1 (en) 2006-03-09
EP1786949A1 (en) 2007-05-23
US20070264807A1 (en) 2007-11-15
RU2007111723A (ru) 2008-10-10
KR20070061844A (ko) 2007-06-14
CN101023198A (zh) 2007-08-22
ITMI20041677A1 (it) 2004-11-30

Similar Documents

Publication Publication Date Title
JP2008511753A (ja) Cvd反応炉の洗浄プロセスおよび操作プロセス
JP5125095B2 (ja) SiCエピタキシャル膜付き基板の製造方法及びSiCエピタキシャル膜付き基板の製造装置
JP5719282B2 (ja) 多結晶シリコンの製造方法
JP6090391B2 (ja) シリコン単結晶の製造方法
KR20120067944A (ko) 탄화규소 단결정 제조 장치
TWI681070B (zh) 氣相成長方法
JPH021116A (ja) 熱処理装置
JP2002362998A (ja) 炭化珪素単結晶の製造方法及び製造装置
JP2009064913A (ja) 基板処理装置及び半導体装置の製造方法
JP2003273037A (ja) Cvd反応器中で半導体ウェーハの表側をエピタキシャル被覆する方法、この種の被覆された半導体ウェーハおよびcvd反応器用サセプタ
EP2465980B1 (en) Apparatus and method for manufacturing silicon carbide single crystal
JP2006302946A (ja) 基板処理システム
TWI590301B (zh) 用於準備外延晶圓生長之反應器的方法
JPS62263629A (ja) 気相成長装置
JP2008074653A (ja) 炭化ケイ素単結晶製造装置
JP2011011942A (ja) 結晶製造装置及び結晶製造方法
US20030070612A1 (en) Vented susceptor
JP2011157235A (ja) 結晶製造装置及び結晶製造方法
JP5370209B2 (ja) シリコンエピタキシャルウェーハの製造方法
JP2010150109A (ja) 窒化物単結晶およびその製造方法
JP2005228757A (ja) 気相成長装置及び気相成長方法
JPS62115708A (ja) 処理装置
JP3072723B2 (ja) 半導体装置の製造方法及び製造装置
JP2022095138A (ja) サセプタ、基板処理装置および基板処理方法
JP2003332240A (ja) 珪素堆積膜の成膜装置のガスクリーニング方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080714

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080714

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20100908