KR20070061844A - Cvd 반응기를 위한 세정 프로세스 및 동작 프로세스 - Google Patents

Cvd 반응기를 위한 세정 프로세스 및 동작 프로세스 Download PDF

Info

Publication number
KR20070061844A
KR20070061844A KR1020077007110A KR20077007110A KR20070061844A KR 20070061844 A KR20070061844 A KR 20070061844A KR 1020077007110 A KR1020077007110 A KR 1020077007110A KR 20077007110 A KR20077007110 A KR 20077007110A KR 20070061844 A KR20070061844 A KR 20070061844A
Authority
KR
South Korea
Prior art keywords
chamber
temperature
cleaning
walls
reactor
Prior art date
Application number
KR1020077007110A
Other languages
English (en)
Inventor
스테파노 레오네
마르코 마우세리
쥬세페 아본단자
다니로 씨리파
지안루카 바렌테
마루리지오 마씨
프랑스 프레티
Original Assignee
엘피이 에스피에이
이티씨 에피택셜 테크놀리지 센터 에스알엘
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엘피이 에스피에이, 이티씨 에피택셜 테크놀리지 센터 에스알엘 filed Critical 엘피이 에스피에이
Publication of KR20070061844A publication Critical patent/KR20070061844A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

본 발명은 CVD 반응기의 반응 챔버(12)를 세정하기 위한 프로세스에 관한 것으로, 적절한 온도로 챔버 벽들을 가열하는 단계 및 챔버에 가스 흐름을 도입하는 단계를 포함하고; 이러한 세정 프로세스는 챔버 내부의 기판들 상에 반도체 재료를 침착하기 위한 CVD 반응기의 동작 프로세스에 유리하게 사용될 수 있으며; 이러한 동작 프로세스는 챔버(12)에 기판들을 연속적이고 순환적인 로딩하고, 기판들 상에 반도체 재료를 침착하고, 및 챔버(12)로부터 기판들을 언로딩하는 단계를 포함하는 성장 프로세스를 상정하고; 언로딩 후에, 챔버(12)를 세정하기 위한 프로세스가 수행된다. 본 발명은 또한 가열과 함께, 가스 흐름에 화학적 에칭 성분들의 존재를 상정하는 전체 CVD 반응기를 세정하기 위한 프로세스에 관한 것이다.
에피택셜, 그래파이트, 니오븀 카바이드, CVD, 서셉터

Description

CVD 반응기를 위한 세정 프로세스 및 동작 프로세스{Cleaning process and operating process for a CVD reactor}
본 발명은 CVD 반응기를 위한 세정 프로세스 및 동작 프로세스에 관한 것이다.
공지된 바와 같이, CVD(Chemical Vapour Deposition) 반응기들은, 얇고 균일한 재료층들이 기판들 상에 침착되는 동안 에피택셜(epitaxial) 성장 프로세스들을 수행하는데 사용된다.
마이크로전자 분야에서, CVD 반응기들은 기판들 상에 얇은 반도체 재료층들을 침착하고 이어서 전자 구성성분들, 특히 집적회로들의 제조시에 사용되는 슬라이스들(slices)을 준비하는데 사용된다. 성장 프로세스 중, 반도체 재료는 기판 상에 그리고 반응 챔버의 내부 벽들(walls) 상에 침착되는데: 이것은 상기 재료는 온도가 상당히 높을 때에만 침착되므로, 이른바 "핫 월(hot wall)" CVD 반응기들의 경우에 특히 그러하다.
각각의 프로세스로, 새로운 얇은 재료층이 챔버의 내부 벽들 상에 침착되고; 다양한 프로세스들 후에, 상기 벽들은 두꺼운 재료층을 갖는다. 이 두꺼운 재료층 은 챔버의 기하학적 구조를 변경하며, 이에 따라 반응 가스의 흐름에 영향을 미쳐, 결국 추가적인 성장 프로세스에 영향을 미친다. 더욱이, 이 두꺼운 재료층은 완전히 콤팩트하지 않으며, 추가적인 성장 프로세스들 동안, 작은 입자들이 이러한 층으로부터 분리될 수 있으며, 상기 입자들이 기판들의 상부에 떨어진다면 성장되는 기판들을 손상시킨다.
현재, 마이크로전자 산업에 의해 가장 광범위하게 사용되는 반도체 재료는 실리콘이다. 현재 마이크로전자 산업에 의해 아직은 상당히 많이 사용되지는 않지만, 매우 유망한 재료는 실리콘 카바이드이다. 마이크로전자 산업에 의해 요구되는 고품질을 갖는 실리콘 카바이드를 에피택셜하게 성장시키기 위해서는, 매우 높은 온도, 즉 1500℃보다 높은 고온이 요구되고, 결국 일반적으로 1100℃와 1200℃ 사이 범위의, 실리콘의 에피택셜 성장을 위해 필요한 온도보다 훨씬 높은 온도가 요구된다. 이러한 고온들을 얻기 위해서는, "핫 월" CVD 반응기들이 특히 적합하다.
그러므로, 실리콘 카바이드의 에피택셜 성장을 위한 CVD 반응기들은 특히 반응 챔버의 내부 벽들 상에서의 재료 침착과 관련된 문제를 겪는다. 또한, 실리콘 카바이드는 특히 기계적 및 화학적으로 제거하기 어려운 재료이다.
이러한 문제점을 해소하기 위해 일반적으로 채택되는 해결책은 주기적으로 반응기로부터 반응 챔버를 결합해제하고, 그것을 기계적 및/또는 화학적으로 세정하는 것이다; 이러한 작업은 많은 시간을 필요로 하고, 결국 반응기의 긴 중단(stoppage)을 포함하고; 또한 종종 소정 회수의 세정 작업 후에는, 챔버는 버려지거나 처리되어야 한다.
또한, 특히 실제 반응 챔버의 업스트림 및 다운스트림의 반응기 섹션들에서, 제거되어야할 실리콘 침착물들이 존재할 수 있다.
본 발명의 일반적인 목적은 CVD 반응기들의 반응 챔버 및 CVD 반응기들을 위한 세정 프로세스를 제공하여, 상술한 단점들을 해소하는 것이다.
이러한 목적은 실질적으로 독립 청구항 1에 개시된 기능적인 특징들을 갖는 세정 프로세스에 의해 달성되고; 이러한 프로세스의 추가적인 이로운 측면들은 종속 청구항에 개시된다.
하나의 추가적인 측면에 따라, 본 발명은 또한 이러한 세정 프로세스를 사용하고, 독립 청구항 12에 개시된 기능적인 특징들을 갖는 CVD 반응기를 위한 동작 프로세스에 관한 것이며; 이러한 프로세스의 추가적인 이로운 측면들은 종속 청구항에 개시된다.
본 발명은 첨부된 도면들과 관련하여 고려될 아래의 설명으로부터 명백해 질 것이다.
도 1은 본 발명에 따른 세정 프로세스가 적용될 수 있는, 절연 쉘(insulating shell)로 둘러싸인 반응 챔버의 단면 측면도, 단면 정면도, 및 단면 상면도를 도시하는 도면.
도 2는 도 1에 따른 어셈블리를 포함하는 CVD 반응기의 일부를 도시하는 도면.
도 3은 도 2의 반응기 내부의 온도에 대한 공간 다이어그램.
도 4는 도 2에 따른 반응기에서 수행되는 본 발명에 따른 동작 프로세스에 관련한 시간/온도 다이어그램.
상세한 설명 및 도면들은 단순히 설명을 위한 것으로 고려되어야 하며, 이에 제한되는 것이 아니다; 또한 이러한 도면들은 개략적이며 단순화된 것임을 기억해야 한다.
도 1은 전체적으로 도면번호 1로 표시된 반응 챔버 및 전체적으로 도면번호 2로 표시된 주변 쉘(surrounding shell)로 구성되는 어셈블리를 도시한다.
도 1은, 오른쪽 상부에 중앙이 잘려진 어셈블리의 정면도, 왼쪽 상부에 중앙이 절단된 어셈블리의 측면도, 및 왼쪽 하부에 중앙이 절단된 어셈블리의 상면도를 도시한다.
본 발명에 따른 세정 프로세스는 예를 들어 도 1에 도시된 챔버(1)에 유리하게 적용될 수 있다. 이 챔버는 실리콘 카바이드의 에피택셜 성장을 위한 CVD 반응기들에 사용하기에 특히 적합하다.
챔버(1)는 반도체 재료층들이 침착되는 기판들을 하우징하기 위한 공동(cavity:12)을 가지며, 이를 위해, 공동(12)은 실질적으로 평평하고, CVD 반응기 내부의 실질적으로 수평인 위치에 배치되는 하부 벽을 가지며; 공동(12)은 다른 벽들, 특히 상부 벽 및 두 개의 측면 벽들에 의해 둘러 싸인다. 반응 가스들은 공동(12)을 통해 길이방향으로 흐른다. 챔버(1)는 공동(12)의 벽들 및 또한 이에 따라 공동 내부를 흐르는 반응 가스들을 가열시키는 방식으로 가열되기에 적합하다. 통상적으로, 챔버(1)는 전자기 유도에 의해 가열되기에 적합하고; 이를 위해, 챔버(1)는 통상적으로 그래파이트(graphite)로 만들어지고, 실리콘 카바이드 또는 탄탈(tantalum) 카바이드 또는 니오븀 카바이드(niobium carbide)의 보호층과 정렬된다. 도 1에 도시된 챔버(1)는 축(10)(300mm의 길이를 가짐)을 따라 균일하게 연장하고, 그 단면은 원(270mm의 직경을 가짐)의 외부 형태를 가지며; 택일적으로, 이 단면은 다각형 또는 타원의 형태를 갖는다. 도 1에 도시된 공동(12)의 단면은 실질적으로 직사각형(210mm의 폭 및 25mm의 높이를 가짐)의 내부 형태를 가지며; 이 단면은 다른 형태를 가질 수 있다.
본 발명에 따른 세정 프로세스는 기판들과 면하는 반응 챔버의 표면(도 1의 경우에, 공동(12)의 상부 벽)이 상기 기판들에 매우 가까운 경우에 특히 유용하고; 실제로, 이 경우에, 이 표면으로부터(보다 정확하게는, 이 표면 상에 성장된 층들로부터) 분리되는 입자들은 그것들이 반응 가스들의 흐름에 의해 운반되기 전에 기판 상에 떨어진다.
챔버(1)의 공동(12)의 벽들이 보호층, 예를 들어 탄탈(tantalum) 카바이드 또는 니오븀 카바이드와 정렬되는 경우에, 성장 프로세스 중 벽들 상에 침착되는 재료의 부착은 제한되고, 그에 따라, 입자들의 형성은 더욱 가능성이 있게 되며; 이것은 보호층의 재료 및 침착되는 재료가 크리스탈 구조(crystal structure)에서의 차이로 인해 서로 다른 경우에 특히 그러하며; 이것은, 예컨대 그래파이트(graphite)로 만들어지며, 실리콘 카바이드 성장 프로세스용으로 사용될 때 탄탈(tantalum) 카바이드 또는 니오븀 카바이드와 정렬되는 반응 챔버의 경우이다.
도 1에 도시된 타입의 반응 챔버들에서, 기판들은 일반적으로 성장 프로세스의 시작 전에 로딩 및 성장 프로세스의 종료시에 언로딩을 용이하게 하기 위해 트레이(tray)에 의존한다. 도 1에 따른 예에서, 트레이는 도면번호 3으로 나타내지고, 3개의 대응하는 구멍들(hollows:31) 내부의 3개의 원형 기판들을 지지할 수 있고; 현재, 기판들의 수는 최소 하나에서 최대 12개까지 변할 수 있으며, 그 직경은 최소 2인치에서 최대 6인치로 변할 수 있지만, 이것은 본 발명의 목적과는 무관하며; 명백히, 기판들의 수가 증가함에 따라, 그 직경은 감소한다.
도 1에 도시된 타입의 반응 챔버들에서, 기판 지지는 기판들 상에서의 균일한 침착을 돕기 위해 회전가능하고; 반응 챔버에 대한 적절한 세정 및 챔버의 내부 벽들 상에 침착된 재료의 제거를 달성하는 것은 트레이의 효과적이고 효율적인 회전을 보장하는데 또한 유용하다는 것을 생각하는 것이 유리하다. 도 1에 따른 예에서, 트레이의 회전을 달성하기 위한 수단이 도시되지는 않았지만, 트레이(3)는 회전가능하며; 트레이의 회전을 얻기 위한 다양한 해결책들이 당해 기술분야, 예컨대 문헌 WO2004/053189호에서 당업자들에게 공지되어 있다.
도 1에 도시된 바와 같은 트레이를 갖는 챔버들에서, 트레이는 공동의 내부 표면이 돌연한 돌출들(projections) 또는 함몰들(depressions)을 갖지 않도록, 공 동의 저면 벽의 오목부(recess) 내에 하우징되고; 반응 챔버에 대한 적절한 세정 및 공동의 저면 벽 상에 침착된 재료의 제거를 보장하는 것은 벽의 표면 및 트레이의 표면이 정렬되도록 하기 위해 또한 유용하다는 것을 생각하는 것이 유리하다. 도 1에 따른 예에서, (회전가능한) 트레이(3)는 얇은 디스크(직경 190mm이고, 두께 5mm)의 모양을 가지며, 원형 형태를 갖는 공동(12)의 저면 벽의 오목부(11) 내부에 하우징된다.
도 1에 도시된 바와 같은 챔버의 트레이는 일반적으로 서셉터(susceptor), 즉 전자기 유도에 의해 가열되고 그것이 지지하는 기판들을 직접 가열시키는 소자로서 동작한다.
도 1에 따른 챔버(1)는 그 내부에 반응 가스들이 흐르지 않는 커다란 2개의 관통 홀들(13,14)을 가지며; 그에 따라, 이들 홀들의 벽들 상에 재료의 침착이 없으며, 이들 벽들은 본 발명의 목적을 위해서는 크게 중요하지는 않다.
이 홀들(13,14)의 기능 및 구조를 포함하는, 도 1에 도시된 바와 같은 챔버의 많은 기능적 및 구조적인 세부사항들은 참조문헌으로써 여기에 포함된 문헌 WO2004/053187호 및 WO2004/053188호로부터 얻을 수 있다.
에피택셜 반응기의 반응 챔버는 반응 환경을 정확하게 제어하기 위해서 그것을 둘러싸는 환경으로부터 물리적으로 고립되어야 한다. 에피택셜 반응기의 반응 챔버는 또한 그것을 둘러싸는 환경으로부터 열적으로 절연되어야 하고; 실제로, 에피택셜 성장 프로세스들 동안, 챔버 및 그 환경은 (침착되는 재료에 따라) 1000℃ 내지 2000℃ 사이의 온도에 있으며, 그에 따라 가열 손실을 제한하는 것이 중요하 며; 이를 위해, 챔버는 열 절연 구조물로 둘러 싸인다.
도 1에 따른 예에서, 챔버(1)는 열 절연 쉘(2)로 둘러 싸여지고; 쉘(2)은 예를 들어 다공성 그래파이트(porous graphite), 즉 내화성 및 열 절연 재료로 만들어질 수 있고; 쉘(2)은 원통형 본체(21) 및 이 본체와 커버들 사이의 결합 존(zone)의 열 절연을 향상시키는 주변 링(ring)에 의해 이 본체(21) 상에 실장되는 두 개의 측면 커버들(side covers)(왼쪽의 22A 및 오른쪽의 22B)을 포함한다. 두 개의 커버들(22A,22B)은 반응 가스들의 유입 및 배기 가스들의 유출을 위해 공동(12)과 실질적으로 동일한 단면을 갖는 두 개의 오프닝들(221A,221B)을 각각 가지며; 명백히, 이들 오프닝들은 공동(12)과 실질적으로 정렬되고; 이들 오프닝들, 특히 오프닝(221A)은 또한 적절한 수동 또는 자동 툴들(tools)에 의해, 기판들 또는 기판들을 갖는 트레이를 로딩 및 언로딩하는데 사용된다.
도 2는 도 1에 따른 어셈블리를 포함하는 CVD 반응기의 일부를 도시한다.
도 1에 따른 어셈블리는 예컨대 반응 챔버 길이의 2 또는 3 또는 4배인 긴 석영 튜브(quartz tube:4)의 중심 존에 삽입되고; 다른 것들 중에서도 튜브(4)의 기능은 측면 커버들(22)로부터, 특히 오프닝들(221)로부터 나오는 방사 에너지를 분산시키는 것이다.
입구 유니온(inlet union:6) 및 출구 가이드(7)가 보여지며; 이들 소자들은 통상적으로 석영으로 만들어지며; 입구 유니온(6)은 원형 단면을 갖는 반응 가스 공급 도관(도 2에 도시되지 않음)을, 직사각형 및 매우 평평한 단면을 갖는 커버(22A)의 오프닝(221A)에 접속시키는 기능을 가지며; 출구 가이드(7)는 배기 가스 들(도2에 도시되지 않음)을 방출하기 위해 도관 쪽으로 배출 가스들을 가이드하는 기능을 갖는다.
중심 존에 있는 튜브(4)는 도 1에 따른 어셈블리의 영역에서, 유도(induction)에 의해 챔버(1)를 가열하는 전자기장을 발생시키는 솔레노이드(5)로 중심 존 주변에서 감겨진다.
튜브(4)의 두 개의 단부들에는 에피택셜 반응기의 하우징에 튜브를 고정시키기 위해 두 개의 측 플랜지들, 즉 왼쪽 플랜지(8A) 및 오른쪽 플랜지(8B)가 제공된다.
이미 상술한 바와 같이, 도 2에 따른 어셈블리는, 반응 챔버의 공동(12) 내부에서 매우 높은 온도를 생성 및 유지하기 위해 특별히 제작되므로, 실리콘 카바이드의 에피택셜 성장을 위한 프로세스들을 수행하는데 특히 적합하다.
도 3은 실리콘 카바이드의 에피택셜 성장을 위한 프로세스 동안, 대칭 축(10)을 따라 도 2에 따른 어셈블리에 대한 통상적인 온도 다이어그램을 도시하며, 도 3의 상부는 공간적인 대응관계가 보다 쉽게 이해될 수 있도록 도 2의 어셈블리를 부분적으로 도시한다.
유니온(6)의 시작시에, 온도는 주변 온도, 예를 들어 20℃에 대응하고; 이어서 온도는 유니온(6)을 따라 점차 상승하고; 이어서 커버(22A)의 오프닝(221A) 영역에서 빠르게 증가하고; 공동(12) 내부의 온도는 특히 기판들을 갖는 트레이(3)가 위치되는 공동(12)의 중심 존에서 통상적으로 1500℃ 내지 1700℃, 바람직하게는 1550℃ 내지 1650℃ 사이의 온도로 사실상 일정하고; 커버(22B)의 오프닝(221B) 영 역에서의 급강하(sharp drop)가 존재하고; 최종적으로, 온도는 가이드(7)를 따라 점차 떨어지고; 공동(12)의 입구에서의 온도는 반응 가스들이 공동(12) 내부에서 흐르는 결과 가열되므로 공동(12)의 출구에서 보다 낮다.
도 3에 도시된 바와 같은 불균일 온도 상태에서, 벽들을 따른 재료의 침착은 균일하지 않고; 또한, 도 2를 참조하면, 공동(12)의 벽들을 따라서 뿐만 아니라 유니온(6), 및 가이드(7)를 따라서 그리고 두 개의 오프닝들(221)의 영역에서 재료의 침착이 존재하고; 예를 들면, 저온 존들에서, 실리콘 층들이 침착되고, 고온 존들에서, 실리콘 카바이드 층들이 침착된다. 명백히, 침착된 재료와 상관없이 반응기의 부품들 모두를 세정하는 것이 유리하다.
본 발명에 따른 CVD 반응기의 반응기 챔버를 세정하기 위한 프로세스는: 특히,
- 실리콘 카바이드의 승화(sublimation) 시작을 위한 온도보다 낮지 않은 온도로 챔버의 벽들을 가열하는 단계;
- 가스 흐름을 챔버에 도입하는 단계를 포함한다.
이런 식으로, 챔버의 벽들 상에 그리고 또한 챔버에 가까운 다른 부품들 상에 침착되고, 고온 및 가스 흐름 둘 모두에 의해 영향을 받는 재료를 용이하고 효과적으로 제거하는 것이 가능하다. 통상적으로 그리고 이롭게는, 가스를 전달하기 위해서, 성장 프로세스들을 위해 사용된 동일한 도관들이 사용될 것이며, 챔버를 가열하기 위해, 성장 프로세스들을 위해 사용된 동일한 수단이 사용될 것이다. 이러한 프로세스를 구현하기 위해, CVD 반응기 또는 그 반응 챔버를 전혀 분해할 필 요가 없다.
이 온도로 인해, 침착된 재료의 분자들은 고체 벽을 떠나, 가스상(gaseous phase)으로 되는 경향이 있으며; 가스 흐름은 가스상의 종들(species)의 부분적인 압력을 감소시켜, 이러한 이동(migration)을 상당히 증가시키며; 이들 두 가지 현상의 효과는 침착된 재료의 제거이며; 이러한 효과는 또한 침착된 재료의 낮은 결정학적인 품질(low crystallographic quality)에 의해 더 촉진(favour)된다.
반응 챔버 및 SiC의 층들의 경우에, 세정은 적절한 온도로 가열함으로써 최적의 조건하에서 수행되고, 가스 흐름은 이렇게 형성된 SiC 증기들을 전달하는 것을 주목적으로 한다.
한편, 실리콘 침착물들이 존재하고 온도가 최소값에 도달하는 CVD 반응기의 다른 성분들을 세정 프로세스가 또한 고려할 때 가열은 세정 프로세스 전에 도입되는 가스 흐름의 적절한 성분들에 의해 수행되는 화학적 에칭과 연관되어야 한다.
기본적으로, 두 개의 파라미터들, 즉 온도 및 가스 성분은 본 발명에 따른 세정 프로세스와 연관된다.
본 발명에 따른 세정 프로세스에 사용되는 가스는 하나의 화학적인 종들(species)만 또는 여러 개의 화학적인 종들을 포함할 수 있다.
본 발명에 따른 프로세스에 유리하게 사용될 수 있는 화학적인 종들은 그것들이 상당히 불활성이기 때문에 희 가스들(noble gases)을 포함하고, 그에 따라 반응 챔버 내의 잔류물들이 뒤따르는 성장 프로세스들에 대해 문제를 일으키지 않으며; 통상적으로, 상기 종들이 캐리어 가스(carrier gas)로서 마이크로전자 산업에 이미 일반적으로 사용되는 헬륨이나 아르곤을 사용하는 것이 가능하다.
본 발명에 따른 프로세스에 유리하게 사용될 수 있는 화학적인 종들은 또한 수소를 포함한다: 이것은 몇몇 재료들에 대해 반응 특성들을 가지며; 더욱이, 수소는 매우 낮은 분자량을 가지며 그에 따라, 벽들의 가열 결과 형성되는 화학적인 종들의 확산계수가 매우 높다. 수소는 또한 저비용이 드는 주요한 이점을 갖는다.
본 발명에 따른 프로세스에 유리하게 사용될 수 있는 다른 화학적인 종들은 염화수소산(hydrochloric acid) 또는 브롬화수소산(hydrobromic acid)이며; 공지된 바와 같이, 이들 물질들은 많은 재료들에 대해 두드러진 화학적인 에칭 특성들을 가지며, 그에 따라 물리적 제거에 부가하여 화학적 제거의 효과를 갖는다.
그러므로, 여러 개의 화학적인 종들의 사용은 서로 다른 지점들에서 서로 다른 재료들을 제거하는 것이 요구될 때 특히 유리하다; 예컨대 이미 언급한 바와 같이, 도 2에 따른 반응기 내부에서 몇몇 지점들에 실리콘 침착물들이 그리고 다른 지점들에서 실리콘 카바이드 침착물들이 존재할 수 있다.
화학적인 종들의 유리한 제 1 조합은 염화수소산(hydrochloric acid)과 희 가스(noble gas)를 상정하며; 염화수소산은 특히 실리콘을 제거하는데 특히 효과적이고, 희 가스는 고온에서 실리콘 카바이드를 제거하는데 특히 효과적이다.
화학적인 종들의 유리한 제 2 조합은 염화수소산과 수소를 상정하며; 염화수소산은 실리콘을 제거하는데 특히 효과적이고, 수소는 고온에서 실리콘 카바이드를 제거하는데 특히 효과적이다.
본 발명에 따른 세정 프로세스에 사용되는 온도는 통상적으로 1800℃이상으 로 고온이며, 바람직하게는 기판 상에서 성장을 위한 프로세스 온도보다 높다(실리콘에 대해, 이 온도는 통상적으로 1100℃ 내지 1200℃의 범위에 있고, 실리콘 카바이드에 대해, 이 온도는 통상적으로 1550℃ 내지 1650℃의 범위에 있음). 고온은 벽들로부터 재료를 빠르게 제거하게 하지만(그러므로, 빠른 세정 프로세스), 오로지 세정 프로세스의 결과로서 반응기를 변경시켜야 하는 것을 회피하기 위해, 너무 높지 않은 온도를 선택하는 것이 적절하고 유리하다.
본 발명의 목적들을 위해, 가장 중요한 온도는 반응기 챔버의 벽들(도 1 및 도 2를 참조하면, 공동(12)의 벽들)의 온도이고; 하지만, 도 1에 도시된 바와 같은 "핫 월" 반응기 챔버들을 갖는 CVD 반응기들에서, 챔버 환경의 온도 및 챔버 벽들의 온도는 크게 다르지 않다.
효과적이고 효율적인 세정 작용을 획득하기 위해 적절하다고 검증된 온도들은 바람직하게는 1800℃와 2400℃ 사이이고, 보다 바람직하게는 1900℃와 2000℃ 사이이고; 이들 온도들은 또한 실리콘 카바이드를 제거하기에 적합하며, 또한 실리콘의 경우에는 보다 낮은 온도들이 사용될 수 있다.
본 발명에 따른 세정 프로세스는:
- 챔버 벽들의 온도가 증가되는 동안의 제 1 기간;
- 챔버 벽들의 온도가 유지되는 동안의 제 2 기간;
- 챔버 벽들의 온도가 감소되는 동안의 제 3 기간을 포함할 수 있다.
예를 들어 도 4를 참조하면, 제 1 기간은 도면부호 RP2로 나타내진 다이어그램 부분에 대응하고, 제 2 기간은 도면부호 EP로 나타내진 다이어그램 부분에 대응 하고, 제 3 기간은 도면부호 FP2로 나타내진 다이어그램 부분에 대응한다. 도 2에 부분적으로 도시된 반응기에서, 공동(12)의 벽들의 온도 증가는 솔레노이드(5)에 에너지를 가하여 얻어지고, 그 온도는 적절한(공지된) 온도 제어 시스템에 의해 솔레노이드(5)의 에너지 공급을 제어함으로써 유지되며, 온도의 감소는 예컨대 솔레노이드(5)로의 전원 공급을 차단함으로써 얻어질 수 있다.
3개의 기간들 중에서, 벽들로부터 재료를 제거하는데 가장 효과적인 기간은 온도가 높은 제 2 기간이지만; 제 1 기간의 최종 부분과 제 3 기간의 초기 부분 또한 역할을 할 수 있다.
세정 프로세스를 제어하기 위해 매우 중요한 제 3의 파라미터는 가스 흐름이다. 가장 단순한 경우에, 가스 흐름은 세정 프로세스 전체기간 동안 동일하다. 단순히 예로써, 처리 예의 파라미터들의 값들은, 가스 흐름의 흐름율 = 100slm(분당 표준 리터: standard litres per minute), 압력 = 100mbar(즉 10,000Pa), 온도 = 1950℃, 가스 흐름 속도 = 약 25m/s로 표시된다.
3개의 기간으로 분할된 세정 프로세스를 고려하면, 상술한 바와 같이, 가스 흐름은 온도가 가장 높으므로 제 2 기간 동안 가장 중요하고; 이러한 제 2 기간 동안, 예를 들어 위에서 나타내진 파라미터 값들이 이용될 수 있다.
제 2 기간의 가스 흐름이 제 1 기간 동안의 가스 흐름보다 훨씬 높은 것이 바람직하고, 바람직하게는 5 내지 20배 높고; 실제로는, 온도가 증가하는 기간 동안 높은 가스 흐름이 존재한다면, 많은 열에너지가 가스 흐름을 가열시키는데 소모될 것이다.
제 3 기간 동안의 가스 흐름이 제 2 기간동안의 가스 흐름보다 높거나 실질적으로 같은 것이 바람직하고, 바람직하게는 1 내지 3 배 높고; 실제로는, 이 기간 동안 높은 가스 흐름은 챔버를 보다 빠르게 냉각시키는 것을 돕고, 그에 따라 효율을 감소시키지 않고도 세정 프로세스 지속기간을 감소시키고, 반대로 가스 흐름은 제거 효과를 유지한다.
본 발명에 따라, 여러 개의 서로 다른 연속적인 제거 단계들을 상정하는 것이 가능하다는 것을 지적할 만한 가치가 있다; 이 단계들은 서로 다른 지속기간들을 가지며, 서로 다른 온도들에서 수행되고, 서로 다른 화학적인 종들을 포함하는 가스 흐름들을 사용하며; 이들 연속적인 단계들은 온도의 증가를 포함하는 하나의 단계보다 선행될 수 있으며, 온도의 감소를 포함하는 하나의 단계에 선행한다.
본 발명에 따른 세정 프로세스는, 예를 들어 도 2에 부분적으로 도시된 바와 같이 기판들 상에 반도체 재료를 침착하고, 예를 들어 도 1에 도시된 바와 같이 침착을 위해 반응기 챔버가 설치되는 CVD 반응기의 동작 프로세스 내에서 통상적이고 유리한 응용을 갖는다.
본 발명에 따른 동작 프로세스는 다음의 순차적이고 순환적인 실행을 포함하는 성장 프로세스를 상정한다.
- 챔버 내의 기판들을 로딩하기 위한 프로세스;
- 기판들 상에 반도체 재료를 침착하기 위한 프로세스;
- 챔버로부터 기판들을 언로딩하기 위한 프로세스;
언로딩 프로세스 후에, 본 발명에 따라 챔버를 세정하기 위한 프로세스가 수 행된다.
세정 프로세스의 빈도는 주로 침착 프로세스의 특성들 및 세정 프로세스의 특성들을 포함하는 다양한 인자들에 의존한다.
도 4는 도 2에 따른 반응기에서 수행된 본 발명에 따른 동작 프로세스의 일부와 관련한 시간/온도 다이어그램을 도시하고; 도 4는 언로딩 프로세스에 대응하는 시간 기간(LP), 성장 프로세스에 대응하는 시간 기간(RP1+DP+FP1), 언로딩 프로세스에 대응하는 시간 기간(UP), 및 세정 프로세스에 대응하는 시간 기간(RP2+EP+FP2)을 도시한다. 특히, 성장 프로세스에 대응하는 시간 기간은 온도 증가에 대한 시간 기간(RP1) 및 침착을 위한 시간 기간(DP), 및 온도 감소에 대한 시간 기간(FP1)으로 분할되고, 세정 프로세스에 대응하는 시간 기간은 온도 증가에 대한 시간 기간(RP2), 제거를 위한 시간 기간(EP), 및 온도 감소에 대한 시간 기간(FP2)으로 분할된다.
본 발명에 따른 동작 프로세스는 로딩 프로세스 후에 그리고 침착 프로세스 전에 수행되는 정화 프로세스(purging process)를 유리하게 상정할 수 있으며; 도 4에 따른 다이어그램에서, 정화 프로세스는 도시되지 않는다.
정화 프로세스의 목적은 성장 프로세스, 특히 침착 프로세스에 바람직하지 않거나 해로운 가스 물질을 반응 챔버로부터 제거하기 위한 것이며; 유해한 물질은 산소(공기의 성분)인데, 이는 산소가 반도체 재료를 산화시키기 때문이며; 바람직하지 못한 물질은 질소(공기의 성분)인데, 이는 질소가 반도체 재료를 도핑(doping)하기 때문이다.
유해한 물질들, 통상적으로 공기의 성분들은 기판 로딩 및 언로딩 프로세스 동안 반응 챔버로 침투할 수 있다. 이러한 침투는 처리될 기판들이 "정화 챔버"로부터 추출되고 이미 처리된 기판들이 "정화 챔버"에 삽입된다면 회피될 수도 있으며; 통상적으로, 두 개의 정화 챔버들은 동시에 동작한다(coincide). 도 2에 부분적으로 도시된 반응기는 "정화 챔버"를 상정하지 않는 것이며, 그에 따라 정화 프로세스가 필요하다.
반응 챔버로부터 바람직하지 않거나 유해한 가스들을 제거하는 가장 편리한 방식은 반응 챔버 내부를 진공으로 만드는 것이다. 유리하게는, 다음의 단계들:
a) 1atm(즉, 약 100,000Pa)에서, 불활성 가스, 예컨대 "희(noble)" 가스, 통상적으로는 아르곤 또는 헬륨으로 챔버를 채우는 단계;
b) 챔버의 내부를 예컨대 10Pa의 낮은 세기의 진공으로 만드는 단계;
c) 챔버의 내부를 예컨대 0.0001Pa의 높은 세기의 진공으로 만드는 단계를 사용하여 처리하는 것이 가능하며,
단계 b)는 예컨대 일반적인 진공 펌프로 수행될 수 있다.
단계 c)는 예컨대 터보 분자 펌프(turbo molecular pump)로 수행될 수 있다.
단계 a)는 매우 짧고, 예컨대 약 1분간 지속할 수 있다.
단계 b)는 매우 짧고, 예컨대 약 1분간 지속할 수 있다.
단계 c)는 예컨대 10 또는 15분간 지속할 수 있고; 명백히 시간은 요구된 진공 세기에 의존한다.
통상적으로, 단계 c) 동안, 온도는 바람직하지 않거나 유해한 종들의 제 거(desorption)를 돕도록, 예컨대 약 20℃ 내지 1200℃로 증가된다.
침착 전에, 기판의 표면을 에칭함으로써 기판들의 표면을 처리하는 것이 바람직하다. 이러한 처리는 침착 프로세스에 선행하는 온도 증가 기간(즉, 도 4를 참조하면, 기간 RP1) 동안 효과적이고 효율적인 방식으로 수행될 수 있다. 이를 위해, 예컨대 20m/s 또는 25m/s의 속도로 수소의 흐름을 도입하는 것이 충분할 것이다. 유리하게는, 기판들의 전처리(pre-treatment)를 위한 수소의 흐름은 정화 프로세스 직후에 시작할 수 있고; 예컨대, 이것은 약 1200℃에서 시작하고 약 1600℃에서 종료할 수 있으며; 통상적으로, 수소 흐름은 또한 침착 프로세스(즉, 도 4를 참조하면, 기간 DP) 동안 지속된다.
본 발명에 따른 동작 프로세스에서, 챔버 세정 프로세스는 예를 들어 각각의 언로딩 프로세스 후에 수행될 수 있다. 이런 식으로, 챔버의 벽들 상에 침착된 재료는 침착 직후에 제거되고, 그에 따라 손상 효과가 최소화되고, 특히 벽들로부터의 입자들의 분리와 연관된 위험이 최소화된다.
각각의 성장 프로세스를 위한 세정 프로세스를 수행하는 실제 가능성은 본 발명에 따른 충분히 짧은 세정 프로세스 지속기간과 연관되고; 실제로, 세정 프로세스가 성장 프로세스보다 훨씬 길면, CVD 반응기는 매우 낮은 생산 아웃풋(output)을 가지며; 세정 프로세스 지속기간은 특히 수행되는 온도에 연관된다.
단순히 제시하는 다음의 예는 상기의 설명을 보다 명료하게 이해하는데 도움이 되는데; 1600℃에서 실리콘 카바이드의 침착 속도가 10 미크론/시간이고 주어진 수소 흐름을 갖는 2000℃에서의 실리콘 카바이드의 제거 속도가 100 미크론/시간이 면, 한 시간에 침착되는 층을 제거하기 위해, 약 6분이 충분할 것이며; 이론적으로는, 단 10%만의 생산 아웃풋의 감소가 존재하며, 이것은 떨어지는 입자들로 인해 기판이 손상되는 기판들의 가능성이 감소되는 이점을 고려할 때, 매우 작다.
상기 주어진 예는 도 4의 도움으로 보다 상세히 설명될 것이며, 이미 상술한 바와 같이, 오로지 동작 프로세스의 예에 관련한다. 성장 프로세스는 약 20℃에서 약 1600℃로의 온도 증가를 위한 시간 기간(RPI), 1600℃에서의 침착을 위한 시간 기간(DP), 및 1600℃에서 약 20℃로의 온도 감소를 위한 시간 기간(FPI)을 상정하고, 세정 프로세스는 약 20℃에서 약 2000℃로의 온도 증가를 위한 시간 기간(RP2), 약 2000℃에서의 제거를 위한 시간 기간(EP), 및 약 2000℃에서 약 20℃로의 온도 감소를 위한 시간 기간(FP2)을 상정한다. 도 2에 부분적으로 도시된 바와 같은 반응기에서, 온도는 예컨대 약 50℃/분의 속도로 증가 및 감소될 수 있다. 도 4에 따른 예에서, 기간(RP1)은 약 30분 지속되고, 기간(FP1)은 약 60분 지속되고, 기간(RP2)은 약 40분 지속되고, 기간(FP2)은 약 80분 지속되고; 기간(DP)은 약 60분 지속되고; 기간(EP)은 약 6분 지속되고; 그러므로, 성장 프로세스는 약 150분 지속되고, 세정 프로세스는 약 126분 지속되어 성장 프로세스보다 약간 작고, 약 45%의 생산 아웃풋이 감소한다. 하지만, 위의 계산에서, 로딩 프로세스의 동안, 언로딩 프로세스 및 정화 프로세스는 전혀 고려하지 않았고; 이들 시간 기간들이 고려되면, 세정 프로세스는 성장 프로세스보다 실질적으로 짧게 지속하며, 그에 따라 생산 아웃풋은 20%-30%만큼만 감소된다.
그러므로, 이미 언급한 바와 같이, 세정 프로세스가 성장 프로세스 보다 적 은 짧은 시간 지속하는 것이 이롭고, 바람직하게는, 성장 프로세스의 1/2 내지 1/4이다.
이제, 몇몇 상술한 기간들의 지속기간과 관련한 두 가지를 언급한다. 기판들을 로딩 및 언로딩하기 위한 기간들(LP 및 UP)의 지속기간은 CVD 반응기의 자동화 정도에 상당히 의존한다. 벽들 상에 침착된 재료의 제거는 오로지 기간(EP) 동안만은 일어나는 게 아니라, 가스 흐름이 존재하면, 챔버의 온도가 상당히 높아질 때, 예컨대 1500℃보다 높을 때 일어난다; 그에 따라, 상기 제거는 시작과 종료시에 제거가 상당히 느리다 하더라도 기간(RP2) 동안 시작하고 기간(FP2) 동안 종료되며, 기간(EP) 동안은 가장 빠른 속도로 된다; 이러한 관찰에 기초하여, 세정 프로세스의 다양한 단계의 지속기간을 정확하게 선택하는 것이 가능하다.
임의의 경우에, CVD 반응기의 생산 아웃풋이 매우 작은 양으로 감소된다면, 본 발명에 따른 동작 프로세스는 챔버 세정 프로세스가 미리정해진 수의 언로딩 프로세스들 및 그에 따른 성장 프로세스들 후에 수행된다는 것을 상정할 수 있다. 이러한 수는 2 내지 10 사이의 범위로부터 유리하게 선택될 수 있다.
세정 프로세스와 동작 프로세스 둘 모두를 고려할 때, 본 발명은 기판들 상에 반도체 재료를 침착하기 위한 CVD 반응기들에 응용한다.
본 발명은 특히 반응기에 이로운데, 여기서, 침착 프로세스 동안, 실리콘 카바이드는 이리 언급한 이유로 고온에서 침착되고; 침착된 재료의 양호한 품질을 위해, 실리콘 카바이드의 침착은 1500℃와 1700℃사이의 온도에서 수행되고, 바람직하게는, 1550℃와 1650℃ 사이에서 수행되고, 반면에, 최적의 제거를 위해, 제거는 1800℃와 2400℃ 사이의 온도, 바람직하게는 1900℃와 2000℃ 사이의 온도에서 수행된다.
본 발명은, 반응기 챔버의 벽들에 무엇보다도 탄탈(tantalum) 카바이드 또는 니오븀 카바이드 중 적어도 한 표면 층이 제공되는 반응기에 특히 유용하고; 상술한 바와 같이, 표면 층은 그래파이트로 이루어진 챔버들을 위한 보호층으로서 동작한다.
탄탈(tantalum) 카바이드 또는 니오븀 카바이드의 표면 층은 특히 저항성이 있으며(resistant), 그 결과, 세정 프로세스의 지속기간은 덜 결정적이 된다; 실제로, 저항성 표면 층의 부존재시에, 세정 프로세스의 지속기간은 벽들 상에 침착된 재료 뿐만 아니라 상기 벽들의 재료의 제거를 회피하기 위해 정확하게 계산되어야 한다.
본 발명에 따른 세정 프로세스 또는 동작 프로세스를 구현하기 위해, CVD 반응기는 적절한 수단을 구비해야 한다. 종종, CVD 반응기에서, 본 발명에 따른 세정 프로세스를 구현하기 위한 물질들, 기계 부품들, 및 전기 부품들은 대부분 이미 존재하고; 또한, CVD 반응기는 일반적으로 컴퓨터화된 전자 제어 시스템을 구비하고; 그에 따라, 본 발명을 구현하기 위해서는, 반응기를 제어하는 소프트웨어 프로그램 및 소프트웨어 프로그램들을 변경하기에 실질적으로 종종 족하게 될 것이다.
상술한 설명은 실리콘 카바이드의 침착물을 갖는 CVD 반응기와 관련하여 제공되었음이 이해된다. 하지만, 반응 챔버 및/또는 반응기 성분은 반응기의 정확한 동작을 보장하기 위해 제거되어야만 하는 원하지 않은 퇴적물들(incrustations) 및 침착물들의 형성을 겪는 CVD 반응기들의 모든 경우들에서 적용가능하다.

Claims (22)

  1. CVD 반응기의 반응 챔버를 세정하기 위한 프로세스로서,
    - 제거될 재료의 승화(sublimation) 시작을 위한 온도보다 낮지 않은 온도로 상기 챔버의 벽들(walls)을 가열하는 단계; 및
    - 가스 흐름을 상기 챔버에 도입하는 단계를 포함하는, 세정 프로세스.
  2. 제 1 항에 있어서, 제거될 상기 재료는 실리콘 카바이드인, 세정 프로세스.
  3. 제 1 항 또는 제 2 항에 있어서, 상기 가스는 희 가스(noble gas), 바람직하게는 아르곤 또는 헬륨을 포함하는, 세정 프로세스.
  4. CVD 반응기를 세정하기 위한 프로세스로서,
    - 상기 반응기의 벽들을 가열하는 단계로서, 상기 반응기 챔버 벽들을 위한 가열 온도는 제거될 상기 재료의 승화 시작 온도보다 낮지 않은, 가열 단계; 및
    - 세정될 상기 반응기의 상기 벽들과 접촉하여 가스 흐름을 도입하는 단계로서, 상기 가스는 제거될 상기 재료에 대하여 반응하는 적어도 하나의 성분을 포함하는, 도입 단계를 포함하는, 세정 프로세스.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서, 상기 가스는 수소 또는 염화 수소산(hydrochloric acid) 또는 브롬화수소산(hydrobromic acid)을 포함하는, 세정 프로세스.
  6. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서, 상기 가스는 염화수소산 및 희 가스를 포함하는, 세정 프로세스.
  7. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서, 상기 가스는 염화수소산 및 수소를 포함하는, 세정 프로세스.
  8. 선행항 중 어느 한 항에 있어서, 상기 챔버의 상기 벽들은 1800℃보다 높은 온도, 바람직하게는 1800℃ 내지 2400℃, 보다 바람직하게는 1900℃ 내지 2000℃의 온도로 가열되는, 세정 프로세스.
  9. 선행항 중 어느 한 항에 있어서,
    - 상기 챔버의 상기 벽들의 온도가 증가하는 제 1 기간;
    - 상기 챔버의 상기 벽들의 온도가 유지되는 제 2 기간; 및
    - 상기 챔버의 상기 벽들의 온도가 감소되는 제 3 기간을 포함하는, 세정 프로세스.
  10. 제 9 항에 있어서, 상기 제 2 기간 동안의 상기 가스 흐름은 상기 제 1 기간 동안의 상기 가스 흐름보다 크고, 바람직하게는 5 내지 7배 큰, 세정 프로세스.
  11. 제 10 항에 있어서, 상기 제 3 기간 동안의 상기 가스 흐름은 실질적으로 상기 제 2 기간 동안의 상기 가스 흐름보다 크거나 같고, 바람직하게는 1 내지 3배 큰, 세정 프로세스.
  12. 기판들 상에 반도체 재료를 침착하기 위한 CVD 반응기의 동작 프로세스로서,
    상기 반응기는
    - 상기 기판들을 상기 챔버에 로딩(loading)하기 위한 프로세스;
    - 반도체 재료를 상기 기판 상에 침착하기 위한 프로세스; 및
    - 상기 챔버로부터 상기 기판을 언로딩하기 위한 프로세스의 연속적이고 순환적인 실행을 포함하는 성장 프로세스를 상정하는 침착용 반응 챔버가 설비되는, 상기 동작 프로세스에 있어서,
    언로딩 프로세스 후에, 청구항 1 내지 11항 중 하나 이상의 항에 따라 상기 챔버를 세정하기 위한 프로세스가 수행됨을 특징으로 하는, 동작 프로세스.
  13. 제 12 항에 있어서, 상기 로딩 프로세스 후 및 상기 침착 프로세스 전에 정화 프로세스(purging process)가 수행되는, 동작 프로세스.
  14. 제 12 항 또는 제 13 항에 있어서, 상기 챔버 세정 프로세스는 각각의 언로 딩 프로세스 후에 수행되는, 동작 프로세스.
  15. 제 12 항 또는 제 13 항에 있어서, 상기 챔버 세정 프로세스는 미리정해진 수의 언로딩 프로세스들 후에 수행되는, 동작 프로세스.
  16. 제 15 항에 있어서, 상기 수는 2 내지 10인, 동작 프로세스.
  17. 제 14 항에 있어서, 상기 세정 프로세스는 상기 성장 프로세스보다 짧게 지속되는, 동작 프로세스.
  18. 제 17 항에 있어서, 상기 세정 프로세스는 상기 성장 프로세스의 1/2 내지 1/4에서 지속되는, 동작 프로세스.
  19. 제 12 항 내지 제 18 항 중 어느 한 항에 있어서, 상기 침착 프로세스 동안 실리콘 카바이드가 침착되는, 동작 프로세스.
  20. 제 19 항에 있어서, 상기 실리콘 카바이드의 침착은 1500℃ 내지 1700℃의 온도에서, 바람직하게는 1550℃ 내지 1650℃의 온도에서 수행되는, 동작 프로세스.
  21. 제 12 항 내지 제 20 항 중 어느 한 항에 있어서, 상기 반응기의 상기 벽들 은 무엇보다도, 탄탈 카바이드(tantalum carbide) 또는 니오븀 카바이드(niobium carbide)의 적어도 한 표면 층을 구비하는, 동작 프로세스.
  22. 청구항 12 내지 21항 중 하나 이상에 따른 동작 프로세스를 구현하는 수단을 포함함을 특징으로 하는, 기판들 상에 반도체 재료를 침착하기 위한 CVD 반응기.
KR1020077007110A 2004-08-30 2005-07-12 Cvd 반응기를 위한 세정 프로세스 및 동작 프로세스 KR20070061844A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
IT001677A ITMI20041677A1 (it) 2004-08-30 2004-08-30 Processo di pulitura e processo operativo per un reattore cvd.
ITMI2004A001677 2004-08-30

Publications (1)

Publication Number Publication Date
KR20070061844A true KR20070061844A (ko) 2007-06-14

Family

ID=35355934

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077007110A KR20070061844A (ko) 2004-08-30 2005-07-12 Cvd 반응기를 위한 세정 프로세스 및 동작 프로세스

Country Status (8)

Country Link
US (1) US20070264807A1 (ko)
EP (1) EP1786949A1 (ko)
JP (1) JP2008511753A (ko)
KR (1) KR20070061844A (ko)
CN (1) CN101023198A (ko)
IT (1) ITMI20041677A1 (ko)
RU (1) RU2007111723A (ko)
WO (1) WO2006024572A1 (ko)

Families Citing this family (374)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008034780A (ja) * 2006-07-07 2008-02-14 Fuji Electric Holdings Co Ltd エピタキシャルSiC膜付き半導体SiC基板の製造方法およびそのエピタキシャルSiC成膜装置
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP5125095B2 (ja) * 2006-12-22 2013-01-23 パナソニック株式会社 SiCエピタキシャル膜付き基板の製造方法及びSiCエピタキシャル膜付き基板の製造装置
JP5051875B2 (ja) * 2006-12-25 2012-10-17 東京エレクトロン株式会社 成膜装置および成膜方法
JP2009277757A (ja) * 2008-05-13 2009-11-26 Denso Corp 半導体装置の製造方法
JP5478616B2 (ja) * 2008-06-04 2014-04-23 ダウ・コーニング・コーポレイション 半導体エピタキシーにおけるメモリ効果の低減方法
CN101612622B (zh) * 2008-06-23 2011-07-27 北京北方微电子基地设备工艺研究中心有限责任公司 用于减少腔室颗粒沉积的方法、***及半导体处理设备
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
IT1392068B1 (it) * 2008-11-24 2012-02-09 Lpe Spa Camera di reazione di un reattore epitassiale
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9127364B2 (en) * 2009-10-28 2015-09-08 Alta Devices, Inc. Reactor clean
DE112011101625B4 (de) 2010-05-10 2016-03-10 Mitsubishi Electric Corporation Epitaktische Siliciumcarbid-Wafer und Herstellungsverfahren für diese, Siliciumcarbid-Massensubstrat für epitaktisches Wachstum und Herstellungsverfahren für dieses
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN102921680A (zh) * 2011-08-09 2013-02-13 无锡华润上华科技有限公司 一种cvd反应腔体清洁方法
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9044793B2 (en) 2011-11-22 2015-06-02 Semiconductor Energy Laboratory Co., Ltd. Method for cleaning film formation apparatus and method for manufacturing semiconductor device
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
DE102012101438B4 (de) * 2012-02-23 2023-07-13 Aixtron Se Verfahren zum Reinigen einer Prozesskammer eines CVD-Reaktors
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
CN102691050B (zh) * 2012-06-11 2016-04-13 上海华虹宏力半导体制造有限公司 一种钨化学气相沉积***的清洗方法
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) * 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
CN105210173A (zh) * 2013-05-23 2015-12-30 应用材料公司 用于半导体处理腔室的经涂布的衬里组件
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
CN103484933A (zh) * 2013-10-22 2014-01-01 西安电子科技大学 外延化学气相淀积设备的清洗方法
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
ITCO20130073A1 (it) 2013-12-19 2015-06-20 Lpe Spa Camera di reazione di un reattore per crescite epitassiali adatta per l'uso con un dispositivo di carico/scarico e reattore
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN103938268B (zh) * 2014-04-03 2016-08-24 中国电子科技集团公司第五十五研究所 一种降低碳化硅外延片表面颗粒密度的方法
JP6320831B2 (ja) * 2014-04-16 2018-05-09 株式会社ニューフレアテクノロジー サセプタ処理方法及びサセプタ処理用プレート
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
EP3164884B1 (en) 2014-07-03 2022-02-23 LPE S.p.A. Tool for manipulating substrates, manipulation method and epitaxial reactor
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6370684B2 (ja) * 2014-11-14 2018-08-08 エドワーズ株式会社 除害装置
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN105702561B (zh) * 2014-12-12 2018-09-18 韩国东海炭素株式会社 半导体处理组件再生方法
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
CN111663115B (zh) * 2020-05-26 2022-06-28 东莞市天域半导体科技有限公司 一种SiC化学气相沉积设备反应腔配件清洁方法
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5277590A (en) * 1975-12-24 1977-06-30 Toshiba Corp Semiconductor producing device
US6236023B1 (en) * 1998-07-13 2001-05-22 Mattson Technology, Inc. Cleaning process for rapid thermal processing system
RU2162117C2 (ru) * 1999-01-21 2001-01-20 Макаров Юрий Николаевич Способ эпитаксиального выращивания карбида кремния и реактор для его осуществления
US6579361B2 (en) * 1999-12-02 2003-06-17 Lpe Spa Chemical vapor deposition epitaxial reactor having two reaction chambers alternatively actuated and actuating method thereof
JP2001345268A (ja) * 2000-05-31 2001-12-14 Matsushita Electric Ind Co Ltd 半導体製造装置及び半導体の製造方法
US20030221708A1 (en) * 2002-06-04 2003-12-04 Chun-Hao Ly Method of cleaning a semiconductor process chamber
DE60231256D1 (de) * 2002-12-10 2009-04-02 E T C Epitaxial Technology Ct Suszeptorsystem
DE602004001802T3 (de) * 2003-04-24 2012-01-26 Norstel Ab Vorrichtung und Verfahren zur Herstellung von Einkristallen durch Dampfphasenabscheidung
SE0301225L (sv) * 2003-04-24 2004-07-20 Okmetic Oyj Apparat och metod för tillverkning av monokristaller genom gasdeposition
ITMI20031196A1 (it) * 2003-06-13 2004-12-14 Lpe Spa Sistema per crescere cristalli di carburo di silicio
US7052546B1 (en) * 2003-08-28 2006-05-30 Cape Simulations, Inc. High-purity crystal growth

Also Published As

Publication number Publication date
WO2006024572A1 (en) 2006-03-09
EP1786949A1 (en) 2007-05-23
US20070264807A1 (en) 2007-11-15
RU2007111723A (ru) 2008-10-10
CN101023198A (zh) 2007-08-22
JP2008511753A (ja) 2008-04-17
ITMI20041677A1 (it) 2004-11-30

Similar Documents

Publication Publication Date Title
KR20070061844A (ko) Cvd 반응기를 위한 세정 프로세스 및 동작 프로세스
KR101149383B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
US7156923B2 (en) Silicon nitride film forming method, silicon nitride film forming system and silicon nitride film forming system precleaning method
KR20090049074A (ko) Pecvd 막에 대한 전체적인 결함 감소
JPH021116A (ja) 熱処理装置
JP3693739B2 (ja) 高周波誘導加熱炉
KR100774781B1 (ko) 플라즈마 처리 장치
CN107771226B (zh) 晶片上进行外延生长的反应器重启动的准备方法
CN113243039B (zh) 生长掺杂iv族材料的方法
KR102656520B1 (ko) 기판 처리 장치 및 이 장치를 이용한 기판 처리 방법
JP5571157B2 (ja) 半導体装置の製造方法、クリーニング方法および基板処理装置
JP2009016426A (ja) 半導体装置の製造方法および基板処理装置
JP2011011942A (ja) 結晶製造装置及び結晶製造方法
WO2009122790A1 (ja) 基板処理装置,基板処理方法
CN114576154B (zh) 配置用于布置在衬底处理设备的反应室内的注射器
KR101066138B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
JP3552037B2 (ja) シリコン酸化膜の形成方法及び形成装置
JPS62115708A (ja) 処理装置
JPH08274033A (ja) 気相成長方法および装置
JP2004095940A (ja) 半導体装置の製造方法
JP2011157235A (ja) 結晶製造装置及び結晶製造方法
JP2006108595A (ja) 半導体装置の製造方法
TW202330981A (zh) 用於在製程室中沉積含有第v主族之元素之層並隨後清潔製程室的方法及裝置
JPH053159A (ja) 化合物半導体結晶気相成長装置
JP2006108722A (ja) シリコン窒化膜の形成方法及び形成装置

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid