CN101023198A - Cvd反应器的清洗方法和操作方法 - Google Patents

Cvd反应器的清洗方法和操作方法 Download PDF

Info

Publication number
CN101023198A
CN101023198A CNA2005800291754A CN200580029175A CN101023198A CN 101023198 A CN101023198 A CN 101023198A CN A2005800291754 A CNA2005800291754 A CN A2005800291754A CN 200580029175 A CN200580029175 A CN 200580029175A CN 101023198 A CN101023198 A CN 101023198A
Authority
CN
China
Prior art keywords
chamber
temperature
purging
matrix
reactor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2005800291754A
Other languages
English (en)
Inventor
S·莱昂内
M·毛切里
G·阿邦丹扎
D·克里帕
G·瓦伦特
M·马西
F·普雷蒂
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LPE SpA
ETC SRL
Original Assignee
LPE SpA
ETC SRL
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LPE SpA, ETC SRL filed Critical LPE SpA
Publication of CN101023198A publication Critical patent/CN101023198A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

本发明涉及一种清洗CVD反应器的反应室(12)的方法,所述方法包括如下步骤:加热室壁至合适的温度,并向室内引入气流;该清洗方法可以有利地用于CVD反应器的操作方法中用于在室内向基质上沉积半导体材料;这种操作方法设想了一种包含如下步骤的生长方法:依次和循环向室(12)内装载基质、向基质上沉积半导体材料和从室(12)卸载基质;在卸载后进行清洗室(12)的方法。本发明还涉及与加热一起清洗整个CVD反应器的方法,其设想在气流中存在化学蚀刻组分。

Description

CVD反应器的清洗方法和操作方法
技术领域
本发明涉及CVD反应器的清洗方法和操作方法
背景技术
正如已知的,CVD(化学气相沉积)反应器用于实施外延生长过程,在这期间向基质上沉积薄且均匀的材料层。
在微电子领域,应用CVD反应器向基质上沉积薄的半导体材料层,然后制备用于生产电子元件、特别是集成电路的薄片。在生长过程中,半导体材料同时在基质和反应室内壁上沉积:这在所谓的“热壁”CVD反应器情况下是特别真实的,这是因为只有当温度相当高时,所述材料才沉积。
对于每一过程,在室内壁上都沉积新的材料薄层;经过不同过程之后,所述壁具有一厚层材料。该厚层材料改变了室的几何形状,因此影响了反应气流,并因此影响进一步的生长过程。另外,该厚层材料并未完全压实,在进一步的生长过程中,小颗粒有可能从该层剥离,并且如果它们落在基质上,则会破坏正在生长的基质。
目前,在微电子工业中应用最广泛的半导体材料是硅。尽管目前还没有在微电子工业中大量应用,但非常具有前景的材料为碳化硅。
为了外延生长微电子工业所需要的高质量的碳化硅,需要非常高的温度,即高于1500℃的温度及因此比硅外延生长所需的温度要高得多的温度,所述硅外延生长的温度通常为1100-1200℃。为了获得这些高温,“热壁”CVD反应器是特别合适的。
因此,用于碳化硅外延生长的CVD反应器具体存在着与材料在反应器内壁沉积有关的问题。另外,碳化硅是通过机械和化学方法都特别难以脱除的材料。
解决此问题通常采用的方案是周期性地从反应器中拆除反应室,并通过机械和/或化学方法对其进行清洗;该操作需要大量时间,并因此包括反应器的长期中断;另外,在一定次数的清洗操作之后,通常必须抛弃或处理所述室。
另外,特别是在实际反应室上游和下游的反应器部分,可能存在硅沉积物,该硅沉积物也必须清除。
发明内容
本发明的一般目的是提供用于CVD反应器的反应室及用于CVD反应器的清洗方法,该方法克服了上述缺点。
这一目的主要通过具有独立权利要求1所述功能特征的清洗方法来实现;该方法的其它有利方面在从属权利要求中描述。
按照另一方面,本发明还涉及应用该清洗方法并且具有独立权利要求12所述功能特征的CVD反应器的操作方法;该方法的其它有利方面在从属权利要求中描述。
通过结合附图考虑如下描述,本发明将变得很清楚。
附图说明
图1给出了被绝缘外壳包围的反应室的横截面侧视图、横截面正视图和横截面顶视图,本发明的清洗方法可以用于所述反应室;
图2给出了包含图1组件的CVD反应器部分;
图3给出了图2反应器内部温度的空间分布;和
图4给出了在图2反应器中实施的本发明操作方法的时间/温度图。
具体实施方式
本说明书和这些附图都只是为描述的目的而考虑,因此不构成限制;另外,应该记住的是这些图是示意性的和简化的。
图1给出了组成反应室的组件及周围外壳,所述组件作为整体用参考标记1表示,所述周围外壳作为整体用参考标记2表示。
图1的右上侧为中心剖开的组件的正视图,左上侧为中心剖开的组件的侧视图,而左下侧为中心剖开的组件的顶视图。
本发明的清洗方法可以有利地用于例如图1所示的室1。该室特别适合用于碳化硅外延生长的CVD反应器。
室1具有容纳基质用的腔12,半导体材料层在所述基质上沉积;为此目的,腔12具有基本平并在CVD反应器内沿基本水平位置设置的底壁;腔12被其它壁、具体为顶壁和两侧壁所包围。反应气体纵向流过腔12。室1适合于被加热,其加热加方式使腔12的所有壁均被加热,并因此加热其中流动的反应气体。通常室1适合于利用电磁感应加热;为此目的,室1通常由石墨制成,并内衬有碳化硅或碳化钽或碳化铌保护层。图1所示室1沿轴10(长度为300mm)均匀延伸,并且其横截面具有圆形外形(直径为270mm);替代地,该横截面可以具有多边形或椭圆形的形状。图1所示腔12的横截面具有基本为矩形的内部形状(宽为210mm和高为25mm);该横截面可以具有不同的形状。
当对着基质的反应室表面(在图1的情况下为腔12的上壁)非常接近所述基质时,本发明的清洗方法是特别有用的;事实上,在这种情况下,从该表面(更准确地为该表面上生长的层)上剥离的任何颗粒在被反应气流吹走之前均落到基质上。
当室1的腔12的壁内衬有保护层如碳化钽或碳化铌时,限制了在生长过程中在壁上沉积的材料的粘附,因此更可能形成颗粒;当保护层材料与沉积材料由于不同的晶体结构而不同时,这一点是特别真实的;例如,当由石墨制成并内衬有碳化钽或碳化铌的反应室用于碳化硅生长过程时,就是这种情况。
在图1所示类型的反应室中,基质通常被放在托盘上,以有利于在生长过程开始之前装载基质和在生长过程终了时卸载基质。在图1的实施例中,托盘用参考标记3表示,并且在三个相应的中空孔31内能够装载三个圆形的基质;在现阶段,基质的个数可以在最小一个到最大十二个之间变化,并且它们的直径可以在最小两英寸到最大六英寸之间变化,但这与本发明的目的并不相关;很明显,随着基质个数增加,它们的直径将减小。
在图1所示类型的反应室中,有利的是设想基质支撑是可以旋转的,从而有利于在基质上均匀沉积;适当清洗反应室并因此脱除室内壁上沉积的材料对于确保托盘有效且高效的旋转也是有用的。在图1所示的实施例中,托盘3是可旋转的,虽然实施该旋转的设施并未示出;对于本领域的熟练技术人员来说,使托盘旋转的各种方案都是已知的,如可由WO2004/053189获知。
在如图1所示的具有托盘的室中,有利的是设想托盘置于腔底壁的凹陷内,从而腔的内表面没有突然的凸起或凹陷;确保适当清洗反应室并因而脱除腔底壁上沉积的材料对于保持托盘表面与壁表面对准也是有用的。在图1所示的实施例中,(可旋转的)托盘3具有薄盘形状(直径为190mm和厚度为5mm),并且置于腔12底壁的圆形凹陷11内。
如图1所示的室的托盘通常也用作感受器,即通过电磁感应加热并其直接加热其上所支撑的基质的元件。
图1的室1具有两个大的通孔13和14,在所述通孔内部,反应气体不流动;因此,在这些孔壁上没有材料沉积,并且因此这些壁对于本发明目的没有太大意义。
关于如图1所示的室的许多功能和结构细节,包括孔13和14的功能和结构,可以由文献WO2004/053187和WO2004/053188得到,所述文献在这里作为参考而引用。
外延反应器的反应室必须与周围环境物理隔离,从而精确控制反应环境。外延反应器的反应室也必须与周围环境绝热;事实上,在外延生长过程中,所述室及其环境的温度范围为1000-2000℃(取决于所沉积的材料),因此限制热损失是很重要的;为此目的,用绝热结构包围所述室。
在图1所示的实施例中,室1被绝热壳2所包围;壳2可以由例如多孔石墨即一种难熔且绝热的材料制成;壳2包括圆筒形主体21和两个侧盖(左边为22A和右边为22B),其中所述侧盖通过周边环安装在主体21上,所述周边环改善了所述主体和盖之间连接区的绝热。两个盖22A和22B分别具有两个开口221A和221B用于使反应气体进入和使废气流出,所述开口与腔12具有基本相同的横截面;很明显,这些开口基本上与腔12对准;通过合适的手动或自动工具,这些开口,特别是开口221A,也可用于装载和卸载基质或装有基质的托盘。
图2给出了包含图1组件的CVD反应器部分。
图1的组件***两或三或四倍反应室长度的长石英管4的中心区域;其中管4的功能是分散从侧盖22和特别是从开口221发出的辐射能。
入口连接管6和出口导管7是正视图;这些元件通常由石英制成;入口连接管6的功能是连接具有圆形横截面的反应气体供应管(图2未示出)与盖22A的开口221A,其中所述开口具有矩形且非常平的横截面;出口导管7的功能是引导排放气去排放废气的管道(图2中未示出)。
在图1组件的区域内,管4在中心区域用螺线管5缠绕,其中所述螺线管5产生电磁场,所述磁场通过电磁感应加热室1。
管4的两端配有两个侧向法兰,即左手法兰8A和右手法兰8B,用于将所述管固定到所述外延反应器的外壳上。
正如已经提到的,图2的组件特别适合于实施碳化硅的外延生长过程,这是因为它的特别设计使得在反应室的腔12内产生和保持非常高的温度。
图3给出了在碳化硅的外延生长过程中图2的组件沿对称轴10的典型温度曲线;图3的上部部分地给出了图2的组件,从而可以更容易地理解空间对应性。
在连接管6的始端,温度对应着环境温度,如20℃;然后所述温度沿连接管6逐渐升高;然后在盖22A的开口221A区域迅速升高;在腔12内,温度十分恒定,特别是在腔12的放置装有基质的托盘3的中心区域内,温度通常为1500-1700℃,优选为1550-1650℃;然后在盖22B的开口221B区域内,温度急剧下降;最后,温度沿导管7逐渐下降;腔12入口处的温度低于腔12出口处的温度,这是由于反应气体在腔12内流过的同时起了加热作用。
在如图3所示的非均匀温度情况下,材料沿壁的沉积并不均匀;另外,参考图2,材料不仅沿腔12的壁沉积,还沿连接管6、导管7和两个开口221的区域内沉积;例如,在低温区,沉积硅层,而在高温区,沉积碳化硅层。很明显,有利的是可以清洗反应器的所有部件,而与沉积材料无关。
按照本发明,清洗CVD反应器的反应室的方法主要包括如下步骤:
-加热室壁至不低于碳化硅开始升华的温度;
-向室内引入气流。
以这种方式,可以很容易且有效地清除在室壁上沉积的材料以及室附近其它部件上沉积的材料,并且这种清除同时受高温和气流影响。典型且有利地,为了输送气体,应用在生长过程应用的相同管道,和为了加热所述室,应用在生长过程中应用的相同设施。因此为了实施本方法,根本不需要拆卸CVD反应器或其反应室。
由于温度的原因,沉积材料的分子有可能离开固体壁并进入气相;气流降低了物质在气相中的分压,并因此明显增加了这一迁移;这两种现象的效果是脱除沉积材料;沉积材料的低结晶质量会进一步促进该效果。
在反应室及因此的SiC层的情况下,通过加热至合适温度并且气流的主要目的是将如此形成的SiC蒸气带走,从而使清洗在最优条件下进行。
另一方面,当清洗方法还涉及CVD反应器的其它部件时,此时可能存在硅沉积物并且温度达到最低值,因此加热必须与通过在清洗过程之前引入的合适气流组分进行的化学蚀刻相结合。
基本上,有两个参数与本发明的清洗过程相关:温度和气体组成。
在本发明的清洗方法中应用的气体可以只包含一种化学物质或者包含几种化学物质。
可以有利地用于本发明方法的化学物质包括稀有气体,这是因为它们是高度惰性的,并且因此在反应室内的任何残留物均不会产生问题以确保生长过程;通常可以应用氦或氩,这些物质在微电子工业中是常用的载气。
可以有利地用于本发明方法的化学物质还包括氢气:它对某些物质具有反应性;另外,氢气具有非常低的分子量,因此由于加热壁所形成的化学物质的扩散系数非常高。氢气还有一个主要优点是成本低。
可以有利地用于本发明方法的其它化学物质是氢氯酸或氢溴酸;正如已知的,这些物质对许多材料具有明显的化学蚀刻性能,并因此除物理清除之外还具有化学清除效果。
因此,当需要脱除不同点的不同材料时,应用几种化学物质是特别有利的;例如已经提到的,在图2的反应器内,在某些点可能是硅沉积,而在其它点为碳化硅沉积。
化学物质的第一种有利组合设想为氢氯酸和稀有气体;氢氯酸对脱除硅是特别有效的,而稀有气体对高温下脱除碳化硅是特别有效的。
化学物质的第二种有利组合设想为氢氯酸和氢气;氢氯酸对脱除硅是特别有效的,而氢对高温下脱除碳化硅是特别有效的。
在本发明的清洗方法中应用的温度是很高的,通常高于1800℃,优选高于在基质上生长的工艺温度(对硅来说,该温度通常为1100-1200℃,而对碳化硅来说,该温度通常为1550-1650℃)。高温会导致材料从壁上快速脱除(因此是一种快速清洗过程),但比较合适和有利的是选择不太高的温度,以避免仅由于清洗过程而调整反应器。
为了本发明的目的,最重要的温度是反应室壁(参考图1和图2,腔12的壁)的温度;但在具有“热壁”反应室的CVD反应器中,如图1所示,室环境的温度和室壁的温度没有明显差别。
已经被证明适合于获得有效且高效的清洗操作的温度范围优选为1800-2400℃,更优选为1900-2000℃;这些温度也适合于脱除碳化硅,而在硅的情况下,也可以应用更低的温度。
本发明的清洗方法可以包括:
-室壁温度升高的第一阶段;
-室壁温度保持的第二阶段;
-室壁温度降低的第三阶段。
例如参考图4,第一阶段对应于由参考标记RP2表示的图段,第二阶段对应于由参考标记EP表示的图段,第三阶段对应于由参考标记FP2表示的图段。在部分示于图2的反应器中,通过为螺旋管5输入能量而使腔12壁温升高,通过应用合适(且已知)的温度控制***控制螺旋管5的能量而保持所述温度,并且例如通过中断螺旋管5的能源供应而降低所述温度。
在三个阶段中,从壁脱除材料的最有效阶段是第二阶段,这是因为其温度较高;但第一阶段的最后部分和第三阶段的初始部分也起一定作用。
控制清洗方法的第三个非常重要的参数是气流。在最简单的情况下,在整个清洗方法的持续时间内,气流是相同的。仅作为例子,给出一个方法实施例的参数值:气流流量=100slm(标准升/分钟),压力=100mbar(即10,000Pa),温度=1950℃,气流速度=约25m/s。
考虑到清洗方法被分为三个阶段,如上所示,第二阶段由于温度最高而使气流最为重要;在第二阶段,例如可以应用上述参数值。
优选的是第二阶段的气体流量远高于第一阶段的气体流量,优选高五至二十倍;实际上,如果在温度升高阶段气体流量较高,则加热气流时会浪费大量热能。
优选的是在第三阶段的气体流量与第二阶段的气体流量基本相同或更高,优选高一至三倍;事实上,在该阶段气体流量较高有助于更快地冷却所述室,并因此在不降低效率的情况下缩短清洗过程的持续时间,并且气流保持其脱除效果。
值得指出的是,按照本发明,也可以设想几个不同的连续脱除步骤;这些步骤可以具有不同的持续时间、在不同的温度下实施和应用包含不同化学物质的气流;这些连续的步骤可以通过包含温度升高的单个步骤和随后包含温度降低的单个步骤进行。
本发明的清洗方法典型且有利地用于操作在基质上沉积半导体材料的CVD反应器,例如部分示于图2中的反应器,其配有沉积用反应室,例如图1中所示的反应器。
本发明的操作方法设想了包含依次和循环执行如下步骤的生长方法:
-在室内装载基质的过程;
-在基质上沉积半导体材料的过程;
-从室内卸载基质的过程;
在卸载过程后,实施本发明的清洗室的方法。
清洗方法的频率取决于多种因素,主要包括沉积方法的特征和清洗方法的特征。
图4给出了在图2的反应器中实施的本发明的部分操作方法的时间/温度图线;图4给出了对应于卸载过程的时间段LP、对应于生长过程的时间段RP1+DP+FP1、对应于卸载过程的时间段UP、和对应于清洗过程的时间段RP2+EP+FP2。更具体地,对应于生长过程的时间段被分为温度升高的时间段RP1、沉积时间段DP、和温度降低的时间段FP1;而对应于清洗过程的时间段被分为温度升高的时间段RP2、脱除时间段EP、和温度降低的时间段FP2。
本发明的操作方法可以有利地设想为在装载过程之后和在沉积过程之前实施一个吹扫过程;在图4的图中,该吹扫过程未示出。
吹扫过程的目的是从反应室脱除对生长过程、特别是对沉积过程来说不希望的或有害的气体物质;一种有害物质为氧(空气的组分),这是因为它会氧化半导体材料;一种不希望的物质为氮(空气的组分),因为它会掺杂半导体材料。
通常在基质的装载和卸载过程中,有害物质(典型地为空气的组分)会渗透入反应室。如果尚未处理的基质从“吹扫室”中抽出,以及如果已经处理过的基质被***“吹扫室”,则可以避免这种渗透;通常两个吹扫室可以相同。部分示于图2的反应器没有设想任何的“吹扫室”,因此吹扫过程是必须的。
从反应室脱除不希望的或有害的气体的最方便的方法是在反应室内形成真空。可以应用如下步骤有利地进行:
a)用惰性气体如“稀有”气体(典型为氩或氦)填充所述室,例如在1atm(即约100,000Pa)下填充;
b)在室内形成低强度真空,如10Pa;
c)在室内形成高强度真空,如0.0001Pa。
步骤b)可以例如通过常用的真空泵来实施。
步骤c)可以例如通过涡轮分子泵来实施。
步骤a)很短并且可以持续例如约一分钟。
步骤b)很短并且可以持续例如约一分钟。
步骤c)可以持续例如10或15分钟;
很明显该时间取决于所希望的真空强度。
通常,在步骤c)中,为了有利于解吸不希望的或有害的材料,使温度升高约20℃至约1200℃。
沉积前,建议通过蚀刻基质表面而处理基质表面。这种处理可以在进行沉积过程的温度升高阶段即参考图4的RP1阶段以有效和高效方式来进行。为此目的,以20m/s或25m/s的速度引入氢气流就足够了。有利地,在吹扫过程之后可以立即开始预处理基质用的氢气流;例如,其可以在约1200℃开始,并在约1600℃终止;在沉积过程中,即参考图4在DP阶段中,氢气流通常还继续。
在本发明的操作方法中,例如在每次卸载过程之后,可以实施室清洗方法。按这种方式,室壁上沉积的材料在沉积之后立即被脱除,因此其破坏作用最小化,特别是与颗粒从壁上分离相关的危险最小化。
对每一生长过程来说,实施清洗方法的实际可能性与本发明清洗方法的持续时间有关,其中该时间是足够短的;事实上,如果清洗过程比生长过程长得多,CVD反应器的产量可能太低;具体地,清洗过程的持续时间与其实施温度有关。
下列实施例仅是示例性的,其有助于更清楚地理解上述评论;如果碳化硅在1600℃下的沉积速度为10微米/小时,并且如果在2000℃下在给定的氢气流下碳化硅的脱除速度为100微米/小时,为了在1小时内脱除沉积层,约六分钟就足够了;理论上讲,产品产量仅降低10%,当考虑到由于颗粒下落引起的缺陷基质的可能性减小的益处时,这种产量降低是很小的。
上面给出的实施例可以借助图4更详细地考虑,正如已经提到的,图4仅为操作方法的实施例。生长过程设想为从约20℃至约1600℃的温度升高时间段RPI、在1600℃下的沉积时间段DP、和从约1600℃至约20℃的温度降低时间段FPI;和清洗过程设想为从约20℃至约2000℃的温度升高时间段RP2、在约2000℃下的脱除时间段EP、和从约2000℃至约20℃的温度降低时间段FP2。在反应器中,如部分示于图2的反应器中,温度可以以例如约50℃/分钟的速度升高和降低。在图4的实施例中,RP1段持续约30分钟,FP1段持续约60分钟,RP2段持续约40分钟,和FP2段持续约80分钟;DP段持续约60分钟;EP段持续约6分钟;因此生长过程持续约150分钟,而清洗过程持续约126分钟,即稍短于生长过程,而产品产量降低约45%。但在上述计算中,完全没有考虑装载过程、卸载过程和吹扫过程的持续时间;如果考虑这些时间段,清洗过程将比生长过程持续更短的时间,因此产品产量可能只降低20-30%。
因此,正如已经提到的,对于清洗过程,有利的是持续比生长过程更短的时间,优选为生长过程时间的1/2至1/4。
关于上述某些阶段的持续时间现在需要提出两条意见。装载和卸载基质的阶段LP和UP的持续时间在很大程度上取决于CVD反应器的自动化程度。脱除在壁上沉积的材料不仅发生在EP阶段,而且如果存在气流还发生在室温相当高例如高于1,500℃时;因此,脱除开始于RP2阶段并终止于FP2阶段,虽然在开始时和在终止时相当慢,而在EP阶段,脱除将处于其最大速度;在此观察的基础上,有可能正确选择清洗过程各步的持续时间。
在任何情况下,如果CVD反应器的产量的降低量非常小,则本发明的操作方法可以设想为在预定次数的卸载过程及因此在生长过程之后进行室清洗过程。可以在二到十之间有利地选择所述次数。
本发明涉及清洗方法和操作方法两者,其用于CVD反应器以在基质上沉积半导体材料。
本发明在反应器中是特别有利的,其中在沉积过程中,由于前面已经提到的原因在高温下沉积碳化硅;为了使沉积材料有较好质量,碳化硅的沉积在1500-1700℃的温度下进行,优选为1550-1650℃,同时为了最佳脱除,脱除在1800-2400℃的温度下进行,优选为1900-2000℃。
本发明特别适用于反应器中反应室壁首先配有至少一个碳化钽或碳化铌表面层的情况;正如已经提到的,作为室保护层的表面层由石墨制成。
应注意碳化钽或碳化铌的表面层是特别耐用的,因此造成清洗过程的持续时间并不关键;实际上,当不存在耐用表面层时,为了避免不仅脱除壁上的沉积材料且同时脱除所述壁的材料,必须精确计算清洗过程的持续时间。
为了实施本发明的清洗方法或操作方法,CVD反应器必须配有合适的设施。在CVD反应器中,实施本发明的清洗方法所必需的机械部件、电部件和物质大部分已经存在;另外,CVD反应器通常配有计算机化的电子控制***;因此,为了实施本发明,调整控制反应器的软件程序通常基本上就足够了。
应理解上述描述参考用于碳化硅沉积的CVD反应器进行。但当在CVD反应器中反应室和/或反应器部件形成不希望的硬壳或沉积,而为了确保反应器的正确操作,必须脱除这些材料,此时本发明可用于CVD反应器的所有这些情况。

Claims (22)

1.一种清洗CVD反应器的反应室的方法,包括如下步骤:
-加热室壁至不低于所要脱除的材料开始升华的温度;
-向室内引入气流。
2.权利要求1的清洗方法,其中所述要脱除的材料为碳化硅。
3.权利要求1或2的清洗方法,其中所述气体包括稀有气体,优选为氩或氦。
4.一种清洗CVD反应器的方法,包括如下步骤:
-加热反应器壁,反应室壁的加热温度不低于所要脱除的材料开始升华的温度;和
-引入与待清洗的反应器壁接触的气流,所述气体含有至少一种对所述要脱除的材料具有反应性的组分。
5.权利要求1或2或3或4的清洗方法,其中所述气体包括氢气或氢氯酸或氢溴酸。
6.权利要求1、2、3或4的清洗方法,其中所述气体包括氢氯酸和稀有气体。
7.权利要求1、2、3或4的清洗方法,其中所述气体包括氢氯酸和氢气。
8.前述权利要求任一项的清洗方法,其中室壁被加热至高于1800℃的温度,优选为1800-2400℃,更优选为1900-2000℃。
9.前述权利要求任一项的清洗方法,包括:
-室壁温度升高的第一阶段;
-室壁温度保持的第二阶段;
-室壁温度降低的第三阶段。
10.权利要求9的清洗方法,其中第二阶段的气体流量高于第一阶段气体流量,优选高五至二十倍。
11.权利要求10的清洗方法,其中第三阶段的气体流量与第二阶段的气体流量基本相同或更高,优选高一至三倍。
12.一种用于在基质上沉积半导体材料的CVD反应器的操作方法,所述反应器配有用于沉积的反应室,其设想了包含依次和循环执行如下步骤的生长过程:
-在室内装载基质的过程;
-向基质上沉积半导体材料的过程;
-从室内卸载基质的过程;
特征在于,在卸载过程之后,实施权利要求1-11一项或多项的清洗所述室的方法。
13.权利要求12的操作方法,其中在装载过程之后和沉积过程之前实施吹扫过程。
14.权利要求12或13的操作方法,其中在每一次卸载过程之后均实施所述室的清洗方法。
15.权利要求12或13的操作方法,其中在预定次数的卸载过程之后,实施所述室的清洗方法。
16.权利要求15的操作方法,其中所述次数为二至十次。
17.权利要求14的操作方法,其中所述清洗方法的持续时间少于生长过程的持续时间。
18.权利要求17的操作方法,其中所述清洗方法的持续时间为生长过程的1/2至1/4。
19.权利要求12-18任一项的操作方法,其中在沉积过程中沉积碳化硅。
20.权利要求19的操作方法,其中碳化硅的沉积在1500-1700℃的温度下实施,优选为1550-1650℃。
21.权利要求12-20任一项的操作方法,其中反应器壁首先配有至少一个碳化钽或碳化铌的表面层。
22.一种用于在基质上沉积半导体材料的CVD反应器,特征在于其包含用于实施权利要求12-21一项或多项的操作方法的设施。
CNA2005800291754A 2004-08-30 2005-07-12 Cvd反应器的清洗方法和操作方法 Pending CN101023198A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
ITMI2004A001677 2004-08-30
IT001677A ITMI20041677A1 (it) 2004-08-30 2004-08-30 Processo di pulitura e processo operativo per un reattore cvd.

Publications (1)

Publication Number Publication Date
CN101023198A true CN101023198A (zh) 2007-08-22

Family

ID=35355934

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2005800291754A Pending CN101023198A (zh) 2004-08-30 2005-07-12 Cvd反应器的清洗方法和操作方法

Country Status (8)

Country Link
US (1) US20070264807A1 (zh)
EP (1) EP1786949A1 (zh)
JP (1) JP2008511753A (zh)
KR (1) KR20070061844A (zh)
CN (1) CN101023198A (zh)
IT (1) ITMI20041677A1 (zh)
RU (1) RU2007111723A (zh)
WO (1) WO2006024572A1 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101612622B (zh) * 2008-06-23 2011-07-27 北京北方微电子基地设备工艺研究中心有限责任公司 用于减少腔室颗粒沉积的方法、***及半导体处理设备
CN102691050A (zh) * 2012-06-11 2012-09-26 上海宏力半导体制造有限公司 一种钨化学气相沉积***的清洗方法
CN103484933A (zh) * 2013-10-22 2014-01-01 西安电子科技大学 外延化学气相淀积设备的清洗方法
CN103938268A (zh) * 2014-04-03 2014-07-23 中国电子科技集团公司第五十五研究所 一种降低碳化硅外延片表面颗粒密度的方法
TWI582268B (zh) * 2012-02-23 2017-05-11 Aixtron Se The cleaning method of the process chamber of the CVD reactor
CN107106976A (zh) * 2014-11-14 2017-08-29 埃地沃兹日本有限公司 除害装置

Families Citing this family (365)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008034780A (ja) * 2006-07-07 2008-02-14 Fuji Electric Holdings Co Ltd エピタキシャルSiC膜付き半導体SiC基板の製造方法およびそのエピタキシャルSiC成膜装置
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP5125095B2 (ja) * 2006-12-22 2013-01-23 パナソニック株式会社 SiCエピタキシャル膜付き基板の製造方法及びSiCエピタキシャル膜付き基板の製造装置
JP5051875B2 (ja) 2006-12-25 2012-10-17 東京エレクトロン株式会社 成膜装置および成膜方法
JP2009277757A (ja) * 2008-05-13 2009-11-26 Denso Corp 半導体装置の製造方法
WO2009148930A1 (en) * 2008-06-04 2009-12-10 Dow Corning Corporation Method of reducing memory effects in semiconductor epitaxy
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
IT1392068B1 (it) * 2008-11-24 2012-02-09 Lpe Spa Camera di reazione di un reattore epitassiale
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9127364B2 (en) * 2009-10-28 2015-09-08 Alta Devices, Inc. Reactor clean
DE112011101625B4 (de) * 2010-05-10 2016-03-10 Mitsubishi Electric Corporation Epitaktische Siliciumcarbid-Wafer und Herstellungsverfahren für diese, Siliciumcarbid-Massensubstrat für epitaktisches Wachstum und Herstellungsverfahren für dieses
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN102921680A (zh) * 2011-08-09 2013-02-13 无锡华润上华科技有限公司 一种cvd反应腔体清洁方法
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9044793B2 (en) 2011-11-22 2015-06-02 Semiconductor Energy Laboratory Co., Ltd. Method for cleaning film formation apparatus and method for manufacturing semiconductor device
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) * 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
CN111952149A (zh) * 2013-05-23 2020-11-17 应用材料公司 用于半导体处理腔室的经涂布的衬里组件
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
ITCO20130073A1 (it) 2013-12-19 2015-06-20 Lpe Spa Camera di reazione di un reattore per crescite epitassiali adatta per l'uso con un dispositivo di carico/scarico e reattore
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6320831B2 (ja) * 2014-04-16 2018-05-09 株式会社ニューフレアテクノロジー サセプタ処理方法及びサセプタ処理用プレート
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6800022B2 (ja) 2014-07-03 2020-12-16 エルピーイー ソシエタ ペル アチオニ 基板を操作するためのツール、操作方法およびエピタキシャル反応器
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN105702561B (zh) 2014-12-12 2018-09-18 韩国东海炭素株式会社 半导体处理组件再生方法
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
CN111663115B (zh) * 2020-05-26 2022-06-28 东莞市天域半导体科技有限公司 一种SiC化学气相沉积设备反应腔配件清洁方法
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5277590A (en) * 1975-12-24 1977-06-30 Toshiba Corp Semiconductor producing device
US6236023B1 (en) * 1998-07-13 2001-05-22 Mattson Technology, Inc. Cleaning process for rapid thermal processing system
RU2162117C2 (ru) * 1999-01-21 2001-01-20 Макаров Юрий Николаевич Способ эпитаксиального выращивания карбида кремния и реактор для его осуществления
US6579361B2 (en) * 1999-12-02 2003-06-17 Lpe Spa Chemical vapor deposition epitaxial reactor having two reaction chambers alternatively actuated and actuating method thereof
JP2001345268A (ja) * 2000-05-31 2001-12-14 Matsushita Electric Ind Co Ltd 半導体製造装置及び半導体の製造方法
US20030221708A1 (en) * 2002-06-04 2003-12-04 Chun-Hao Ly Method of cleaning a semiconductor process chamber
JP2006513559A (ja) * 2002-12-10 2006-04-20 イー・テイ・シー・エピタキシヤル・テクノロジー・センター・エス・アール・エル サセプタ・システム
DE602004001802T3 (de) * 2003-04-24 2012-01-26 Norstel Ab Vorrichtung und Verfahren zur Herstellung von Einkristallen durch Dampfphasenabscheidung
SE524279C2 (sv) * 2003-04-24 2004-07-20 Okmetic Oyj Apparat och metod för tillverkning av monokristaller genom gasdeposition
ITMI20031196A1 (it) * 2003-06-13 2004-12-14 Lpe Spa Sistema per crescere cristalli di carburo di silicio
US7052546B1 (en) * 2003-08-28 2006-05-30 Cape Simulations, Inc. High-purity crystal growth

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101612622B (zh) * 2008-06-23 2011-07-27 北京北方微电子基地设备工艺研究中心有限责任公司 用于减少腔室颗粒沉积的方法、***及半导体处理设备
TWI582268B (zh) * 2012-02-23 2017-05-11 Aixtron Se The cleaning method of the process chamber of the CVD reactor
CN102691050A (zh) * 2012-06-11 2012-09-26 上海宏力半导体制造有限公司 一种钨化学气相沉积***的清洗方法
CN102691050B (zh) * 2012-06-11 2016-04-13 上海华虹宏力半导体制造有限公司 一种钨化学气相沉积***的清洗方法
CN103484933A (zh) * 2013-10-22 2014-01-01 西安电子科技大学 外延化学气相淀积设备的清洗方法
CN103938268A (zh) * 2014-04-03 2014-07-23 中国电子科技集团公司第五十五研究所 一种降低碳化硅外延片表面颗粒密度的方法
CN103938268B (zh) * 2014-04-03 2016-08-24 中国电子科技集团公司第五十五研究所 一种降低碳化硅外延片表面颗粒密度的方法
CN107106976A (zh) * 2014-11-14 2017-08-29 埃地沃兹日本有限公司 除害装置
US10618004B2 (en) 2014-11-14 2020-04-14 Edwards Japan Limited Abatement device
CN107106976B (zh) * 2014-11-14 2020-09-25 埃地沃兹日本有限公司 除害装置

Also Published As

Publication number Publication date
US20070264807A1 (en) 2007-11-15
JP2008511753A (ja) 2008-04-17
KR20070061844A (ko) 2007-06-14
EP1786949A1 (en) 2007-05-23
ITMI20041677A1 (it) 2004-11-30
RU2007111723A (ru) 2008-10-10
WO2006024572A1 (en) 2006-03-09

Similar Documents

Publication Publication Date Title
CN101023198A (zh) Cvd反应器的清洗方法和操作方法
US5389152A (en) Apparatus for densification of porous billets
JP5719282B2 (ja) 多結晶シリコンの製造方法
JP5757869B2 (ja) 表面処理された窒化アルミニウム製バッフルを製造する方法
US8293014B2 (en) Substrate processing apparatus and reaction tube for processing substrate
CN1278386C (zh) 热处理装置和热处理方法
JP3984820B2 (ja) 縦型減圧cvd装置
EP2374915B1 (en) Catalyst chemical vapor deposition apparatus
KR102178936B1 (ko) 식각 특성이 향상된 화학기상증착 실리콘 카바이드 벌크
CN100415945C (zh) 一种提高直拉硅单晶炉热场部件寿命的方法及单晶炉
CN101024213A (zh) 晶片承载盘保护层的制造方法
KR20210003709A (ko) 식각 특성이 향상된 화학기상증착 실리콘 카바이드 벌크
CN105483642A (zh) 一种长寿命热解氮化硼坩埚模具的制备方法
KR101922469B1 (ko) 화학기상증착 저 저항 실리콘 카바이드 벌크 제조 장치
KR101832980B1 (ko) 가열 단계, 처리 단계 및 냉각 단계를 갖는 열 처리 방법
CN107771226B (zh) 晶片上进行外延生长的反应器重启动的准备方法
JP4281565B2 (ja) Cvd装置
US11967498B2 (en) Systems and methods for depositing low-k dielectric films
US11776793B2 (en) Plasma source with ceramic electrode plate
JP3603971B2 (ja) 導電性パイプの製造方法
JP6119565B2 (ja) 単結晶製造方法
JP5811012B2 (ja) 炭化珪素単結晶の製造装置および製造方法
JP2007073627A (ja) 成膜装置及び成膜方法
JP3803148B2 (ja) 積層部材の再生使用方法およびこれに使用する積層部材
JP2011157235A (ja) 結晶製造装置及び結晶製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication