JP2004523906A - 室温かつ低圧マイクロおよびナノ転写リソグラフィのためのテンプレート - Google Patents

室温かつ低圧マイクロおよびナノ転写リソグラフィのためのテンプレート Download PDF

Info

Publication number
JP2004523906A
JP2004523906A JP2002566722A JP2002566722A JP2004523906A JP 2004523906 A JP2004523906 A JP 2004523906A JP 2002566722 A JP2002566722 A JP 2002566722A JP 2002566722 A JP2002566722 A JP 2002566722A JP 2004523906 A JP2004523906 A JP 2004523906A
Authority
JP
Japan
Prior art keywords
template
substrate
transfer
gap
liquid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002566722A
Other languages
English (en)
Other versions
JP2004523906A5 (ja
Inventor
チョイ,ビュン・ジン
スリーニバサン,エス・ブイ
ベイリー,トッド
コルバーン,マシュー
ウィルソン,シイ・グラント
エッカート,ジョン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of Texas System
Original Assignee
University of Texas System
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of Texas System filed Critical University of Texas System
Publication of JP2004523906A publication Critical patent/JP2004523906A/ja
Publication of JP2004523906A5 publication Critical patent/JP2004523906A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/84Processes or apparatus specially adapted for manufacturing record carriers
    • G11B5/855Coating only part of a support with a magnetic layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C35/00Heating, cooling or curing, e.g. crosslinking or vulcanising; Apparatus therefor
    • B29C35/02Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould
    • B29C35/08Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation
    • B29C35/0888Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation using transparant moulds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C37/00Component parts, details, accessories or auxiliary operations, not covered by group B29C33/00 or B29C35/00
    • B29C37/005Compensating volume or shape change during moulding, in general
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C37/00Component parts, details, accessories or auxiliary operations, not covered by group B29C33/00 or B29C35/00
    • B29C37/0053Moulding articles characterised by the shape of the surface, e.g. ribs, high polish
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/003Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor characterised by the choice of material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82BNANOSTRUCTURES FORMED BY MANIPULATION OF INDIVIDUAL ATOMS, MOLECULES, OR LIMITED COLLECTIONS OF ATOMS OR MOLECULES AS DISCRETE UNITS; MANUFACTURE OR TREATMENT THEREOF
    • B82B3/00Manufacture or treatment of nanostructures by manipulation of individual atoms or molecules, or limited collections of atoms or molecules as discrete units
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C35/00Heating, cooling or curing, e.g. crosslinking or vulcanising; Apparatus therefor
    • B29C35/02Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould
    • B29C35/08Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation
    • B29C35/0805Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation using electromagnetic radiation
    • B29C2035/0827Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation using electromagnetic radiation using UV radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/02Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles
    • B29C43/021Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface
    • B29C2043/023Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface having a plurality of grooves
    • B29C2043/025Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface having a plurality of grooves forming a microstructure, i.e. fine patterning
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/887Nanoimprint lithography, i.e. nanostamp

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • General Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Oral & Maxillofacial Surgery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Thermal Sciences (AREA)
  • Toxicology (AREA)
  • Mechanical Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Moulds For Moulding Plastics Or The Like (AREA)

Abstract

転写リソグラフィ・テンプレート、そのテンプレートを形成しおよび使用する方法、およびテンプレート・ホルダ装置が記述されている。転写リソグラフィ・テンプレートは表面に複数の凹所を備えたボディを有している。ボディは活性化光に対して透明である。複数の凹所の少なくともいくつかは約250nmより小さい寸法のフィーチャを形成している。テンプレートは活性化光に対して透明な物質を得、テンプレートの表面に複数の凹所を形成することによって形成される。いくつかのテンプレートはさらに一つのアラインメント・マークを含んでいる。転写リソグラフィは基板上に設けられた光硬化液体に転写層を形成するために使用される。使用中テンプレートはテンプレート・ホルダーに配置される。テンプレート・ホルダーはテンプレート、支持プレート、ボディを備え、そのボディに結合された少なくとも一つのピエゾ・アクチェータを受け入れるように構成された開口を備えている。ピエゾ・アクチェータは、使用中にテンプレートの物理的寸法を変えるように配置されている。

Description

【0001】
(発明の背景)
1.発明の分野
本発明は転写リソグラフィ・テンプレート(imprint lithography template)に関し、より詳細にはマイクロおよびナノ転写リソグラフィ・プロセスに使用するための転写リソグラフィ・テンプレートに関する。
【0002】
2.関連技術の説明
光リソグラフィ技法は、ほとんどの超小型電子デバイスの製造に広く使用されているが、これらの方法は、分解能の点において限界に近づきつつあるとされている。半導体産業においては、サブミクロン・スケールのリソグラフィは、クリティカル・プロセスである。サブミクロン・スケールのリソグラフィを使用することにより、製造者は、チップ上の電子部品の厳しくなる一方の小型化および高実装密度化への要求に合致することができる。近い将来、超小型電子産業においては、約50nm未満のより小さい構造を追求することになるものと思われる。また、光電子工学および磁気記憶装置の領域では、ナノメートル・スケールのリソグラフィのアプリケーションが出現し始めている。例えば、フォトニク・クリスタルおよび1平方インチ(6.45平方センチ)当たり数テラバイトのオーダの高密度パターン化磁気記憶装置には、ナノメートル・スケールのリソグラフィが必要である。
【0003】
50nm未満の構造を製造するためには、光リソグラフィ技法は、極めて短い波長(例えば約13.2nm)の光を使用しなければならない。このような短い波長では、広く使用されている材料の多くは光学的に透明ではないため、一般的に複雑な反射光学系を使用して画像化システムを構築しなければならない。さらに、このような波長において十分な出力強度を有する光源を得ることは困難である。このような系により、装置およびプロセスが著しく複雑になり、手が出せないほど高価なものになっている。高解像度電子ビーム・リソグラフィ技法は、極めて精密ではあるが、生産量の多い商業アプリケーションに対しては、極めて速度が遅いとされている。
【0004】
転写リソグラフィ・プロセスは、画像をトポグラフィとしてその表面に含んだテンプレートを使用して、基板上に高分解能(50nm未満)画像を複製することができることが立証されている。転写リソグラフィは、光リソグラフィに代わって、超小型電子デバイス、光デバイス、MEMS、光電子工学、記憶装置アプリケーション用パターン化磁気媒体等を製造するため基板のパターン化に使用することができるとされている。マイクロ・レンズおよびTゲート構造などの三次元構造を製造する場合、転写リソグラフィ技法は、光リソグラフィより優れている。
【0005】
製造スケールの転写リソグラフィの場合、パターン化領域は、後続する転写を妨害することなく、可能な限り互いに近接して配置することが望ましく、それにより、基板上のパターン化可能領域が有効に最大化される。そのためには、パターン化領域から追い出されるあらゆる過剰液体は、適切にその位置が制限され、かつ、再現性のあるものでなければならない。したがって、テンプレート、基板、液体、および系の物理特性、例えば、これには限らないが、表面エネルギー、界面エネルギー、ハマッカー定数、ファン・デル・ワールス力、粘性、密度、不透明度等を始めとする物理特性に影響を及ぼす他のあらゆる材料を含む個々のコンポーネントは、繰返しが可能なプロセスに適応するように、適切にエンジニアリングしなければならない。したがって、製造スケールの転写リソグラフィを容易にすることができる、必要なパターン化領域の外部への過剰液体の展開を制御するための方法が必要である。
【0006】
(発明の概要)
本明細書において説明する実施態様には、転写リソグラフィ・テンプレート、転写リソグラフィ・テンプレートの形成方法および使用方法、ならびにテンプレート・ホルダが含まれている。
【0007】
一実施態様では、転写リソグラフィ・テンプレートは、活性化光(例えば紫外光)に対して実質的に透明である。このようなテンプレートは、第1の表面を有するボディを備えている。テンプレートは、さらに、第1の表面に複数の凹所を備えている。様々な実施態様では、第1の表面は実質的に平面であるか、放物面であるか、あるいは球面である。凹所の少なくとも一部は、約250nm未満のフィーチャのサイズを有している。実施態様の中には、テンプレートが少なくとも1つのアライメント・マークをボディ上にさらに備えた実施態様もあり、また、テンプレートがさらにギャップ・センシング領域を備えた実施態様もある。
【0008】
様々な実施態様では、ボディは、その全体あるいは一部が、ケイ素、二酸化ケイ素、炭化シリコンゲルマニウム、チッ化ガリウム、シリコンゲルマニウム、サファイヤ、ヒ化ガリウム、エピタキシャルシリコン、ポリシリコン、ゲート酸化物、水晶、インジウム錫酸化物、またはそれらの組合せで形成されている。実施態様の中には、ボディの少なくとも一部が、Xが2未満であるSiOで形成されている実施態様もある。Xは、例えば約1.5である。
【0009】
一実施態様では、第1の表面の複数の凹所は、第1の深さを有する第1の凹所および第2の深さを有する第2の凹所を備えている。第2の深さは第1の深さより深く、また、第1の深さは、例えば約250nm未満である。テンプレートは、第1の表面の複数の凹所以外に、第1の表面に対向する第2の表面に少なくとも1つの凹所を備えている。一実施態様では、凹所の少なくとも一部は、第1の表面に対して直角をなす方向に変化する幅を有している。これらの凹所は、転写リソグラフィ・プロセスにおいてテンプレートと共に使用される光硬化液の材料特性の変化に適応するように構成されている。例えば光硬化液は、硬化の際に収縮または膨張する。
【0010】
一実施態様では、テンプレートは、ボディの一部に形成された過剰液除去構造を備えている。このような構造は、例えばテンプレートの切溝領域に形成されている。
【0011】
実施態様の中には、テンプレートの第1の表面の少なくとも一部の表面自由エネルギーが、25℃での測定において約40ダイン/cm未満の実施態様もあり、このような実施態様の中には、テンプレートの第1の表面の上記部分の表面自由エネルギーが、25℃での測定において約20ダイン/cm未満の実施態様もある。例えば、第1の表面の少なくとも上記部分は表面処理層を有しており、この表面処理層には、アルキルシラン、フルオロアルキルシランまたはフルオロアルキルトリクロロシランと水との反応生成物が含まれている。例えば、表面処理層には、トリデカフルオロ−1、1、2、2−テトラヒドロオクチルトリクロロシランと水との反応生成物が含まれている。表面処理層は、第1の表面の表面自由エネルギーを、25℃での測定において約40ダイン/cm未満、場合によっては約20ダイン/cm未満まで小さくしている。
【0012】
実施態様の中には、テンプレート上のアライメント・マークが、活性化光に対して実質的に透明の実施態様もある。このアライメント・マークは、解析光に対しては実質的に不透明である。このような実施態様の解析光には、可視光または赤外光が含まれている。アライメント・マークは、ボディの材料とは異なる材料で形成されている。例えばアライメント・マークには、Xが2未満であるSiOが含まれている。xは、例えば約1.5である。あるいはアライメント・マークには、ボディの表面にエッチングされた複数の線が含まれている。この線は、活性化光を実質的に拡散させ、かつ、解析光に対しては解析可能なマークを生成するように構成されている。
【0013】
実施態様の中には、テンプレートの平面性が約500nm未満の実施態様もあり、これらの実施態様の中には、テンプレートの平面性が約250nm未満の実施態様もある。
【0014】
実施態様の中には、テンプレートのボディの少なくとも一方のエッジに、導電被覆または反射被覆が施された実施態様もある。他の実施態様では、テンプレートは、ボディの少なくとも一方のエッジに結合されたミラーを備えている。
【0015】
一実施態様では、テンプレートは、ボディに結合されたテンプレート・ブランクを備えている。例えば、ボディは、結合剤を使用してテンプレート・ブランクに結合されている。テンプレート・ブランクおよび結合剤は、活性化光に対して実質的に透明である。実施態様の中には、ギャップ・センシング領域が、既知の深さを有する少なくとも1つの凹所を備えている実施態様もある。ギャップ・センシング領域は、第1の表面または第2の表面に存在している。一実施態様では、ギャップ・センシング領域の深さは、約100nmより深くなっている。
【0016】
一実施態様では、上で説明した転写リソグラフィ・テンプレートは、活性化光に対して実質的に透明な材料を得るステップ、およびその材料の第1の表面に複数の凹所を形成するステップによって形成されている。テンプレートを形成する方法には、さらに、材料に少なくとも1つのアライメント・マークを形成するステップが含まれている。複数の凹所は、材料にエッチングを施すステップによって形成されている。複数の凹所は、これには限らないが、光リソグラフィ、電子ビーム・リソグラフィ、イオン・ビーム・リソグラフィ、x線リソグラフィ、極紫外リソグラフィ、走査プローブ・リソグラフィ、集束イオン・ビーム・ミリング、干渉リソグラフィ、エピタキシャル成長、薄膜蒸着、化学エッチング、プラズマ・エッチング、イオン・ミリングまたはリアクティブ・イオン・エッチングを始めとするプロセスを使用して形成されている。同様に、アライメント・マークも、これには限らないが、光リソグラフィ、電子ビーム・リソグラフィ、イオン・ビーム・リソグラフィ、x線リソグラフィ、極紫外リソグラフィ、走査プローブ・リソグラフィ、集束イオン・ビーム・ミリング、干渉リソグラフィ、エピタキシャル成長、薄膜蒸着、化学エッチング、プラズマ・エッチング、イオン・ミリングまたはリアクティブ・イオン・エッチングを始めとするプロセスを使用して形成されている。例えば、上で説明した実施態様の中には、アライメント・マークが、テンプレート上に形成された複数の線を備えている実施態様もある。他の実施態様では、アライメント・マークは、テンプレートを形成するために使用する材料に第2の材料を付着させることによって形成されている。
【0017】
転写リソグラフィ・テンプレートを形成する方法には、さらに、材料を所望の形状に成形するステップが含まれている。例えば、材料は、テンプレートを所望の寸法とするように成形される。所望の寸法には、テンプレート寸法の所定のセットが含まれている。実施態様の中には、転写リソグラフィ・テンプレートを形成する方法に、材料をテンプレート・ブランクに結合するステップが含まれている実施態様もあり、例えば、結合剤を使用してテンプレート・ブランクに材料が結合されている。
【0018】
既に説明した表面処理は、テンプレートの第1の表面の少なくとも一部に適用される。実施態様の中には、気相反応プロセスを使用して表面処理層が形成されている実施態様もあり、例えば、反応容器内に材料が置かれる。反応容器はパージされ、少なくとも1種類の反応化学薬品が反応容器に投入される。少なくとも1種類の反応化学薬品と水が反応し、それにより第1の表面の少なくとも一部に表面処理層が形成されると信じられている。しかし、反応化学薬品がテンプレートの表面、第1の表面に存在している他の化学薬品、あるいは反応化学薬品自体と直接反応し、それにより表面処理層が形成されるものと予想されている。
【0019】
実施態様の中には、転写リソグラフィ・テンプレートを形成する方法に、さらに、材料の少なくとも1つのエッジに反射被覆または導電被覆を施すステップが含まれている実施態様もある。他の実施態様では、転写リソグラフィ・テンプレートを形成する方法に、材料の少なくとも1つのエッジにミラーを結合するステップが含まれている。
【0020】
基板にパターンを形成するには、テンプレート・ホルダにテンプレートが置かれる。テンプレート・ホルダは、ボディ、支持プレートおよび少なくとも1つの圧電アクチュエータを備えている。ボディは、転写リソグラフィ・テンプレートを受け入れるための開口を有している。ボディは、転写リソグラフィ・システムのテンプレート・サポートに取り付けられるように構成されている。支持プレートは、ボディに結合された、活性化光に対して実質的に透明なプレートである。支持プレートは、少なくとも1つの方向で、ボディの開口を渡っている。支持プレートは、これには限らないが、水晶、サファイヤおよびSiOをなどの材料で形成されている。また、支持プレートは、テンプレート・ホルダ内に配置されるテンプレートの、転写リソグラフィ・プロセス時に存在する力による変形を抑制するように構成されている。使用中に、転写リソグラフィ・テンプレートの物理寸法を修正するように構成された少なくとも1つの圧電アクチュエータがボディに結合されている。圧電アクチュエータは、例えば、開口内に配置されるテンプレートに圧縮力または引張り力を加えるように構成されている。支持プレートおよび/またはボディは、開口内および/または支持プレートとボディの境界に配置されるテンプレートに真空を加えるように構成された少なくとも1つの真空開口を備えている。さらに、開口の内側に面したボディの表面には、反射被覆または導電被覆が施されている。
【0021】
上で説明した転写リソグラフィ・テンプレートは、テンプレートにパターンを施すことによって、基板にパターンを形成する方法に使用することができる。基板にパターンを形成する方法は、一般的には、光硬化液(例えばフォトレジスト材)を基板に塗布することによって達成されている。転写リソグラフィ・テンプレートは、光硬化液が塗布された基板部分の上方に位置付けされる。テンプレートと基板の相対位置は、パターン化されたテンプレートと基板の間に一定のギャップが生成されるように調整される。テンプレートを通して光硬化液に活性化光が照射され、活性化光を照射することによって光硬化液が実質的に硬化し、硬化した光硬化液にテンプレートのパターンが形成される。次に、硬化した光硬化液からテンプレートが分離される。
【0022】
基板にパターンを形成する方法には、さらに、パターン化されたテンプレートと基板の間のアライメントを正確に測定するステップが含まれている。この場合、基板に、基板アライメント・マークを施すことができる。テンプレート・アライメント・マークおよび基板アライメント・マークは、その幾何学形状が対称になっている。アライメント・マークのアライメントを正確に測定するステップには、基板およびテンプレートのアライメント・マークの中心を正確に測定するステップが含まれている。アライメント・マークの中心の位置が比較され、それによりアライメント・マークのアライメントが測定される。
【0023】
第1の実施態様では、パターン化されたテンプレートを通して第1の波長の光を照射することにより、パターン化されたテンプレートと基板の間のアライメントが測定されている。第1の波長の光は、解析ツールに対する焦点を基板アライメント・マークに合わせ、テンプレート・アライメント・マークを焦点外れにする。次に、パターン化されたテンプレートを通して第2の波長の光が照射される。第2の波長の光は、解析ツールに対する焦点をテンプレート・アライメント・マークに合わせ、基板アライメント・マークを焦点外れにする。第2の実施態様では、偏光アライメント・ツールを使用して、パターン化されたテンプレートと基板の間のアライメントが測定される。偏光アライメント・ツールとテンプレートの間には偏光フィルタ・システムが配置されている。偏光フィルタ・システムは、実質的に基板アライメント・マーク上に配置された第1の偏光フィルタ、および実質的にテンプレート・アライメント・マーク上に配置された第2の偏光フィルタを備えている。第1の偏光フィルタを透過することができる光の偏光は、第2の偏光フィルタを透過することができる光の偏光とは異なっている。第3の実施態様では、モアレ・パターン検出器を使用してアライメントが測定されている。第4の実施態様では、テンプレートと基板の間のアライメントを測定するステップには、テンプレートに解析光を照射するステップが含まれている。テンプレートには、第1および第2の少なくとも2つの材料が含まれている。アライメント・マークは第2の材料で形成されている。第1および第2の材料は光硬化液を硬化させるために使用される活性化光に対してほぼ透明である。しかし、第2の材料は、テンプレートに解析光が照射されると、コントラストの大きい解析可能なマークを生成する。第5の実施態様では、テンプレート・アライメント・マークは、解析光に向けた回折格子として作用する、エッチングされた複数の線を備えている。パターン化されたテンプレートと基板の間のアライメントを測定するステップには、パターン化されたテンプレートに解析光を照射するステップが含まれている。テンプレート・アライメント・マークは、活性化光に対してほぼ透明であるが、テンプレートに解析光が照射されると、解析可能なマークを生成する。
【0024】
パターン化されたテンプレートを使用して基板にパターンを形成する方法には、さらに、パターン化されたテンプレートと基板のオーバレイ配置を調整するステップが含まれている。オーバレイ配置を調整するステップには、テンプレート・アライメント・マークと基板アライメント・マークが実質的に整列するよう、基板を移動させるステップが含まれている。例えば、オーバレイ配置を調整するステップには、パターン化されたテンプレートの基板に対する角度を修正するステップ、またはパターン化されたテンプレートの寸法を修正するステップが含まれている。テンプレートの寸法は、テンプレートの温度を変更することによって、あるいは圧縮力または引張り力をテンプレートに加えることによって修正される。例えば、少なくとも1つの圧電アクチュエータが、パターン化されたテンプレートに結合されている。この少なくとも1つの圧電アクチュエータによってテンプレートに力が印加され、それによりパターン化されたテンプレートの寸法が修正される。
【0025】
活性化光硬化液は、液体ディスペンサによって基板の一部に塗布される。液体ディスペンサに対して基板を移動させることによって活性化光硬化液がディスペンスされ、所定のパターンが生成される。所定のパターンは、テンプレートと活性化光硬化液が接触する際の、活性化光硬化液中における気泡の形成を抑制するように構成されている。また、所定のパターンは、活性化光硬化液がテンプレートの表面積にほぼ等しい面積のギャップを充填するように選択されている。
【0026】
一実施態様では、パターン化されたテンプレートと基板を間隔を隔てて位置決めするステップに、パターン化されたテンプレートを基板上方に位置決めするステップ、およびパターン化されたテンプレートを所望の間隔が達成されるまで基板に向けて移動させるステップが含まれている。パターン化されたテンプレートが基板に向かって移動すると、テンプレートと基板の間のギャップが、基板上の活性化光硬化液によって充填される。間隔の距離は、約200nm未満である。実施態様の中には、パターン化されたテンプレートと基板を実質的に平行に位置決めしている実施態様もある。他の実施態様では、テンプレートを基板上の実質的に非平行な位置に位置決めし、基板に対して実質的に非平行を維持した状態で基板に向かって移動させている。テンプレートと基板の間隔が所望の間隔に達すると、テンプレートは、基板に対して実質的に平行に配置される。
【0027】
一実施態様では、パターン化されたテンプレートを硬化した活性化光硬化液から分離させるステップに、テンプレートを実質的に非平行の向きに移動させるステップ、およびパターン化されたテンプレートを基板から引き離すステップが含まれている。硬化した活性化光硬化液からパターン化されたテンプレートが分離されると、硬化した活性化光硬化液には、約250nm未満のサイズのいくつかのフィーチャが含まれている。
【0028】
また、パターン化されたテンプレートを使用して基板にパターンを形成する方法には、パターン化されたテンプレートと基板の間の距離を測定するステップが含まれている。そのために、光をベースとした測定デバイスが使用されている。パターン化されたテンプレートを使用して基板にパターンを形成する方法には、テンプレートと基板に光を照射するステップが含まれている。テンプレートと基板に照射する光には複数の波長が含まれている。テンプレートと基板の表面で反射した光がモニタされ、モニタした光に基づいてテンプレートと基板の間の距離が測定される。また、テンプレートと基板の間の所望する距離と、テンプレートと基板の間の測定距離との差に対応する誤差信号が生成される。さらに、テンプレートと基板の間の、同一線上にはない3カ所以上の位置で測定した距離を使用して、テンプレートと基板が平行しているかどうかが決定され、また、この決定に基づいて、テンプレートと基板を平行な構成にするために必要な、テンプレートと基板の間の相対移動に対応する誤差信号が生成される。
【0029】
基板は、これには限らないが、誘電材料、ケイ素、ガリウム、ゲルマニウム、インジウム、水晶、サファイヤ、二酸化ケイ素またはポリシリコンからなる。基板は、基板の表面に1つまたは複数の層を備えることができ、その場合、上記方法には、さらに、基板表面の少なくとも1つの層の厚さを測定するステップが含まれる。また、基板は、基板の表面に形成される伝達層を備えることもでき、その場合、上記方法には、さらに、硬化した活性化光硬化液からテンプレートを分離した後、伝達層をエッチングするステップが含まれる。伝達層をエッチングすることにより、伝達層にパターンが付与される。
【0030】
上で説明したテンプレートと基板は、例えば、半導体デバイス、光デバイス、フォトニク・デバイス、磁気記憶デバイスまたは薄膜ヘッド、ディスプレイ・デバイス等を形成するために使用される。
【0031】
本発明のその他の目的および利点については、以下の詳細説明を読み、かつ、添付の図面を参照することによって明らかになるであろう。
【0032】
(発明の詳細な説明)
本明細書に示す実施形態は、一般にシステム、デバイス、および小型デバイスの製造に関連する製造プロセスに関している。より詳細には、本明細書に示す実施形態は、システム、デバイス、および転写リソグラフィに関連するプロセスに関している。例えば、これらの実施形態は、半導体ウェハなどの基板上に極めて小さいフィーチャを転写することの応用を有している。これらの実施形態が、上記の応用の他に、例えば費用有効性の高い超小型電気機械システム(すなわちMEMS:Micro−Electro−Mechanical System)の製造など、他のタスクへの応用を有していることを理解すべきである。また、実施形態は、これには限らないが、データ記憶用パターン化磁気媒体、マイクロ光学デバイス、生物および化学デバイス、X線光学デバイス等を始めとする他の種類のデバイスの製造に対する応用を有している。
【0033】
図、特に図1Aおよび1Bを参照すると、転写リソグラフィを使用して所望のフィーチャを転写する基板20に対して予備配置されたテンプレート12の配列が示されている。詳細には、テンプレート12は、基板20に転写させることになる所望のフィーチャ形状を持たせて製造された表面14を備えている。本明細書において使用されている「フィーチャのサイズ」は、一般的に複数の所望フィーチャのうちの1つのフィーチャの幅または深さを指している。実施形態の中には、基板20とテンプレート12の間に転写層18を配置した実施形態もある。転写層18は、被転写層16を介してテンプレート12から所望のフィーチャを受け取っている。当分野で良く知られているように、転写層18により、低アスペクト比被転写フィーチャから高アスペクト比構造(すなわちフィーチャ)を得ることができる。
【0034】
転写リソグラフィのためには、テンプレート12と基板20を可能な限り互いに接近させ、かつ、平行な状態に維持することが重要である。例えば幅および深さが約100nmのフィーチャの場合、転写リソグラフィ・プロセスを成功させるためには、平均ギャップが約200nm以下、基板20の転写領域全体に対するギャップの変動が約50nm未満であることが必要である。本明細書に示す実施形態により、このように厳しく、かつ、正確なギャップ要求事項が与えられた転写リソグラフィを成功させるための、テンプレート12と基板20の間の間隔を制御する方法が提供される。
【0035】
図1Aおよび1Bは、転写リソグラフィに生じる2種類の問題を示している。図1Aでは、被転写層16の一方の端部において、テンプレート12が基板20に接近しすぎているため、被転写層16がくさび形になっている。図1Aは、パターンを転写している間、テンプレート12および基板20を実質的に平行に維持することの重要性を示している。図1Bは、被転写層16が厚くなり過ぎていることを示している。このような状態は、いずれも極めて望ましくない状態である。本明細書に示す実施形態により、図1Aおよび1Bに示す状態を除去し、かつ、従来技術によるリソグラフィ技法に関連するその他の配向問題を除去することができるシステム、プロセスおよび関連するデバイスが提供される。
【0036】
図2Aないし2Eは、転写リソグラフィ・プロセスの一実施形態を一括して30で示したものである。図2Aでは、テンプレート12と基板20を分離している隙間にギャップ31が形成されるように、テンプレート12は、基板20と間隔を隔てて配向されている。テンプレート12の表面14は、テンプレートの界面エネルギーを小さくし、かつ、基板20からのテンプレート12の分離を促進する薄層13で処理されている。以下、配向方法およびテンプレート12と基板20の間のギャップ31を制御するためのデバイスについて考察する。次にギャップ31に、処理済みの表面14の形状通りになる物質40が充填される。別法としては、一実施形態では、テンプレート12を基板20に対して所望の位置へ移動させる前に、基板20に物質40が設けられている。
【0037】
物質40は、図1Aおよび1Bに示す被転写層16のような被転写層を形成している。物質40は、高温を使用することなくギャップ31の隙間を比較的容易に充填することができ、かつ、高圧を必要とすることなくギャップを密閉することができる液体であることが好ましい。物質40の適切な選択については、以下でさらに詳細に考察する。
【0038】
物質40を硬化させ、ギャップ31によって決まる隙間の形状にするために、テンプレート12に硬化剤32が塗布される。この方法によって、所望のフィーチャ44(図2D)を、テンプレート12から基板20の上部表面に転写することができる。転写層18は、基板20の上部表面に直接設けられている。転写層18は、テンプレート12から転写されるフィーチャの、高アスペクト比のフィーチャを生成するための増幅を容易にしている。
【0039】
図2Dに示すように、基板20からテンプレート12が除去され、所望のフィーチャ44が基板20に残される。テンプレート12と基板20の分離は、所望のフィーチャ44がそのままの状態を維持するよう、基板20の表面から外れたりまたはちぎれたりすることなく実施しなければならない。本明細書に示す実施形態により、所望のフィーチャ44を維持することができる、転写後における基板20からのテンプレート12のピール・プルのための方法および関連システム(「ピール・プル」法と言及する)が提供される。
【0040】
最後に、図2Eでは、二分子層レジスト・プロセスを使用する場合に知られているように、転写層18の作用によって、テンプレート12から物質40へ転写されたフィーチャ44のサイズが垂直方向に増幅されている。それによって得られた構造が、良く知られている技法を使用してさらに処理され、製造プロセスが完了する。図3は、一括して50で示す転写リソグラフィ・プロセスの一実施形態を流れ図の形で要約したものである。最初にステップ52でテンプレートと基板の大まかな配向が実施され、テンプレートと基板の大まかなアライメントが達成される。ステップ52における大まかな配向の利点は、高い効率で、かつ、優れた製造歩留まりで多数のデバイスが製造される製造環境で予備較正を実施することができることである。例えば、基板が多くのダイのうちの1つを半導体ウェハ上に備えている場合、第1のダイに対して大まかなアライメント(ステップ52)を1回実施し、単一生産運転の間、他のすべてのダイに適用することができる。この方法によれば、生産サイクル時間を短縮することができ、かつ、歩留まりを向上させることができる。
【0041】
ステップ54で基板に物質が配置される。物質は硬化性有機ケイ素溶液であり、あるいは活性化光に露出されると固体になる他の有機液である。液体が使用されているため、従来技術によるリソグラフィ技法に関連する高温および高圧を使用する必要がない。次にステップ56でテンプレートと基板の間の間隔が制御され、2つの層の間に、転写を成功させるために必要な正確な配向を可能にする比較的一様なギャップが生成される。本明細書に示す実施形態により、ステップ56で必要な配向(粗配向および精細配向の両方)を達成するためのデバイスおよびシステムが提供される。
【0042】
ステップ58で、テンプレートを基板および物質に精細配向することによってギャップが閉じられる。物質が硬化され(ステップ59)、硬化した物質が、テンプレートのフィーチャを有する形になる。次にステップ60でテンプレートと基板が分離され、テンプレートのフィーチャが基板に転写される。最後にステップ62で、残留材料を除去するための予備エッチングおよび転写層をエッチングするための良く知られている酸素エッチング技法を使用して構造がエッチングされる。
【0043】
様々な実施形態では、テンプレートには、i)テンプレート表面を有する平面に、ii)テンプレート中に凹まされた、iii)テンプレートから突出した、またはiv)上記を組合せた非パターン化領域が組み込まれている。テンプレートは、硬い突出部を使用して製造されている。このような突出部により、粒子裕度(particle tolerance)と、格子、ホログラム等の光学デバイスとに有用な一様なスペーサ層が提供される。別法としては、テンプレートは、圧縮可能な突出部を使用して製造されている。
【0044】
テンプレートは、一般的に、i)側面、ii)背面、iii)前面、またはiv)上記の組合せとの面接触を介してテンプレートを支える剛体を有している。テンプレート・サポートは、加えられる圧力下でのテンプレートの変形すなわちひずみを制限する利点を有している。実施形態の中には、テンプレートの一部の領域が反射被覆材で被覆されている実施形態もある。いくつかのこのような実施形態では、光がテンプレート中に入り、あるいは通過するよう、テンプレートの反射被覆に孔が組み込まれている。このような被覆は、干渉法を使用してオーバレイ補正する場合のテンプレートの位置付けに有用である。また、このような被覆は、テンプレートの上面ではなく側面を通して照射する硬化剤源を使用した硬化を可能にしている。このことは、とりわけギャップ・センシング技法およびオーバレイ・マーク検出システムにおけるテンプレート・ホルダの設計を柔軟にしている。テンプレートの露光は、i)テンプレートへの垂直入射によって、ii)テンプレートの斜めから、またはiii)テンプレートの側面を通して実施される。実施形態の中には、硬いテンプレートを柔軟な表面と組み合せて使用している実施形態もある。
【0045】
テンプレートは、光リソグラフィ、電子ビーム・リソグラフィ、イオン・ビーム・リソグラフィ、x線リソグラフィ、極紫外リソグラフィ、走査プローブ・リソグラフィ、集束イオン・ビーム・ミリング、干渉リソグラフィ、エピタキシャル成長、薄膜蒸着、化学エッチング、プラズマ・エッチング、イオン・ミリング、リアクティブ・イオン・エッチング、または上記の組合せを使用して製造できる。テンプレートは、平面、放物面、球面、または他の表面トポグラフィを有する基板上に形成されている。テンプレートは、平面、放物面、球面、または他の表面トポグラフィを有する基板と共に使用することができる。基板には、予めパターン化されたトポグラフィおよび/または複数の材料の薄膜スタックが含まれている。
【0046】
図4に示す一実施形態では、テンプレートは、パターン化領域401、エントレインメント・チャネル402およびエッジ403を備えている。テンプレート・エッジ403は、テンプレートをテンプレート・ホルダ内に保持するために利用されている。エントレインメント・チャネル402は、以下でより詳細に考察するように、過剰液体を吸収することによって隣接するパターン化領域への過剰液体の広がりを防止する。いくつかの実施形態では、テンプレートのパターン化された領域は平面である。このような実施形態は、基板を平面化するために有用である。
【0047】
実施形態の中には、テンプレートが多種深さ設計(multi−depth design)で製造されている実施形態もある。つまり、テンプレートの様々なフィーチャが、テンプレートの表面に対して異なる深さになっている。例えば、エントレインメント・チャネル402の深さは、パターン化領域401の深さより深くなっている。このような実施形態の利点は、テンプレートと基板の間のギャップをセンシングする精度が改善されることである。極めて狭いギャップ(例えば、約100nm未満)をセンスすることは困難であり、したがってテンプレートに既知の深さの段を追加することにより、より正確なギャップのセンシングを可能にしている。本明細書において使用されている「ギャップ・センシング領域」という用語は、一般的に、テンプレート表面上の、約100nmより深い既知の深さを有するフィーチャを指している。2種深さ設計(dual−depth design)の利点は、このような設計により、標準化されたテンプレート・ホルダを使用して、様々なサイズのダイを含んだ所与のサイズの転写テンプレートを保持することができることである。2種深さ設計の第3の利点は、周辺領域を使用してテンプレートを保持することができることである。このようなシステムでは、機能構造を有している、テンプレートと基板の境界のあらゆる部分を硬化剤に露出させることができる。図5に示すように、周辺領域501の深さが適切に設計されたテンプレート500が隣接転写体502、503に接触しているが、転写テンプレート500の周辺領域501は、転写体503から離れた安全な垂直距離を維持している。
【0048】
上で説明したように、2種深さ転写テンプレートは、様々な方法を使用して製造されている。図6に示す一実施形態では、分解能が高く、かつ、深さの浅いダイ・パターン602、および分解能が小さく、かつ、深さの深い周辺パターン603を有する単一の分厚い基板601が形成されている。図7に示すように、一実施形態では、分解能が高く、かつ、深さの浅いダイ・パターン701を有する薄い基板702(例えば水晶ウェハ)が形成され、このダイ・パターン701が基板702から切り取られ、より分厚い基板703に接着される。基板703は、転写マシン上の転写テンプレート・ホルダに適合するサイズになっている。本明細書において使用されているより分厚い基板703は、一般的に「テンプレート・ブランク」を指している。テンプレート・ブランクは、転写マシンの転写テンプレート・ホルダに適合させるようにサイズ化されている。この接着は、テンプレート材の屈折率と類似した硬化剤(例えば紫外光)屈折率を有する接着剤704を使用して達成されることが好ましい。
【0049】
図8A、8Bおよび8Cは、その他の転写テンプレート設計を示したもので、それぞれ一括して数表示801、802および803で参照されている。テンプレート設計801、802および803の各々は、ギャップ測定および/または過剰液体の吸収に有用な凹所領域を備えている。
【0050】
一実施形態では、テンプレートは、液体の広がりを制御するため、材料の物理特性およびテンプレートの幾何学に基づいたメカニズムを備えている。基板領域のロスを生じさせることなく許容することができる過剰液体の量は、様々な材料の界面エネルギー、液体密度およびテンプレート幾何学によって制限されている。したがってリリーフ構造を使用して、所望の成形領域すなわちパターン化領域を取り囲んでいる領域を包囲している過剰液体が吸収されている。この領域は、一般に「切溝」と呼ばれている。切溝中のリリーフ構造は、パターンまたは成形リリーフ構造を構築するために使用される標準の処理技法を使用して、上で考察したように、テンプレート表面を凹まされている。
【0051】
従来のフォトリソグラフィでは、フォトマスク設計における光学近接補正の使用が、設計寸法通りの正確なパターンを生成するための標準になりつつある。マイクロおよびナノ成形すなわち転写リソグラフィにも同様の概念を適用することができる。転写リソグラフィ・プロセスにおける実質的な相異は、誤差が、回折すなわち光学的な干渉によるものではなく、処理中に生じる物理特性の変化によるものであることである。このような物理特性の変化が、テンプレートの幾何学における工夫を凝らしたリリーフ補正の性質または必要性を決定している。パターン・リリーフ構造が、転写中における材料変化(収縮または膨張など)に適応するように設計された、光リソグラフィで使用されている光学近接補正と同様の概念のテンプレートの場合、このような物理特性の変化による誤差が排除される。ボリュームの膨張または収縮などの物理特性の変化を考慮することにより、リリーフ構造を調整し、所望する正確な複製フィーチャを生成することができる。例えば図9は、材料特性の変化を考慮することなく形成された転写例901、および材料特性の変化を考慮して形成された転写例902を示したものである。ある実施形態では、硬化中における材料の収縮により、実質的に長方形の輪郭904を有するフィーチャを備えたテンプレートが変形している。このような材料収縮を補償するために、テンプレートのフィーチャには、角度の付いた輪郭905が設けられている。
【0052】
転写リソグラフィ・プロセスに関しては、テンプレートの耐久力およびテンプレートのリリース特性が重要である。耐久力のあるテンプレートは、ケイ素基板または二酸化ケイ素基板で形成されている。他の適切な材料としては、それには限らないが、炭化シリコンゲルマニウム、チッ化ガリウム、シリコンゲルマニウム、サファイヤ、ヒ化ガリウム、エピタキシャルシリコン、ポリシリコン、ゲート酸化物、水晶、またはそれらの組合せがある。また、テンプレートには、アライメント・マークなどの検出可能フィーチャを形成するために使用される材料が含まれている。例えば検出可能フィーチャは、xが2未満であるSiOxで形成されている。実施形態の中には、xが約1.5の実施形態もある。この材料は可視光に対して不透明であるが、いくつかの活性化光の波長に対しては透明であるとされている。本明細書において使用されている「解析光」は、一般的に、本明細書において説明する測定プロセス(例えばギャップ・センシング、アライメントの測定等)に使用される光を指している。様々な実施態様では、解析光には、可視光または赤外光が含まれている。
【0053】
実験の結果から、テンプレートを処理してテンプレートの表面に薄層を形成することにより、テンプレートの耐久力を改善することができることが分かっている。例えば、アルキルシラン層、フルオロアルキルシラン層またはフルオロアルキルトリクロロシラン層を表面に形成することができ、特に、トリデカフルオロ−1、1、2、2−テトラヒドロオクチルトリクロロシラン(C13SiCl)が使用されている。このような処理により、テンプレートの表面にセルフ・アセンブル単分子膜(SAM)が形成される。
【0054】
低界面エネルギー被覆とするために表面処理プロセスを最適化させる。このような被覆は、転写リソグラフィのための転写テンプレートの製作に使用することができる。処理されたテンプレートは、未処理テンプレートに勝る望ましいリリース特性を有している。例えば、新しく処理されたテンプレートは、約14ダイン/cmの界面自由エネルギーαtreatedを有している。未処理テンプレートの表面の界面自由エネルギーαuntreatedは、約65ダイン/cmである。本明細書において開示する処理手順により、高水準の耐久力を有する薄膜がもたらされる。耐久力があれば、製造において多くの転写に耐えることができるテンプレートとすることができるため、耐久力に優れていることが極めて望ましい。
【0055】
テンプレート表面への被覆は、液相プロセスまたは気相プロセスのいずれかを使用して形成される。液相プロセスの場合、前駆体の溶液および溶媒に基板が浸され、また、気相プロセスの場合は、不活性キャリア・ガスを介して前駆体が引き渡される。液相処理に使用するための純粋に無水の溶媒を得ることは困難である。処理中におけるバルク相の水分は、被覆の最終品質すなわちカバレージに悪影響を及ぼすクランプ・デポジットの原因になることがある。気相プロセスの一実施形態では、真空容器内にテンプレートが置かれ、その後、真空容器がサイクル・パージされ、過剰水分が除去されている。若干の吸着水分がテンプレートの表面に残ることがある。被覆を形成する表面反応を完了させるためには、少量の水分が必要である。反応は、次の式
R−SiCl3+3H2O=>R−Si(OH)3+3HCl
によって記述することができる。反応を容易にするために、テンプレートの温度を、温度制御チャックを介して所望の反応温度にすることができる。次に、所定時間の間、反応容器に前駆体が供給される。テンプレート温度、前駆体濃度、流れ幾何学等の反応パラメータは、特定の前駆体とテンプレート基板の組合せに合せることができる。
【0056】
前述のように、物質40は液体であり、したがってギャップ31の隙間に充填することができる。例えば物質40は、低粘性液体モノマー溶液である。適切な溶液の粘性の範囲は、約0.01cpsから約100cpsまでである(25℃で測定して)。高分解能(例えば100nm未満)構造の場合、低粘性であることが特に望ましい。また、低粘性であることにより、ギャップがより速く封止され、さらに、液体が低圧でギャップ領域を充填する速度が速くなる。詳細には、50nm未満の場合、溶液の粘性は約25cps以下でなければならず、約5cps未満であることがより好ましい(25℃で測定して)。一実施形態では、適切な溶液には、50重量%のアクリル酸n−ブチルと50重量%のSIA 0210.0(3−アクリオロキプロピルトリストリメチルシロキサン)シランの混合物が含まれている。この溶液には微量の重合開始剤(例えばフォトイニシエータ)を添加することができる。例えば、Irg819とIrg184が1:1の3重量%の溶液および5%のSIB1402.0が適している。この混合物の粘性は約1cpsである。
【0057】
一実施形態では、転写リソグラフィ・システムには、基板(例えば半導体ウェハ)の表面に液体をディスペンスするための自動液体ディスペンス方法およびシステムが含まれている。自動液体ディスペンス方法には、1つまたは複数の延びたディスペンサ・チップを備えたモジュール方式自動化液体ディスペンサが使用されている。自動液体ディスペンス方法には、ディスペンサ・チップと基板の間の相対な横方向運動を生成するためのX−Yステージが使用されている。この方法により、低粘性液体を使用した転写リソグラフィのいくつかの問題が解決される。例えば、この方法により、気泡トラッピングおよび転写領域の局部変形が除去される。また、実施形態により、過剰液体を不必要に廃棄することなく、液体を転写テンプレートと基板の間のギャップ全体に広げる一方で低転写圧力を達成する方法が提供される。
【0058】
一実施形態では、ディスペンスされる量は、通常、1インチ(6.45平方センチ)の転写領域に対して約130nl(ナノリットル)未満である。ディスペンスが終了すると、次のプロセスには、テンプレートと基板のアセンブリを硬化剤に露出させるステップが含まれている。テンプレートと基板を分離させることにより、被転写表面の頂部に転写イメージが残される。転写されたイメージは、残留している露出材料の薄層上に残される。残留層は、「ベース層」と呼ばれている。ベース層は製造可能転写のためには、薄く、かつ、一様でなければならない。薄くかつ一様なベース層は、転写構造を維持しつつベース層を除去するために必要なブレークスルー・エッチングを補助している。
【0059】
転写プロセスには、テンプレートと基板の境界に高圧および/または高温を加える必要があるが、高分解能オーバレイ・アライメントが含まれている製造可能転写リソグラフィ・プロセスのためには、高圧および高温は回避しなければならない。本明細書において開示する実施形態は、低粘性フォト硬化液を使用することにより、高温の必要性を回避している。また、液体を転写領域全体に広げるために必要な力を小さくすることにより、転写圧力も最小化されている。したがって、液体ベースの転写リソグラフィのためには、液体ディスペンス・プロセスは、以下の特性を満足しなければならない。
1.気泡をテンプレートと基板の間にトラップさせてはならない。
2.粒子の発生を最小にするために、ディスペンサ・チップと基板の間の直接接触を回避しなければならない。
3.テンプレートと基板の間のギャップを充填するために必要な圧力を最小にしなければならない。
4.テンプレート−基板界面の非一様な局部変形を小さくするために、非一様な液体ビルドアップおよび/または圧力勾配を最小にしなければならない。
5.ディスペンス液体の浪費を最小にしなければならない。
【0060】
実施形態の中には、吐出ベース液体ディスペンサ・チップと基板の間の相対運動を使用して、実質的に連続する線を有するパターンを転写領域上に形成している実施形態もある。線の断面の大きさおよび線の形状は、ディスペンス速度と相対運動をバランスさせることによって制御することができる。ディスペンス・プロセスの間、ディスペンサ・チップは、基板の近傍(例えば、数十ミクロン程度)に固定される。図10Aおよび10Bは、線パターンを形成するための2つの方法を示したものである。図10Aおよび10Bに示すパターンは、正弦波パターンであるが、他のパターンも可能である。図10Aおよび10Bに示すように、単一のディスペンサ・チップ1001あるいは複数のディスペンサ・チップ1002のいずれかを使用して、連続する線パターンを引くことができる。
【0061】
ディスペンス速度V、および基板の相対運動速度Vは、次のように関連付けることができる。
=V/t(ディスペンスボリューム/ディスペンス周期) (1)
=L/t(線の長さ/ディスペンス周期) (2)
=aL(「a」は、線パターンの断面積) (3)
したがって
=aV (4)
初期の線パターンの幅は、通常、ディスペンサのチップ・サイズによって決まる。チップ・ディスペンサは固定されている。一実施形態では、液体ディスペンス・コントローラ1111(図11に示す)を使用して、ディスペンスされる液体のボリューム(V)および液体をディスペンスするために要する時間(t)が制御されている。Vおよびtが一定であると仮定すると、線の長さを長くすることにより、パターン化される線の断面の高さが低くなる。パターン長さの延長は、周期パターンの空間周波数を増加させることによって達成される。パターンの高さを低くすることにより、転写プロセス中に変位する液体の量が少なくなる。同じディスペンス線に接続された複数のチップを使用することにより、単一ディスペンサ・チップの場合と比較して、長さの長い線パターンをより速く形成することができる。一実施形態では、吐出ベース液体デリバリ・システムは、液体容器1101、入口チューブ1102、入口弁1103、出口弁1104、シリンジ1105、シリンジ・アクチュエータ1106、ディスペンサ・チップ1107、Xステージ・アクチュエータ1109、Yステージ・アクチュエータ1110、ディスペンサ・コントローラ1111、XYステージコントローラ1112および主制御コンピュータ1113を備えている。適切な吐出ベース・ディスペンサは、Hamilton社から購入することができる。
【0062】
図12は、低粘性液体に対するいくつかの望ましくない液体パターンすなわちディスペンス方法を示したものである。これらのディスペンス・パターンにより、気泡のトラッピング、局部変形および液体の浪費を始めとする1つまたは複数の問題が生じる。例えば転写領域の中央に一滴ディスペンスする場合1201、あるいは不規則な線をディスペンスする場合1205、テンプレートおよび/または基板に局部変形が生じる。複数の滴をディスペンスする場合1202、または円周パターンの線をディスペンスする場合1206、気泡のトラッピングが生じる。閉じた円周に近いパターンを有する他のパターンをディスペンスする場合1204も、同じく気泡のトラッピングが生じる。同様に、噴霧すなわち無作為変位の飛沫の場合1203も気泡のトラッピングが生じる。基板を低粘性液体でスピン被覆する場合、薄膜の不安定性による「ディウェッティング(dewetting)」問題が生じる。ディウェッティングにより、薄く、かつ、一様な液体層ではなく、多数の微小液体滴が基板に形成される。
【0063】
一実施形態の液体ディスペンス方法によれば、後で広がることで連続体となる複数の微小液体滴がディスペンスされる。図13は、5滴の液体滴を使用した場合について示したものである。この場合の5滴は、単に説明用として使用したものに過ぎない。正弦波線、「W」または「X」など、その他の「開放」パターンについても、この方法を使用して実施することができる。テンプレートと基板の間のギャップが狭くなると、円形滴1301がより薄く、かつ、より幅広くなり、隣接する滴が1つになる1302。したがって、初期ディスペンスに連続した形が含まれていない場合であっても、液体が広がることにより、テンプレートと基板の間のギャップから空気が追い出されることになる。この方法での使用に有効なパターンは、飛沫が広がる際に、それらがテンプレートと基板の間のいかなる空気もトラップしないような方法でディスペンスしなければならない。
【0064】
ボリュームが正確に規定されている微小液体滴は、圧力サポート・ユニットを備えたマイクロ電磁弁を使用してディスペンスされる。他のタイプの液体ディスペンス・アクチュエータには、圧電作動ディスペンサが含まれている。吐出ベース液体ディスペンサと比較した場合のマイクロ電磁弁ディスペンサを備えたシステムの利点は、ディスペンス時間がより速いこと、およびボリュームをより正確に制御することができることである。これらの利点は、転写のサイズがより大きい(例えば、さしわたし数インチ(1インチは2.54cm))場合、特に望ましい。図14は、マイクロ電磁弁を備えたシステムの一実施形態を示したものである。このシステムは、液体容器1401、入口チューブ1402、入口弁1403、ポンプ1404、出口弁1405、ポンプ・コントローラ1406、マイクロ電磁弁1407、マイクロ電磁弁コントローラ1408、X−Yステージ1409、X−Yステージ・コントローラ1410およびメイン・コンピュータ1412を備えている。X−Yステージ1409上に基板1411が置かれている。超小型バルブ・ディスペンサおよび圧電ドロップ・オン・デマンド・ディスペンサは、様々な印刷ヘッド製造者が市販している。
【0065】
図15Aは、転写領域が広い(例えば、数インチ(1インチは6.45平方センチ)を超える)場合に有用なパターンの設計を示したものである。この実施形態では、液体平行線1503がディスペンスされている。液体平行線1503は、テンプレート1501が基板1502に近づくと、ギャップから空気が追い出されるような方法で広がることになる。所望の方法での線1503の広がりを容易にするために、テンプレート1501は、故意にくさび状になされた構成(図15Bに示すように)でギャップに近づけることができる。つまり、テンプレート/基板のギャップは、線1503に沿って閉じられる(例えば、くさびの角度を線1503に平行にすることができる)。
【0066】
良好に分散された初期液体層を提供する利点は、テンプレートと基板の間の配向誤差が補償されることである。これは、液体の薄層の流体力学と配向ステージの従順さによるものである。テンプレートの下側の部分がテンプレートの他の部分より早くディスペンスされた液体と接触する。テンプレートと基板の間のギャップが狭くなるにつれて、テンプレートの下側部分と上側部分の間の反力の不平衡が大きくなる。力のこの不平衡により、テンプレートと基板の運動が修正され、テンプレートと基板が実質的に平行になる。
【0067】
転写リソグラフィを成功させるためには、テンプレートと基板の間のギャップを制御するための、基板に対するテンプレートの正確なアライメントおよび配向が必要である。本明細書に示す実施形態により、製造プロセスにおける正確なアライメントおよびギャップ制御を達成することができるシステムが提供される。一実施形態では、システムは高分解能X−Y並進ステージを備えている。一実施形態では、システムは、テンプレートと基板表面の間の大まかな予備アライメント操作を実施するための予備較正ステージを設け、相対アライメントを微少運動配向ステージの運動範囲内でできるようにしている。この予備較正ステージは、新しいテンプレートが装置に設置された場合にのみ必要である(しばしばステッパとしても知られている)。予備較正ステージは、ベース・プレート、たわみコンポーネント、およびベース・プレートとたわみコンポーネントを結合している複数のマイクロメータすなわち高分解能アクチュエータからなっている。
【0068】
図16は、X−Y並進ステージの一実施態様をアセンブル構成で示したもので、一括して参照番号1600で参照されている。総フットプリントは、約20インチ×20インチ(50.8cm×50.8cm)未満であり、高さは約6インチ(15.24cm)(ウェハ・チャックを含む)である。このような実施形態は、運動の範囲が約12インチ(30.48cm)のX−Y軸の並進が可能である。
【0069】
図17は、X−Y並進ステージの第2の実施形態を示したもので、一括して参照番号1700で参照されている。X−Yステージ1600の運動の範囲と同様の運動範囲を提供するために、ステージ1700は、約29インチ×29インチ(73.66cm×73.66cm)のフットプリントおよび約15インチ(38.1cm)(ウェハ・チャックを含む)の高さを有している。ステージ1600および1700は、主として追加リンケージ1701が垂直方向に配向されている点で異なっている。
【0070】
X−Yステージ1600およびX−Yステージ1700は、いずれもフレクスチャ・ベース・システム(flexure based system)である。フレクスチャは、摩擦および粒子がなく、かつ、保全作業が少ないため、精密機械に広く使用されている。また、フレクスチャは、極めて高い分解能を提供している。いずれも参照によりそのすべてが本明細書に組み込まれる、Routsonに発行された米国特許第4,694,703号およびWyseに発行された米国特許第4,062,600号に、フレクスチャ・ベース・システムの例が開示されているが、フレクスチャ・ベース・システムのほとんどは、その運動範囲が制限されている(例えば、mm未満の運動範囲)。本明細書において開示する実施形態は、12インチ(30.48cm)を超える運動範囲を有している。このようなステージは、特に真空中におけるリソグラフィック・アプリケーションの場合、費用効果が高いとされている。また、転写リソグラフィ技法における転写力の存在は、本明細書において示す実施形態にとっては著しく有利である。
【0071】
通常、X−Yステージは、駆動コンポーネントおよび荷重支持コンポーネントの2種類のコンポーネントを備えている。親ねじアセンブリ機構は、位置決めの精度がそれほど重要な要素ではない場合に広く使用されている。高い位置決め精度を必要とするアプリケーションでは、ボールねじアセンブリが、駆動コンポーネントおよび荷重支持コンポーネントの両方に使用されている。これらのいずれの設計も、バックラッシュおよびスティクションの問題を抱えており、また、潤滑の必要性が、真空中での使用あるいは粒子に敏感なアプリケーション(例えば転写リソグラフィ)での使用に対して、これらの設計を望ましくないものにしている。
【0072】
また、中には、スティクションやバックラッシュの問題を実質的に除去するエア・ベアリングを利用している設計もあるが、エア・ベアリングによって提供される耐荷重容量には限界がある。また、エア・ベアリングは、真空環境での使用には不適切である。
【0073】
図18は、基本リンケージ1800部分を略図で示したものである。リンク1(1804)、リンク3(1805)の長さは同じである。可動ボディ1801がX軸に沿って移動すると、リンケージ1800のすべてのジョイントが、同じ絶対角度だけ回転する。運動範囲がリンク2(1803)の長さに無関係であることに留意されたい。運動の制約により、リンク2(1803)は、ジョイント1(1806)とジョイント4(1807)の間を結ぶ線との平行を維持する。リンケージ1800における運動の範囲lは、
=2d[cos(θ−αmax/2)−cos(θ+αmax/2)]
=4dsin(θ)sin(αmax/2) (5)
で与えられる。上式で、θは、すべてのフレクスチャ・ジョイントが平衡状態にある場合のジョイント1(1806)の角度であり、αmaxは、フレクスチャ・ピボットの最大回転角度である。dは、リンク1およびリンク3、すなわち1804および1805の長さである。式(5)に示すように、所与のdに対して、θ=90度の場合に運動範囲が最大化される。したがってリンクの長さは、
=l/[4sin(αmax/2)] (6)
で与えられる。したがって60°のαmaxを使用すると、運動範囲が12インチ(30.48cm)の場合の最短リンク長さは6インチ(15.24cm)である。
【0074】
図19は、2つの円筒円板1902が追加された、リンケージ1800と同様の基本リンケージの実施形態を示したものである。運動学的研究によれば、図19に示すジョイント2(1904)およびジョイント3(1905)が、逆方向に同じ角度だけ回転すると、ステージは、X軸に沿った純並進運動を発生する。フレクスチャ・ジョイント2(1904)および3(1905)に円筒円板1902を追加することにより、それによって得られる回転接触部がリンク1(1908)およびリンク2(1906)を逆方向に回転させることになる。一実施形態では、円筒円板1902がリンク1908および1906に結合されているため、ジョイントまたはベアリングを追加する必要はない。円板1902のすべりを防止するために、2つの円板の間に適当な予備荷重が印加されている。直接駆動機構またはベアリングを使用している従来のステージと比較すると、接触表面が比較的小さくなり、かつ、比較的容易に保守することができる。円板1902は、X−Yステージ1600および1700には示されていないが、実施形態の中には、円板1902を備えている実施形態もあることに留意されたい。図16のリンク1602および1601は、図19のリンク1908および1906に対応している。したがって円板1902は、位置1603に存在することになる(図16では見ることができない他の位置を含む)。図17を参照すると、円板1902は位置1702に存在する(図17では見ることができない他の位置を含む)。
【0075】
ステージ1600または1700のいずれかの駆動システムとして、2つのリニア・サーボ・モータ(図20の参照番号2000で示す)が適切である。1つのリニア・サーボ・モータが各並進軸を駆動している。使用に適したリニア・サーボ・モータは、Trilogy Systems Corporationが市販している。このようなリニア・サーボ・モータの利点は、摩擦接触がないことである。このようなリニア・サーボ・モータのもう1つの利点は、約100ポンド(45.36kg)を超える駆動力を容易に生成することができることである。したがって駆動コンポーネントは、X−Y方向の並進運動制御を提供するだけでよい。実施形態の中には、下部ステージのアクチュエータを上部ステージのアクチュエータより強力にする必要のある実施形態もあることに留意されたい。実施形態の中には、レーザ干渉計が、X−YステージのXおよびYの位置決めを制御するためのフィードバック信号を提供している実施形態もある。レーザ・インターフェロメトリは、nmレベルの位置決め制御を提供することができるとされている。
【0076】
配置誤差はレーザ干渉計および高分解能X−Yステージ(図17のX−Yステージ1700のような)を用いて補償される。テンプレートと基板の間の配向アライメントがX−Y運動と無関係である場合、基板ウェハ全体に対して、配置誤差を一度補償するだけで良い(例えば「全体オーバレイ」)。テンプレートと基板の間の配向アライメントがX−Y運動と結合し、かつ/または基板上の極端な局部配向変化が存在する場合は、基板に対するテンプレートのX−Y部分変化を補償しなければならない(すなわち、フィールド対フィールド・オーバレイ)。オーバレイ・アライメント問題については、オーバレイ・アライメントのセクションに関連してさらに考察する。図21および22は、それぞれ全体オーバレイ誤差補償アルゴリズムおよびフィールド対フィールド・オーバレイ誤差補償アルゴリズムを示したものである。
【0077】
一実施形態では、予備較正ステージ(アクチュエータを使用して自動的に、あるいはマイクロメータを使用して手動で)および精密配向ステージによって、テンプレートと基板の配向を達成している。精密配向ステージは、能動であってもあるいは受動であっても良い。これらのステージのいずれか一方、あるいは両方のステージに他のメカニズムを設けることができるが、粒子を避けるためには、たわみベースのメカニズムであることが好ましい。較正ステージはフレームに取り付けられ、精密配向ステージは予備較正ステージに取り付けられている。したがってこのような実施形態は、シリアル機械配置を形成している。
【0078】
精密配向ステージは、1つまたは複数の受動従順性部材(passive compliant menber)を備えている。「受動従順性部材」とは、一般的にその運動を従順さから得ている部材を指している。それぞれ参照によりその全体が本明細書に組み込まれる、De Fazioに発行された米国特許第4,414,750号、同じくDe Fazioに発行された米国特許第4,337,579号、Drakeらに発行された米国特許第4,155,169号、Nevinsらに発行された米国特許第4,355,469号、Watsonに発行された米国特許第4,202,107号、および同じくWatsonに発行された米国特許第4,098,001号に、コンプライアント部材装置が開示されている。つまり、液体との直接的または間接的な接触によって運動が起動される。精密配向ステージが受動である場合、精密配向ステージは、2つの配向軸の周りに最も優勢な従順さを持たせるように設計される。2つの配向軸は直交しており、テンプレートの下部表面上に位置している(図43を参照して説明する)。テンプレートが正方形である場合、通常、2つの直交ねじり従順性の値は同じである。精密配向ステージは、テンプレートが液体と接触する場合のように、基板に対してテンプレートが非平行である場合に、非平行であることによる非一様な液体圧が速やかに配向誤差を補正するように設計されている。一実施形態では、この補正は、最小のオーバシュートで、あるいはオーバシュートすることなく実施されている。また、精密配向ステージは、上で説明したように、液体を硬化させるために十分に長い期間の間、テンプレートと基板の間を実質的に平行な配向に保持している。
【0079】
一実施形態では、精密配向ステージは、1つまたは複数のアクチュエータを備えている。例えば圧電アクチュエータ(図46を参照して説明する)が適している。このような実施形態では、予備較正ステージと結合した精密配向ステージの有効受動従順性は、やはり実質的に2つの配向軸の周りのねじり従順性でなければならない。すべての構造エレメントおよび能動エレメントの幾何学パラメータおよび材料パラメータは、共にこの有効受動剛性に寄与している。例えば圧電アクチュエータも、引張りおよび圧縮においては従順である。幾何学パラメータおよび材料パラメータを合成し、2つの直交配向軸の周りに所望のねじり従順性を得ることができる。幾何学パラメータおよび材料パラメータを合成するための簡単な手法は、精密配向ステージにおけるアクチュエータの動作方向に沿ったアクチュエータの従順性を、残りのステージ・システムの構造従順性より大きくすることである。これにより、非平行テンプレートが基板上の液体と接触する際の受動自己補正機能が提供される。また、この従順性は、最小のオーバシュートで、あるいはオーバシュートすることなく、速やかに配向誤差が補正されるように選択しなければならない。精密配向ステージは、液体を硬化させるために十分に長い期間の間、テンプレートと基板の間を実質的に平行な配向に保持している。
【0080】
オーバレイ・アライメント・スキームには、転写テンプレートの正確なアライメントおよび基板上の所望の転写位置を達成するためのアライメント誤差の測定、およびアライメント誤差の測定に続く誤差の補償が含まれている。プロキシミティ・リソグラフィ、x線リソグラフィおよびフォトリソグラフィ(例えばレーザ・干渉法、キャパシタンス・センシング、マスクおよび基板上のオーバレイ・マークの自動画像処理等)に使用されている測定技法は、適切に修正することによって転写リソグラフィ・プロセスに適合させることができる。参照によりその全体が本明細書に組み込まれる米国特許第5,204,739号に、記憶されている画像を使用したオーバレイ・アライメントの方法およびシステムが開示されている。
【0081】
リソグラフィ・プロセスにおけるオーバレイ誤差のタイプには、配置誤差、シータ誤差、倍率誤差およびマスクひずみ誤差が含まれている。本明細書において開示する実施形態の利点は、開示するプロセスが比較的低い温度(例えば室温)および小さい圧力で動作するため、マスクひずみ誤差が存在しないことである。したがってこれらの実施形態では、重大なひずみが誘導されることはない。また、これらの実施形態には、比較的分厚い基板でできたテンプレートが使用されているため、マスクが比較的薄い基板でできている他のリソグラフィ・プロセスと比較すると、マスク(すなわちテンプレート)ひずみ誤差がはるかに小さくなっている。さらに、転写リソグラフィ・プロセスのためのテンプレート領域全体が、硬化剤(例えば紫外光)に対して透明になっているため、硬化剤からのエネルギーによる加熱が最小化されている。加熱が小さいため、金属被覆が存在するためにマスクの底部表面のかなりの部分が不透明になっているフォトリソグラフィ・プロセスと比較すると、加熱によって誘導されるひずみの発生が最少化されている。
【0082】
配置誤差は一般に、テンプレートと基板の間のX−Y位置誤差(つまり、X軸および/またはY軸に沿った並進)による。シータ誤差は一般に、Z軸の周りの相対配向誤差(つまり、Z軸の周りの回転)による。倍率誤差は一般に、テンプレート上の原始パターン化領域と比較した、転写領域における熱誘導または材料誘導による収縮または膨張による。
【0083】
転写リソグラフィ・プロセスでは、基板上に過度のフィールド対フィールド表面変化が存在する場合、ギャップの制御を目的とした、図23に示す角度αおよびβに対応するテンプレートと基板の間の配向アライメントを頻繁に実施しなければならない。一般的には、転写領域全体の変化は、被転写フィーチャの高さの約半分より小さいことが望ましい。配向アライメントがテンプレートと基板のX−Y位置と結合している場合は、フィールド対フィールド配置誤差を補償しなければならない。しかし、本明細書に示す配向ステージの実施形態の場合、配置誤差を誘導することなく配向アライメントを実施することができる。
【0084】
集束レンズ系を使用しているフォトリソグラフィ・プロセスでは、2つのアライメント・マーク(一方はマスク上、もう一方は基板上)の画像を同一集束平面上に配置することができるように、マスクおよび基板が位置付けされている。アライメント誤差は、これらのアライメント・マークの相対位置を参照することによって誘導されている。転写リソグラフィ・プロセスでは、オーバレイ誤差を測定している間、テンプレートと基板が比較的狭いギャップ(マイクロメートル程度未満)を維持するため、オーバレイ誤差測定ツールは、異なる平面からの2つのオーバレイ・マークの焦点を同一集束平面上に合せなければならない。このような要求事項は、フィーチャが比較的大きい(例えば約0.5μm)場合、デバイスにとってはそれほど重大ではないが、100nm領域未満のクリティカルなフィーチャの場合、高分解能オーバレイ誤差測定を達成するためには、同一集束平面上で2つのオーバレイ・マークの画像を捕えなければならない。
【0085】
したがって、転写リソグラフィ・プロセスのためのオーバレイ誤差の測定方法および誤差の補償方法は、以下の要求事項を満足しなければならない。
1. オーバレイ誤差測定ツールは、同一平面上ではない2つのオーバレイ・マークに焦点を合せることができなければならない。
2. オーバレイ誤差補正ツールは、テンプレートと基板の間に液体の薄層が存在している状態で、テンプレートと基板をXおよびYに相対的に移動させることができなければならない。
3. オーバレイ誤差補正ツールは、テンプレートと基板の間に液体の薄層が存在している状態で、シータ誤差を補償することができなければならない。
4. オーバレイ誤差補正ツールは、倍率誤差を補償することができなければならない。
【0086】
上に示した第1の要求事項は、i)光学画像化ツールを上下に移動させることによって(米国特許5,204,739の場合のように)、あるいはii)2つの異なる波長を有する照明源を使用することによって満足することができる。これらの手法にはいずれも、テンプレートと基板の間のギャップ測定の知識が有用であり、特に第2の方法の場合に有用である。テンプレートと基板の間のギャップは、広帯域干渉法、レーザ・干渉法およびキャパシタンス・センサを始めとする既存の複数の非接触膜厚測定ツールの1つを使用して測定されている。
【0087】
図24は、テンプレート2400、基板2401、液体2403、ギャップ2405およびオーバレイ誤差測定ツール2402の位置を示したものである。測定ツールの高さは、同一結像平面上に2つのオーバレイ・マークを得るために、ギャップ情報に基づいて調整される2406。この手法を満足するためには、画像記憶デバイス2403が必要である。また、テンプレートおよびウェハを位置決めするためのデバイスは、測定デバイス2402の上下運動の振動から絶縁しなければならない。さらに、高分解能オーバレイ・アライメントのために、テンプレートと基板の間のX−Y方向の走査運動を必要とする場合、この手法では、オーバレイ・マークの連続画像を生成することはできない。したがってこの手法は、転写リソグラフィ・プロセスに対しては、比較的分解能の小さいオーバレイ・アライメント・スキームに適合している。
【0088】
図25は、異なる平面からの2つのアライメント・マークの焦点を、単一の集束平面上に合せるための装置を示したものである。装置2500は、照明源として使用されている異なる波長を有する光による焦点距離の変化を利用している。装置2500は、画像記憶デバイス2503、照明源(図示せず)および集光デバイス2505を備えている。個別の複数の光源を使用するか、あるいは単一の広帯域光源を使用して、結像平面とアライメント・マークの間に光学的なバンドパス・フィルタを挿入することによって、区別できる異なる波長を有する光を発生することができる。テンプレート2501と基板2502の間のギャップに応じて、異なる2つの波長が焦点距離を調整するために選択される。図26に示すように、各照明の下で、オーバレイ・マークの各々が結像平面上に2つの画像を生成する。第1の画像2601は、明確に焦点合わせされた画像である。第2の画像2602は、焦点外れの画像になっている。個々の焦点外れ画像を除去するために、いくつかの方法が使用されている。
【0089】
第1の方法では、第1の波長の光を有する照明源の下で、結像アレイ(例えばCCDアレイ)によって2つの画像が受け取られる。図26は、受け取られた画像を示したもので、一括して数表示2604で参照されている。画像2602は、基板上のオーバレイ・アライメント・マークに対応している。画像2601は、テンプレート上のオーバレイ・アライメント・マークに対応している。画像2602に焦点が合わされると、画像2601は焦点外れになり、その逆の場合についても同様である。一実施形態では、画像2602に関連する画素に対応する幾何学データが消去するために、ある画像処理技法を使用する。その技法で焦点を外れた基板マークの画像が除去され、画像2601が残される。第2の波長の光と同じ手順を使用して、画像2605および2606が結像アレイ上に形成される。この手順により、焦点外れの画像2606が除去され、したがって画像2605が残される。次に、残された2つの焦点の合った画像2601と2605が、単一結像平面上で結合され2603、オーバレイ誤差が測定される。
【0090】
第2の方法は、図27に示すように2つの共面偏光アレイと偏光照明源を利用している。図27は、オーバレイ・マーク2701および直交偏光したアレイ2702を示したものである。偏光アレイ2702は、テンプレート表面に構築され、あるいはテンプレート表面の上方に置かれている。2つの偏光照明源の下で、画像2703のみが結像平面上に結像される(それぞれ異なる波長および偏光に対応している)。したがって焦点を外れた画像は、偏光アレイ2702によってフィルタ除去される。この方法の利点は、焦点を外れた画像を除去するための画像処理技法を必要としないことである。
【0091】
オーバレイ誤差測定中におけるテンプレートと基板の間のギャップが狭すぎる場合、スティクションすなわち液体の薄層のせん断力が増加するため、誤差補正が困難になることに留意すべきである。また、ギャップが広すぎる場合、テンプレートと基板の間の望ましくない垂直運動によるオーバレイ誤差が生じるため、オーバレイ誤差の測定および補正を実施する、テンプレートと基板の間の最適ギャップを決定しなければならない。
【0092】
光リソグラフィ・プロセスには、モアレ・パターンをベースとしたオーバレイ測定が使用されている。モアレ・パターンの2つの層が同一平面上にはなく、かつ、結像アレイ内でオーバラップしている転写リソグラフィ・プロセスの場合、焦点が合った2つの個別画像を得ることは困難であるが、テンプレートと基板の間を直接接触させることなく、テンプレートと基板の間のギャップを、光学測定ツールの焦点深度の範囲内に慎重に制御することにより、集束の問題をほとんど生じることなく、モアレ・パターンの2つの層を同時に得ることができる。転写リソグラフィ・プロセスに、モアレ・パターンに基づく他の標準オーバレイ・スキームを直接組み込むことができる。
【0093】
配置誤差は、基板を高分解能X−Yステージに位置決めするためのキャパシタンス・センサまたはレーザ干渉計およびX−Yステージの高分解能動きを使用して補償される。テンプレートと基板の間の配向アライメントがX−Y運動と無関係である実施形態では、基板(例えば半導体ウェハ)全体に対して、配置誤差を一度補償するだけで良い。このような方法は、「全体オーバレイ」と呼ばれている。テンプレートと基板の間の配向アライメントがX−Y運動と結合し、かつ、基板上に極端な局部配向変化が存在する場合は、キャパシタンス・センサおよび/またはレーザ干渉計を使用して、テンプレートのX−Y部分変化が補償される。このような方法は、「フィールド対フィールド・オーバレイ」と呼ばれている。図28および29は、適切なセンサの実施形態を示したものである。図28は、キャパシタンス・センシング・システムの一実施形態を示したものである。キャパシタンス・センシング・システムは、キャパシタンス・センサ2801、導電被覆2802、テンプレート2803を備えている。したがってキャパシタンスの差をセンスすることにより、テンプレート2803の位置を正確に測定することができる。同様に、図29は、反射被覆2901、レーザ信号2902およびレシーバ2903を備えたレーザ干渉計システムの一実施形態を示したものである。レシーバ2903が受信するレーザ信号を使用して、テンプレート2904の位置が決定される。
【0094】
倍率誤差が存在している場合は、基板およびテンプレートの温度を慎重に制御することによって補償される。基板およびテンプレートの熱膨張特性の違いを利用して、基板上の既存のパターン済み領域のサイズが、新しいテンプレートのサイズに調整される。しかし、転写リソグラフィ・プロセスが室温および低圧で実施される場合、倍率誤差の大きさは、配置誤差またはシータ誤差の大きさよりはるかに小さいとされている。また、倍率誤差は、本明細書において開示する、応力をベースとした方法を使用して補償される。
【0095】
シータ誤差は、フォトリソグラフィ・プロセスで広く使用されているシータ・ステージを使用して補償される。シータ誤差は、高分解能シータ誤差予測を与えるために、十分に距離を隔てて分離された2つの個別アライメント・マークを使用して補償される。シータ誤差は、テンプレートが基板から数ミクロン離れて位置付けされる場合に補償される。したがって、既存パターンのせん断が生じることはない。
【0096】
UV硬化液体材料を使用している転写リソグラフィ・プロセスのオーバレイ・アライメントに関わる他の問題は、アライメント・マークの可視性の問題である。オーバレイ誤差を測定する場合、1つがテンプレート上、もう1つが基板上にある2つのアライメント・マークが使用されるが、テンプレートを硬化剤に対して透明にすることが望ましいため、通常、テンプレートのオーバレイ・マークには不透明の線が含まれていない。そうではなく、テンプレートのオーバレイ・マークは、テンプレート表面のトポグラフィカル・フィーチャになっている。実施形態の中には、マークがテンプレートの材料と同じ材料でできている実施形態もある。また、UV硬化液には、テンプレート材(例えば水晶)の屈折率と同様の屈折率を持つ傾向があるため、テンプレートと基板の間のギャップがUV硬化液で充填されると、テンプレートのオーバレイ・マークを認識することが極めて困難になる。テンプレートのオーバレイ・マークが不透明材料(例えばクロム)でできている場合、オーバレイ・マークの下側のUV硬化液がUV光に適切に露出されなくなり、これは極めて望ましくない状態である。
【0097】
液体が存在している中でのテンプレート・オーバレイ・マークの認識の問題を解決するための2つの方法を開示する。第1の方法は、高分解能ギャップ制御ステージと共に正確な液体ディスペンス・システムを使用したものである。本明細書において、適切な液体ディスペンス・システムおよびギャップ制御ステージを開示する。説明用として、図30に3つのオーバレイ・アライメント・ステップを示す。図30に示すオーバレイ・マークの位置および液体パターンは、単に説明を目的としたものに過ぎず、本発明を制限する意味で解釈してはならない。他の様々なオーバレイ・マーク、オーバレイ・マークの位置および/または液体ディスペンス・パターンも可能である。先ず、ステップ3001で基板3002に液体3003がディスペンスされる。次にステップ3004で、高分解能配向ステージを使用して、テンプレート3005と基板3002の間のギャップがディスペンスされた液体3003で完全には充填されないよう、テンプレートと基板の間のギャップが慎重に制御される。ステップ3004で、ギャップは、最終転写ギャップよりわずかに大きい程度である。ギャップの大部分が液体で充填されるため、オーバレイ補正は、ギャップがあたかも液体で完全に充填されたものとして実施される。オーバレイ・マークは、この第1の位置に、液体がオーバレイ・マークを覆うことのないように配置される。オーバレイ補正が終了すると、ギャップが最終転写ギャップに近づけられる(ステップ3006)。これは、残りの転写領域への液体の広がりを可能にしている。ステップ3004とステップ3006の間のギャップの変化は極めて小さい(例えば約10nm)ため、ギャップ接近運動による重大なオーバレイ誤差は、何ら生じない。
【0098】
第2の方法の場合、オーバレイ測定ツールに見える特殊なオーバレイ・マークをテンプレート上に形成しなければならないが、硬化剤(例えばUV光)に対して不透明であってはならない。図31は、この手法の一実施形態を示したものである。図31では、テンプレート上のオーバレイ・マーク3102は、完全な不透明線ではなく、微細な偏光線3101で形成されている。例えば、適切な微細偏光線は、硬化剤として使用される活性化光の波長の約1/2ないし1/4の幅を有している。偏光線3101の線幅は、2本の線の間を通過する活性化光が十分に回折して線の下側のすべての液体を硬化させるよう、十分な細さでなければならない。このような実施形態では、オーバレイ・マーク3102の偏光に従って活性化光が偏光される。活性化光を偏光させることにより、オーバレイ・マーク3102を有する領域を含んだすべてのテンプレート領域が、比較的一様に露出される。テンプレート上のオーバレイ・マーク3102を捜し出すために使用される光は、広帯域光または液体材料を硬化させない特殊な波長である。この光を偏光させる必要はない。偏光線3101は、測定光に対して実質的に不透明であり、したがって設置されたオーバレイ誤差測定ツールを使用してオーバレイ・マークを視覚可能にしている。微細偏光オーバレイ・マークは、電子ビーム・リソグラフィなどの既存の技法を使用して、テンプレート上に形成される。
【0099】
第3の実施形態では、オーバレイ・マークは、テンプレートの材料とは異なる材料で形成されている。例えば、テンプレートのオーバレイ・マークを形成するために選択される材料は、可視光に対して実質的に不透明であるが、硬化剤として使用される活性化光(例えばUV光)に対しては透明である。例えばXが2未満であるSiOxがこのような材料を形成している。詳細には、Xが約1.5であるSiOxで形成された構造は、可視光に対して実質的に不透明であるが、UV光に対しては透明である。
【0100】
図32は、テンプレート12などのテンプレートを、基板20などの転写すべき基板に対して較正し、かつ、配向するためのシステムのアセンブリを一括して100で示したものである。システム100は、ステッパなどの機械に、本明細書で説明する転写リソグラフィ・プロセスを使用した製造環境におけるデバイスの量産用として利用されている。図に示すように、システム100は、ハウジング120を支持している頂部フレーム110に取り付けられている。ハウジング120は、テンプレート150を基板(図32には図示せず)に対して大まかにアライメントするための予備較正ステージを備えている。
【0101】
ハウジング120は中間フレーム114に結合されており、中間フレーム114には、ハウジング120の反対側に、ガイド・シャフト112aおよび112bが取り付けられている。一実施形態では、ハウジング120を支持するために3つのガイド・シャフト(図32では、後側のガイド・シャフトは見えない)が使用され、テンプレート150が垂直に並進している間、上下にスライドしている。中間フレーム114の周囲の対応するガイド・シャフト112aおよび112bに取り付けられたスライダ116aおよび116bが、ハウジング120のこの上下運動を容易にしている。
【0102】
システム100は、ハウジング120の底部部分に取り付けられた円板形ベース・プレート122を備えている。ベース・プレート122は、円板形たわみリング124に結合されている。たわみリング124は、下方配置配向ステージに備えられた第1のたわみ部材126と第2のたわみ部材128を支えている。以下、たわみ部材126、128の配向および構成について詳細に考察する。図33に示すように、第2のたわみ部材128は、転写プロセスの間、テンプレート150を所定の位置に保持するテンプレート・サポート130を備えている。テンプレート150は、通常、所望のフィーチャが形成された水晶片を備えている。また、テンプレート150は、良く知られている方法に従って、他の物質を備えている。
【0103】
図33に示すように、アクチュエータ134a、134bおよび134cは、ハウジング120の内部に固定され、ベース・プレート122およびたわみリング124に動作可能に結合されている。動作時に、アクチュエータ134a、134bおよび134cは、たわみリング124の運動が達成されるように制御される。アクチュエータの運動が大まかな予備較正を可能にしている。実施形態の中には、アクチュエータ134a、134bおよび134cが、高分解能アクチュエータを備えている実施形態もある。このような実施形態では、アクチュエータがハウジング120の周りに等間隔で配置されている。このような実施形態により、リング124を垂直方向に極めて正確に並進させ、それによりギャップを正確に制御することができる。したがってシステム100は、転写すべき基板に対するテンプレート150の大まかな配向アライメントおよび正確なギャップ制御を達成することができる。
【0104】
システム100は、テンプレート150を正確に制御することができるメカニズムを備えているため、正確な配向アライメントを達成することができ、また、テンプレートによって基板表面に対する一様なギャップが維持される。また、システム100は、転写に続いて、基板表面からフィーチャをせん断することなく、基板の表面からテンプレート150を分離させる方法を提供している。それぞれ第1のたわみ部材126および第2のたわみ部材128の構成によって、正確なアライメントおよびギャップの制御が容易になっている。
【0105】
一実施形態では、図51に示すように、硬化剤に対して透明な個別の固定支持プレート5101を使用して、テンプレート5102を所定の位置に保持している。テンプレート5102の裏側の支持プレート5101が転写力を保持しているが、固定支持プレート5101とテンプレート5102の間に真空を加えることにより、分離力が生じる。また、支持プレートをテンプレート・ホルダのボディに結合させるのに真空を使用することができる。横方向の力に対してテンプレート5102を支持するために、圧電アクチュエータ5103が使用されている。この横方向支持力は、圧電アクチュエータ5103を使用して慎重に制御されている。また、この設計は、転写リソグラフィ・プロセスにおける層対層アライメントのための倍率およびひずみ補正機能を提供している。ひずみ補正は、電子ビーム・リソグラフィによって構築されたテンプレート構造に存在するスティッチング誤差および配置誤差を克服するため、また、基板上に既に存在している構造のひずみを補償するために極めて重要である。倍率補正は、テンプレートの各々の面の1つの圧電アクチュエータに対して(つまり、4面テンプレートの場合、合計4つの圧電アクチュエータに対して)のみ必要である。圧電アクチュエータは、一様な力が表面全体に印加されるような方法で、テンプレートの表面に接続されている。一方、ひずみ補正は、個別に制御された力をテンプレートの各々の面に印加する複数の個別圧電アクチュエータに対して実施しなければならない。必要なひずみ制御のレベルに応じて、個別圧電アクチュエータの数が規定されている。圧電アクチュエータが多いほど、より良好なひずみ制御が提供される。倍率補正およびひずみ補正は、テンプレートの頂部表面および底部表面がいずれも拘束されていない状態においてのみ正しく制御されるため、倍率誤差補正およびひずみ誤差補正は、真空を使用してテンプレートの頂部表面を拘束する前に完了していなければならない。実施形態の中には、図51に示すテンプレート・ホルダ・システムが、テンプレート5102の下側の領域の一部に対して硬化剤を妨害することになる機械設計を有している実施形態もあるが、これは、テンプレート5102の下側の液体の一部が硬化しないため、望ましいことではない。この液体はテンプレートにへばり付き、それ以降のテンプレートの使用に弊害をもたらすことになる。テンプレート・ホルダに関わるこの問題は、テンプレート・ホルダに1組のミラーを組み込み、テンプレート5102の一方のエッジの下側の領域に導かれた硬化剤が彎曲して、テンプレート5102のもう一方のエッジの下側の被妨害部分を硬化させるような方法で、被妨害硬化剤を分流させることによって回避することができる。
【0106】
一実施形態では、基板とテンプレートの間の最小ギャップが、センシング技法を使用することができる範囲内になるようにテンプレートを設計することによって、高分解能ギャップ・センシングが達成されている。測定中のギャップは、実際のパターン化表面のギャップとは無関係に維持されるため、ギャップの制御を、センシング技法の有効レンジ内で実施することができる。例えば、ギャップを解析するために、スペクトル反射率解析技法を約150nmないし20ミクロンの有効センシング・レンジで使用する場合、テンプレートは、テンプレート中に約150nm以上の深さでパターン化されたフィーチャを有していなければならない。これにより、センスすべき最小ギャップを確実に150nmより大きくすることができる。
【0107】
テンプレートを基板に向けて下げていく間に、基板とテンプレートの間のギャップから液体が追い出される。基板とテンプレートの間のギャップは、粘性力が印加圧縮力との平衡条件に近づく実用下限に接近する。これは、テンプレートの表面と基板が極めて接近した場合に生じる。例えば、このレジームは、半径1cmのテンプレートに、14kPaの圧力を1秒間加えた場合、1cPの液体に対して約100nmのギャップ高さになる。その結果、一様かつ平行なギャップが維持されれば、ギャップはセルフリミッチングである。また、追い出される(または吸収される)液体の量を明確に予測することができる。吸収される液体の量は、流体力学および表面現象の慎重な計算に基づいて予測することができる。
【0108】
プロダクション・スケールの転写をパターン化する場合、基板に対するテンプレートの傾斜およびギャップを制御することが望ましい。配向およびギャップの制御を達成するためには、レチクル製造技法を使用して製造されたテンプレートは、i)単一波長干渉法、ii)多重波長干渉法、iii)楕円偏光法、iv)キャパシタンス・センサ、またはv)圧力センサなどのギャップ・センシング技法と組み合せて使用される。
【0109】
一実施形態では、テンプレートと基板の間のギャップを正確に測定する方法は、基板の薄膜の厚さを計算するために使用されている。本明細書においては、広帯域分光計から得られる反射データの高速フーリエ変換(FFT)に基づく技法の説明を開示する。この技法を使用して、テンプレートと基板の間のギャップおよび薄膜の厚さを測定することができる。多層薄膜の場合、この技法により、各薄膜の平均厚さおよび厚さの変化が提供される。また、一方の表面を介した少なくとも3ヶ所の異なるポイントにおける測定により、例えば転写リソグラフィ・プロセスの場合のテンプレートと基板など、近接した2つの表面の間の平均ギャップ情報および配向情報を得ることができる。
【0110】
一実施形態では、ギャップ測定プロセスは、広帯域干渉法と高速フーリエ変換(FFT)の組合せをベースにしている。この業界におけるいくつかの応用では、広帯域干渉法のための様々な曲線適合技法を利用して、単層の膜厚が測定されているが、このような技法では、特に転写リソグラフィ・プロセスのための多層薄膜の場合、実時間のギャップ測定を提供することができないとされている。このような問題を解決するために、先ず、反射率が1/λhighと1/λlowの間の波数領域でディジタル化される。次に、ディジタル化されたデータがFFTアルゴリズムを使用して処理される。この新規な手法により、FFT信号のピークが明確に生成される。このピークは、測定されたギャップに正確に対応している。2層の場合は、FFT信号によって、各層の厚さに直線比例する2つの明確なピークが生成される。
【0111】
光学薄膜の場合、反射率における振動は、次の式で与えられる、単一光学薄膜の反射率で示されるように、波長(λ)ではなく波数(w)において周期的である。
【数1】
Figure 2004523906
上の式で、ρi、i+1は、i−1界面とi界面の境界面における反射率係数、nは屈折率、dは測定する薄膜(図52の材料2)の厚さ、αは薄膜(図52の材料2)の吸収係数である。ここではw=1/λである。
【0112】
この特性により、フーリエ解析は、wによって表される関数Rの周期を決定するための有用な技法である。単一薄層の場合、明確に画定される単一ピーク(p)が、R(w)のフーリエ変換が得られた場合の結果であることに留意されたい。膜厚(d)は、このピークの位置の関数であり、次の式で与えられる。
d=p/(Δw×2n) (8)
上式で、Δw=w−w、w=1/λminおよびw=1/λmaxである。
【0113】
FFTは、離散信号である周波数が計算的に有効な方法で計算される、確立された技法である。したがってこの技法は、現場解析および実時間応用に有用である。図34は、反射率信号のFFTプロセスを介した膜厚またはギャップ測定のプロセス・フローの一実施形態を示したものである。異なる反射率を有する多層薄膜の場合、FFTプロセスにおけるピークの位置は、各膜厚の一次結合に対応している。例えば2層薄膜の場合、FFT解析において2つの異なるピーク位置がもたらされる。図35は、2つのピーク位置に基づいて2つの薄膜の厚さを正確に測定する方法を示したものである。
【0114】
本明細書に示す実施形態により、反射率データの振動が測定波数範囲内の完全な1周期未満を含んでいる場合であっても、ギャップまたは薄膜の厚さを測定することができる。このような場合、FFTは不正確なピーク位置をもたらすことになる。このような問題を解決し、かつ、測定可能膜厚の下限を広げるための新規な方法を本明細書において開示する。振動周期を計算するためのFFTアルゴリズムを使用する代わりに、wとwの反射率の局部最小点(w)または局部最大点(w)を見出すアルゴリズムを使用して、wおよびwにおける周期情報dR/dw=0が計算される。式7の反射率R(w)は、w=0においてその最大を有している。また、典型的な分光計の波数範囲(Δw)は、wより大きくなっている。波数範囲が200nm〜800nmの分光計の場合、Δw=3/800であり、w=1/800である。したがって0とwの間の反射率データの振動長は、Δwより短くなっている。図36に示すように、w=0がR(w)の最大点であると仮定すると、Δwの範囲内に最小位置があるケースと最大位置があるケースの2つのケースが存在する。したがって膜厚は次のように計算される。
・ケース1 WW0:局部最小がwに存在する場合。したがってw=周期振動の半分、故にd=0.5/(w×2n)
・ケース2 WW1:局部最大がwに存在する場合。したがってw=周期振動の1周期、故にd=1/(w×2n)
【0115】
測定ツールの実用的な構成には、広帯域光源、光ファイバを備えた分光計、データ収集基板および処理コンピュータが含まれている。いくつかの既存の信号処理技法により、FFTデータの感度が改善されている。例えば、これに限らないが、フィルタリング、拡大、データ・ポイント数の増加、異なる波長レンジ等を始めとする技法を、本明細書において開示するギャップまたは膜厚測定方法と共に利用することができる。
【0116】
本明細書において開示する実施形態には、2つの平面(例えばテンプレートと基板)の間の高精度ギャップ測定および配向測定方法が含まれている。ここで示すギャップ測定および配向測定方法には、広帯域干渉法および縞ベース干渉法が使用されている。すべて参照によりその全体が本明細書に組み込まれる、Ledgerらに発行された米国特許第5,515,167号、Chalmersに発行された米国特許第6,204,922号、Buermannらに発行された米国特許第6,128,085号、およびLiらに発行された米国特許第6,091,485号に、インターフェロメトリを使用したギャップ・センシング方法およびシステムが開示されている。一実施形態では、本明細書において開示する、広帯域干渉法を使用した方法により、広帯域干渉計の欠点、すなわち広帯域信号の平均波長の約1/4より狭いギャップを正確に測定することができない、という欠点を解決している。干渉縞ベース干渉法は、設置直後のテンプレートの配向誤差をセンスするために使用されている。
【0117】
転写リソグラフィ・プロセスを実行して、単層および多層デバイスを製造することができる。ミクロン・サイズの光学ミラー、高分解能光フィルタ、ライト・ガイドなどの単層デバイスは、基板上に特定の幾何学形状の材料薄層を形成することによって製造される。このようなデバイスの中には、転写される層の厚さが広帯域信号の平均波長の約1/4より薄く、かつ、能動領域全体に渡って一様なデバイスもある。広帯域干渉計の欠点は、広帯域信号の平均波長の約1/4より狭いギャップ(例えば約180nm)を正確に測定することができないことである。一実施形態では、テンプレートの表面に、正確に測定することができるマイクロメートル・サイズの段がエッチングされている。段は、図37に示すように、測定を実施する部分に、連続した線3701の形態で、あるいは隔離された複数のドット3702の形態でエッチングされる。テンプレート上の有効能動面積を最大化する観点からすれば、隔離ドット3702が好ましい。パターン化されるテンプレート表面が、基板からわずか数ナノメートル程度であっても、広帯域干渉計を使用して、最小ギャップ測定問題に煩わされることなく、ギャップを正確に測定することができる。
【0118】
図38は、ここで説明するギャップ測定の略図を示したものである。プローブ3801は、図39に示すような傾斜構成の場合にも使用することができる。4つ以上のプローブを使用する場合、冗長情報を使用することによってギャップ測定精度を改善することができる。分かり易くするために、3つのプローブを使用するものとして説明を確実にする。段のサイズhsAC2は、説明を分かり易くするために拡大されている。パターン化された領域の平均ギャップhは、
=[(h+h+h)/3]−h (9)
で与えられる。プローブの位置が分かれば((x、y)、ただしx軸およびy軸は基板表面上)、基板に対するテンプレートの相対配向を、x−y軸が基板の頂部表面上に位置しているフレームに対するテンプレート表面に垂直をなす単位ベクトル(n)で表すことができる。
n=r/‖r‖ (10)
上式で、r=[(x、y、h)−(x、y、h)]×[(x、y、h)−(x、y、h)]である。n=(001)またはh=h=hのとき、2つの平面の間の完全な配向アライメントが達成される。
【0119】
測定されたギャップおよび配向は、転写アクチュエータへのフィードバック情報として使用される。測定広帯域干渉ビームのサイズは、約75μm程度の大きさである。実用的な転写リソグラフィ・プロセスの場合、クリア領域にはいかなるパターンもエッチングすることができないため、ギャップを測定するためにのみ使用されるクリア領域を最小化することが望ましい。また、測定ツールが存在することによる硬化剤に対する妨害を最小にしなければならない。
【0120】
図40は、基板上の多層材料の略図を示したもので、例えば基板4001は、層4002、4003、および基板4001とテンプレート4004の間に液体4005を有している。これらの材料層を使用して、基板表面上に一層毎に垂直に多重パターンが転写される。光ビーム4006を使用してギャップ測定が実行されるクリア領域における各層の厚さは一様になっている。多層薄膜が存在している中での、広帯域干渉法を使用した頂部層の厚さの正確な測定が示されている。下部薄膜層の光学特性および厚さが正確に分かると、頂部層の厚さを測定することによって、テンプレートと基板表面(あるいは多層デバイスの場合、金属デポジット表面)の間のギャップ情報および配向情報を得ることができる。各層の厚さは、同じセンシング測定プローブを使用して測定される。
【0121】
新しいテンプレートを設置した場合、あるいは機械コンポーネントを再構成した場合は、配向測定および対応する較正を実施しなければならない。テンプレート4102と基板4103の間の配向誤差は、図41に示すような、テンプレートと基板の境界における干渉縞パターンを介して測定される。オプティカル・フラットが2つの場合、干渉縞パターンは、平行な暗い帯と明るい帯4101として現われる。配向較正は、本明細書において開示する予備較正ステージを使用して実施される。差動マイクロメータを使用して、基板表面に対するテンプレートの相対配向が調整される。干渉縞帯が出現しない場合は、この手法を使用して、配向誤差が、使用されている光源の波長の1/4未満になるように補正される。
【0122】
図42Aおよび42Bを参照すると、それぞれ第1のたわみ部材126および第2のたわみ部材128の実施形態がより詳細に示されている。詳細には、第1のたわみ部材126は、対応する剛体164、166に結合された複数のたわみ継手160を備えている。たわみ継手160および剛体164、166は、フレーム170から延びたアーム172および174の一部を形成している。たわみフレーム170は開口182を有している。開口182は、サポート130に保持された場合に、硬化剤(例えばUV光)を透過させ、テンプレート150に到達させる。いくつかの実施形態は、4つのたわみ継手160が、たわみ部材126の第1の配向軸180の周りの運動を提供している。第1のたわみ部材126のフレーム170は、図43に示すように、第2のたわみ部材128との結合のための結合メカニズムを設けている。
【0123】
同様に、第2のたわみ部材128も、フレーム206から延びた一対のアーム202および204を備えている。アーム202および204は、たわみ継手162および対応する剛体208、210を備えている。剛体208および210は、たわみ部材128の第2の配向軸200の周りの運動をもたらす。テンプレート・サポート130は、第2のたわみ部材128のフレーム206に統合される。フレーム182と同様、フレーム206も、硬化剤を透過させ、サポート130に保持されるテンプレート150に到達させる開口212を有している。
【0124】
動作に関しては、図43に示すように、第1のたわみ部材126および第2のたわみ部材128が結合され、配向ステージ250を形成している。第1のたわみ部材126と第2のたわみ部材128の結合を容易にするためのブレース220および222が、第1の配向軸180および第2の配向軸200が互いに実質的に直交するように設けられている。このような構造により、第1の配向軸180と第2の配向軸200が、ほぼテンプレート基板境界254のピボット・ポイント252で交差することになる。第1の配向軸180と第2の配向軸200が直交し、かつ、境界254上に位置していることにより、微細アライメントおよびギャップ制御を行うことができる。詳細には、この構造により、層対層オーバレイ・アライメントからの配向アライメントのデカップリング(decoupling)が達成される。さらに、以下で説明するように、第1の配向軸180と第2の配向軸200の相対位置が、テンプレート150と基板を、所望のフィーチャのせん断を生じることなく分離させるために使用される配向ステージ250を提供している。したがってテンプレート150から転写されるフィーチャは、完全な状態で基板上に維持される。
【0125】
図42A、42Bおよび43を参照すると、たわみ継手160および162はノッチ形状になっており、剛体164、166、208および210のノッチの最も薄い断面に沿って位置付けされたピボット軸の周りの運動を提供している。この構成は、デカップリング従順性運動軸180および200を有する精細デカップリングされた配向ステージ250に、2つのたわみベース・サブシステムとなっている。たわみ部材126および128は、テンプレート150の運動がピボット・ポイント252の周りに生じ、それにより転写されたフィーチャを基板からせん断する「揺れ」およびその他の運動が実質的に除去されるよう、適合する表面を介して組み合わされている。したがって配向ステージ250は、テンプレート150をピボット・ポイント252の周りに正確に移動させ、それにより基板からの所望のフィーチャのせん断を、後続する転写リソグラフィから除去している。
【0126】
図44を参照すると、システム100が動作している間、Z並進ステージ(図示せず)が、配向アライメントを提供することなく、テンプレート150と基板の間の間隔を制御している。予備較正ステージ260は、テンプレート150と基板表面の間の予備アライメント操作を実行し、相対アライメントを配向ステージ250の運動範囲限界内にもたらしている。特定の実施形態では、予備較正は、新しいテンプレートが機械に設置されたときに一度だけ必要である。
【0127】
図45を参照すると、配向ステージ250などの精細デカップリング配向ステージの動作原理を理解するために役に立つたわみモデルが、一括して300で示されている。たわみモデル300は、4バー・リンケージ・システムを公称構成および回転構成を提供する継手1、2、3および4の4つの平行継手を備えている。線310は、継手1および2を通過している。線312は、継手3および4を通過している。角度αおよびαは、従順性アライメント軸(すなわち配向軸)が、実質的にテンプレート−ウェハ境界254上に位置するように選択されている。精細配向が変化すると、継手2と3の間の剛体314が、ポイントCで表されている軸の周りに回転する。剛体314は、たわみ部材126および128の剛体170および206を代表している。
【0128】
第2のたわみコンポーネントを第1のたわみコンポーネントに直角に取り付けることにより(図43に示すように)、互いに直交し、かつ、テンプレートと基板の境界254上に位置する2つのデカップリング配向軸を有するデバイスが提供される。たわみコンポーネントは、硬化剤(例えばUV光)にテンプレート150を通過させるための開口を有する。
【0129】
配向ステージ250は、テンプレート150を基板に対して精密にアライメントさせ、かつ、正確に運動させることができる。配向を調整することにより、境界における横方向運動を完全に無視することができ、かつ、境界表面に対して垂直の周りの、選択的に拘束される高構造剛性によるねじり運動を完全に無視することができる。たわみ継手160、162を備えたたわみ部材126、128のもう1つの利点は、それらが、摩擦継手が生成するような粒子を生成しないことである。転写リソグラフィ・プロセスの場合、粒子は特に有害であるため、転写リソグラフィ・プロセスを成功させるためには、このことは重要な要素である。
【0130】
精密なギャップ制御を必要とするため、本明細書に示す実施形態には、テンプレートと基板の間の500nm程度以下の微小ギャップを測定することができるギャップ・センシング方法を利用する必要がある。このようなギャップ・センシング方法には、約50ナノメートル以下の分解能が必要である。このようなギャップ・センシングが完全に実時間で提供される。ギャップ・センシングが実時間で提供されるため、ギャップ・センシングを使用して、アクチュエータを能動制御するためのフィードバック信号を生成することができる。
【0131】
一実施形態では、能動的な従順性を有するたわみ部材が設けられている。例えば図46は、圧電アクチュエータを備えたたわみ部材を一括して400で示したものである。たわみ部材400は、第2のたわみ部材と組み合わされ、能動配向ステージを形成している。たわみ部材400は、テンプレートと基板の境界における横方向運動のない純傾斜運動を生成している。このようなたわみ部材を使用することにより、単一オーバレイ・アライメント・ステップで、半導体ウェハ全体に層を転写することができる。これは、配向運動と横方向運動の間の結合運動を有するオーバレイ・アライメントとは対照的である。このようなオーバレイ・アライメント・ステップは、X−Yアライメントが乱され、そのために、適切なアライメントを保証するための複雑なフィールド対フィールド・オーバレイ制御ループを必要としている。
【0132】
一実施形態では、たわみ部材250は、側への運動すなわち回転が望ましくない方向では大きい剛性を有し、また、必要な配向運動が望ましい方向では剛性が小さくなっている。このような実施形態は選択従順性デバイスを提供している。つまり、たわみ部材250は、テンプレートと基板の間の適切な配向運動を達成する一方で、比較的大きい負荷を支えている。
【0133】
転写リソグラフィの場合、2つのほぼ平らな表面(すなわちテンプレートと基板)の間のギャップを一様に維持することが望ましい。テンプレート150は、確実に底の部分を実質的に平らにするために、オプティカル・フラット・ガラスでできており、電子ビーム・リソグラフィを使用してパターン化されている。一方、基板(例えば半導体ウェハ)は「ポテト・チップ」効果を示し、基板トポグラフィにミクロン・スケールの変化をもたらしている。真空チャック478(図47に示すような)は、転写中に生じ得る基板表面全体の変化を防止している。
【0134】
真空チャック478は、2つの主要な目的を果たしている。第1は、転写中、基板を所定の位置に保持し、かつ、転写プロセスの間、確実に基板を平らに維持することである。第2に、転写プロセスの間、基板の裏面に絶対に粒子が存在しないようにすることである。裏面に粒子が存在すると、デバイスを破壊し、生産歩留まりを低下させる平坦性の問題が生じるため、このことは転写リソグラフィにとっては特に重要である。図48Aおよび48Bは、上記の目的に適う、2つの実施形態による真空チャックの変形形態を示したものである。
【0135】
図48Aは、多数のピン452を有するピン型真空チャック450を示したものである。真空チャック450は、「ポテト・チップ」効果および処理中における基板のその他の偏向を防止するとされている。真空通路454は、基板に真空を印加し、それにより基板を所定の位置に維持するための手段として設けられている。ピン452とピン452の間の間隔は、真空通路454を介して印加される力によって基板が実質的に湾曲しないように維持されており、また、ピン452の先端は、ピンの先端に粒子が付着する機会を少なくするために、十分に細くなっている。
【0136】
図48Bは、表面全体に複数の溝462を備えた溝型真空チャック460を示したものである。溝462は、ピン型真空チャック450のピン454と同様の機能を実行している。図に示すように、溝462の形状は、壁形464あるいは滑らかな湾曲断面466のいずれかである。溝型真空チャック462の溝462の断面は、エッチング・プロセスを通して調整することができる。また、各溝の形状およびサイズは、数百ミクロン程度の大きさである。溝462の各々への真空流は、チャック表面に対して平行に走っている複数の溝を横切っている微小真空通路を通して供給される。微小真空通路は、エッチング・プロセスを通して溝と共に形成されている。
【0137】
図47は、ピン型真空チャック450および溝型真空チャック460の製造プロセスを示したものである。オプティカル・フラット470を使用することにより、このプロセスに研削および/または研磨工程を追加する必要はない。真空流孔472は、オプティカル・フラット470上の決定済み位置をせん孔することによって生成されている。次に、オプティカル・フラットの上部表面に所望のフィーチャ(例えばピンまたは溝)を生成するために、エッチング476に先立って、オプティカル・フラット470にマスクおよびパターンが施される474。次に、よく知られている方法を使用して、オプティカル・フラット470の表面が処理される479。
【0138】
上で考察したように、テンプレート150と転写された層の分離は、転写リソグラフィ・プロセスにおける非常に重要な最終ステップである。テンプレート150と基板は、ほぼ完全に平行であるため、テンプレート、転写された層および基板のアセンブリは、近オプティカル・フラット間に実質的に一様な接触をもたらしている。このようなシステムの場合、一般的に大きな分離力が必要である。たわみテンプレートまたはたわみ基板の場合、分離は単なる「ピーリング・プロセス」に過ぎないが、高分解能オーバレイ・アライメントの観点からすれば、たわみテンプレートまたはたわみ基板は望ましくない。水晶テンプレートおよびシリコン基板の場合、このピーリング・プロセスの実行は容易ではないが、テンプレートと転写された層の分離は、「ピール・プル」プロセスによって首尾良く実行することができる。図49A、49Bおよび49Cは、第1のピール・プル・プロセスを示したものである。図50A、50Bおよび50Cは、第2のピール・プル・プロセスを示したものである。テンプレートと転写された層を分離するプロセスには、第1および第2のピール・プル・プロセスの組合せも含まれている。
【0139】
分かり易くするために、図1Aおよび1Bに従って参照数表示12、18、20および40を使用して、それぞれテンプレート、転写層、基板および硬化性物質が参照されている。物質40が硬化したあと、テンプレート12または基板20のいずれかが傾斜させられ、テンプレート12と基板20の間に角度500が故意に誘導される。配向ステージ250はこの目的のために使用される。基板20は、真空チャック478によって所定の位置に保持されている。テンプレート12と基板20の間の相対横方向運動は、傾斜軸がテンプレートと基板の境界に近接して位置付けされている場合、傾斜運動の間は重要ではない。テンプレート12と基板20の間の角度500が十分に大きくなると、Z軸運動(すなわち垂直運動)のみを使用して、テンプレート12と基板20が分離される。このピール・プル方法により、望ましくないせん断を生じることなく、所望のフィーチャ44が転写層18および基板20上に完全な状態で残される。
【0140】
図50A、50Bおよび50Cは、第2のピール・プル方法を示したものである。第2のピール・プル方法では、テンプレートに隣接して1つまたは複数の圧電アクチュエータ502が設置されている。1つまたは複数の圧電アクチュエータ502を使用して、テンプレート12と基板20の間に相対傾斜が誘導される(図50A)。圧電アクチュエータ502の一端は基板20と接触している。したがってアクチュエータ502が大きくなると(図50B)、テンプレート12が基板20から押し出され、テンプレート12と基板20の間に角度が誘導される。次にテンプレート12と基板20の間のZ軸運動(図50C)を使用して、テンプレート12と基板20が分離される。アクチュエータ502の一端は、転写された層のアクチュエータ表面への粘着を防止するために、テンプレート12の下部表面の処理と同様に表面処理されている。
【0141】
要約すると、本明細書に示した実施形態は、転写リソグラフィを高温または高圧の使用を必要とすることなく成功させるためのシステム、プロセスおよび関連デバイスを開示している。特定の実施形態では、テンプレートとそのテンプレートから所望のフィーチャを転写する基板との間のギャップの正確な制御が達成されている。また、所望のフィーチャを破壊することなく、あるいはせん断を生じることなく、テンプレートと基板(および転写された層)を分離することができる。また、本明細書における実施形態は、転写リソグラフィの間、基板を所定の位置に保持する方法を、適切な真空チャックの形で開示している。さらに、実施形態は、転写リソグラフィ・システムでの使用に適した高精度X−Y並進ステージを備えている。また、適切な転写リソグラフィ・テンプレートを形成し、処理する方法を備えている。
【0142】
以上、様々な実例実施形態を参照して本発明を説明したが、以上の説明は、本発明を限定する意味で解釈してはならない。説明を参照すれば、当分野の技術者には、実例実施形態に対する様々な改変、組合せ、および本発明の他の実施形態が明らかであろう。したがって特許請求の範囲の各請求項は、このような改変または実施形態のすべてを包含しているものとする。
【図面の簡単な説明】
【図1】
テンプレートと基板の間のギャップを示す横断面図である。
【図2A】
転写リソグラフィ・プロセスを示す横断面図である。
【図2B】
転写リソグラフィ・プロセスを示す横断面図である。
【図2C】
転写リソグラフィ・プロセスを示す横断面図である。
【図2D】
転写リソグラフィ・プロセスを示す横断面図である。
【図2E】
転写リソグラフィ・プロセスを示す横断面図である。
【図3】
転写リソグラフィ・プロセスのシーケンス・ステップを示すプロセス流れ図である。
【図4】
パターン化されたテンプレートの底面図である。
【図5】
基板上に位置決めされたテンプレートを示す横断面図である。
【図6】
複数の深さを有するテンプレートを製造するプロセスを示す横断面図である。
【図7】
転写リソグラフィ・テンプレートを形成するためのプロセスを示す横断面図である。
【図8】
パターン化されたテンプレートの横断面図である。
【図9】
パターン化された代替テンプレート設計を示す横断面図である。
【図10】
硬化液を基板に塗布するためのプロセスを示す上面図である。
【図11】
転写リソグラフィック・プロセス中に液体をディスペンスするための装置を示す略図である。
【図12】
転写リソグラフィック・プロセスに使用される望ましくない液体ディスペンス・パターンを示す図である。
【図13】
ギャップが封止された後に気泡がトラップされることのない複数の滴を含んだ液体パターンを示す図である。
【図14】
転写リソグラフィック・プロセス中に液体をディスペンスするための代替装置を示す略図である。
【図15】
複数の実質的に平行な線を含んだ液体パターンを示す図である。
【図16】
基板サポート・システムの投影図である。
【図17】
代替基板サポート・システムの投影図である。
【図18】
たわみジョイントによって接続され、かつ、X方向に移行するブロックに結合された3リンク・チェーンの略図である。
【図19】
たわみジョイントによって接続され、かつ、X方向に移行するブロックに結合された代替3リンク・チェーンの略図である。
【図20】
磁気線形サーボ・モータの投影図である。
【図21】
多重転写の全体処理を示すプロセス流れ図である。
【図22】
多重転写のフィールド対フィールド・アライメント処理を示すプロセス流れ図である。
【図23】
基板に対するテンプレートの複数の回転軸を示す投影図である。
【図24】
テンプレートと基板上に位置決めされた測定デバイスを示す図である。
【図25】
テンプレートと基板上に位置決めされた光学アライメント測定デバイスを示す略図である。
【図26】
アライメント・マークを使用して、基板に対するテンプレートのアライメントを測定するためのスキームを示す図である。
【図27】
偏光フィルタを使用したアライメント・マークを使用して、基板に対するテンプレートのアライメントを測定するためのスキームを示す図である。
【図28】
容量テンプレート配置測定デバイスを示す略図である。
【図29】
レーザ干渉計ベースのテンプレート位置測定デバイスを示す略図である。
【図30】
テンプレートと基板の間のギャップを使用して、ギャップが部分的に液体で充填されている場合のアライメントを測定するためのスキームを示す図である。
【図31】
複数のエッチングされた線を含んだアライメント・マークを示す図である。
【図32】
配向ステージの投影図である。
【図33】
配向ステージの分解図である。
【図34】
ギャップ測定技法のプロセス・フローを示す図である。
【図35】
2つの材料の間のギャップを測定するための技法を示す横断面図である。
【図36】
ギャップの局部最小および局部最大の測定を示すグラフである。
【図37】
ギャップ測定凹所を備えたテンプレートを示す図である。
【図38】
テンプレートと分光計の間のギャップを測定するための分光計の使用を示す略図である。
【図39】
プローブを使用した、テンプレートと基板の間のギャップの探測を示す略図である。
【図40】
予め存在しているトポグラフィを含む転写リソグラフィック・プロセスを示す横断面図である。
【図41】
テンプレートと基板の間のくさびの存在を決定するように、テンプレートを照明するためのプロセスを示す略図である。
【図42】
複数のたわみ部材を示す投影図である。
【図43】
使用するために組み立てられた第1および第2のたわみ部材を示す図である。
【図44】
配向ステージの底面の投影図である。
【図45】
テンプレートを保持するたわみアームを示す略図である。
【図46】
1対のたわみアームおよび関連する精密アクチュエータを示す横断面図である。
【図47】
真空チャックを形成するためのスキームを示す図である。
【図48】
基板を保持するための真空チャックを示す様々な図である。
【図49】
硬化後における基板からのテンプレートの除去スキームを示す図である。
【図50】
硬化後における基板からのテンプレートの代替除去スキームを示す図である。
【図51】
テンプレート・サポート・システムを示す略図である。
【図52】
テンプレートと基板の間のギャップを示す側面図である。

Claims (9)

  1. 第1の表面を備えたボディと、
    第1の表面上の複数の凹所であって、凹所の少なくとも一部のフィーチャのサイズが約250nm未満である複数の凹所と、
    ボディ上の少なくとも1つのアライメント・マークと
    を備えた、活性化光に対して実質的に透明な転写リソグラフィ・テンプレート。
  2. 請求項1に記載の転写リソグラフィ・テンプレートを使用して形成されたデバイス。
  3. 第1の表面を備えたボディと、
    第1の表面上の複数の凹所であって、凹所の少なくとも一部のフィーチャのサイズが約250nm未満である複数の凹所と、
    第1の表面または第2の表面に既知の深さの凹所を備えた少なくとも1つのギャップ・センシング領域と
    を備えた、活性化光に対して実質的に透明な転写リソグラフィ・テンプレート。
  4. 請求項3に記載の転写リソグラフィ・テンプレートを使用して形成されたデバイス。
  5. 活性化光に対して実質的に透明な材料を得るステップと、
    少なくとも一部のフィーチャのサイズが約250nm未満である複数の凹所を材料の第1の表面に形成するステップと、
    材料に少なくとも1つのアライメント・マークを形成するステップと
    を含む、転写リソグラフィ・テンプレートを形成する方法。
  6. 請求項5に記載の方法によって形成された転写リソグラフィ・テンプレート。
  7. 第1の表面を備えたボディと、
    第1の表面上の複数の凹所であって、複数の凹所の少なくとも一部がパターンを形成し、かつ、サイズが約250nm未満の少なくともいくつかのフィーチャを備えた複数の凹所と、
    ボディ上の少なくとも1つのアライメント・マークとを備えた、活性化光に対して実質的に透明なパターン化されたテンプレートを使用して、基板上にパターンを形成する方法であって、
    基板の一部に活性化光硬化液を塗布するステップと、
    パターン化されたテンプレートと基板の間にギャップが生成されるよう、パターン化されたテンプレートと基板を互いに間隔を隔てて位置決めするステップと、
    テンプレートを通して活性化光硬化液に活性化光を照射するステップであって、活性化光を照射することにより、活性化光硬化液が実質的に硬化し、パターン化されたテンプレートのパターンが、硬化した活性化光硬化液中に形成されるステップと、
    パターン化されたテンプレートを硬化した活性化光硬化液から分離するステップとを含む方法。
  8. 請求項7に記載の方法によって製造されたデバイス。
  9. 転写リソグラフィ・テンプレートを受け入れるべく構成された開口を備えたボディと、
    ボディに結合された、活性化光に対して実質的に透明な支持プレートと、
    使用中、転写リソグラフィ・テンプレートの物理寸法を修正するようにボディに結合された少なくとも1つの圧電アクチュエータとを備えた、転写リソグラフィ・テンプレートを保持するためのデバイス。
JP2002566722A 2000-10-12 2001-10-12 室温かつ低圧マイクロおよびナノ転写リソグラフィのためのテンプレート Pending JP2004523906A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US23980800P 2000-10-12 2000-10-12
PCT/US2001/042688 WO2002067055A2 (en) 2000-10-12 2001-10-12 Template for room temperature, low pressure micro- and nano-imprint lithography

Publications (2)

Publication Number Publication Date
JP2004523906A true JP2004523906A (ja) 2004-08-05
JP2004523906A5 JP2004523906A5 (ja) 2005-12-22

Family

ID=22903829

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002566722A Pending JP2004523906A (ja) 2000-10-12 2001-10-12 室温かつ低圧マイクロおよびナノ転写リソグラフィのためのテンプレート

Country Status (7)

Country Link
US (6) US6696220B2 (ja)
EP (2) EP2306242A3 (ja)
JP (1) JP2004523906A (ja)
KR (1) KR101031528B1 (ja)
CN (1) CN100365507C (ja)
AU (1) AU2001297642A1 (ja)
WO (1) WO2002067055A2 (ja)

Cited By (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006510223A (ja) * 2002-12-13 2006-03-23 モレキュラー・インプリンツ・インコーポレーテッド 基板の面曲がりを使用する倍率補正
JP2006514428A (ja) * 2002-12-12 2006-04-27 モレキュラー・インプリンツ・インコーポレーテッド 液体の形状を使用して基板の特性を求める方法及びシステム
JP2006516065A (ja) * 2002-08-01 2006-06-15 モレキュラー・インプリンツ・インコーポレーテッド インプリント・リソグラフィの散乱計測アラインメント
JP2006521682A (ja) * 2003-03-27 2006-09-21 コリア・インスティテュート・オブ・マシナリー・アンド・マテリアルズ 多重浮彫要素スタンプと選択的付加圧力を利用したuvナノインプリントリソグラフィ法
JP2007027361A (ja) * 2005-07-15 2007-02-01 Toppan Printing Co Ltd インプリント用モールド
JP2007504683A (ja) * 2003-05-14 2007-03-01 モレキュラー・インプリンツ・インコーポレーテッド インプリント・リソグラフィ・プロセス中にテンプレートを移動させるための方法、システム、ホルダ、アセンブリ
JP2007509769A (ja) * 2003-10-02 2007-04-19 モレキュラー・インプリンツ・インコーポレーテッド 単一位相流体インプリント・リソグラフィ法
JP2007139752A (ja) * 2005-10-18 2007-06-07 Canon Inc パターン形成装置、パターン形成方法およびパターン形成用モールド
JP2007200953A (ja) * 2006-01-24 2007-08-09 Hitachi Ltd パターン形成方法およびパターン形成装置
JP2007230229A (ja) * 2006-02-01 2007-09-13 Canon Inc インプリント用モールド、該モールドによる構造体の製造方法、部材の製造方法
JP2007305895A (ja) * 2006-05-15 2007-11-22 Apic Yamada Corp インプリント方法およびナノ・インプリント装置
JP2008501245A (ja) * 2004-06-01 2008-01-17 モレキュラー・インプリンツ・インコーポレーテッド ナノスケール製造のためのボディの動きを制御する方法およびシステム
JP2008098633A (ja) * 2006-10-06 2008-04-24 Asml Netherlands Bv インプリントリソグラフィ
JP2008119870A (ja) * 2006-11-09 2008-05-29 Toppan Printing Co Ltd インプリントモールド
JP2009508707A (ja) * 2005-06-13 2009-03-05 コリア・インスティテュート・オブ・マシナリー・アンド・メタルズ 均一圧でパターン形成可能なインプリント装置
JP2009141328A (ja) * 2007-10-11 2009-06-25 Asml Netherlands Bv インプリントリソグラフィ
JP2009184361A (ja) * 2005-06-08 2009-08-20 Canon Inc モールド、パターン転写装置、及びパターン形成方法
JP2009532245A (ja) * 2006-04-03 2009-09-10 モレキュラー・インプリンツ・インコーポレーテッド リソグラフィ・インプリント・システム
WO2010090269A1 (ja) * 2009-02-05 2010-08-12 旭硝子株式会社 光硬化性組成物および表面に微細パターンを有する成形体の製造方法
JP2010245094A (ja) * 2009-04-01 2010-10-28 Toshiba Corp テンプレート及び半導体装置の製造方法
JP2010272860A (ja) * 2009-05-19 2010-12-02 Asml Netherlands Bv インプリントリソグラフィ装置
JP2011035408A (ja) * 2010-08-30 2011-02-17 Canon Inc インプリント装置およびデバイス製造方法
JP2011521438A (ja) * 2008-02-08 2011-07-21 モレキュラー・インプリンツ・インコーポレーテッド インプリント・リソグラフィにおけるはみ出し低減
JP2011520641A (ja) * 2008-04-01 2011-07-21 モレキュラー・インプリンツ・インコーポレーテッド 大面積ロールツーロール・インプリント・リソグラフィ
JP2011171471A (ja) * 2010-02-18 2011-09-01 Dainippon Printing Co Ltd ナノインプリント方法およびそれに用いるモールド
JP2011181944A (ja) * 2006-04-18 2011-09-15 Canon Inc インプリント方法およびインプリント装置
WO2012017530A1 (ja) * 2010-08-04 2012-02-09 旭硝子株式会社 光硬化性組成物および表面に微細パターンを有する成形体の製造方法
JP2012049152A (ja) * 2010-07-30 2012-03-08 Agc Seimi Chemical Co Ltd 光硬化性組成物および表面に微細パターンを有する成形体の製造方法
JP2012506618A (ja) * 2008-10-21 2012-03-15 モレキュラー・インプリンツ・インコーポレーテッド 基板からテンプレートを分離する際の応力の低減
JP2013074258A (ja) * 2011-09-29 2013-04-22 Fujifilm Corp ナノインプリント方法およびそれに用いられるナノインプリント装置並びにパターン化基板の製造方法
JP2014103421A (ja) * 2004-12-23 2014-06-05 Asml Netherlands Bv インプリント・リソグラフィ
US9136794B2 (en) 2011-06-22 2015-09-15 Research Triangle Institute, International Bipolar microelectronic device
WO2016021223A1 (ja) * 2013-09-19 2016-02-11 大日本印刷株式会社 インプリント方法およびインプリントモールドの製造方法
WO2018135099A1 (ja) * 2017-01-18 2018-07-26 綜研化学株式会社 ハードコートフィルム及びその製造方法
JP2019035754A (ja) * 2017-08-17 2019-03-07 マルコ・ジステマナリゼ・ウント・エントヴィックルング・ゲーエムベーハー 計量装置
JP2020537603A (ja) * 2017-10-17 2020-12-24 マジック リープ, インコーポレイテッドMagic Leap,Inc. ポリマー製品を成型する方法および装置

Families Citing this family (419)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2360271A1 (en) 1998-06-24 2011-08-24 Illumina, Inc. Decoding of array sensors with microspheres
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US7432634B2 (en) 2000-10-27 2008-10-07 Board Of Regents, University Of Texas System Remote center compliant flexure device
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
AU2001273491A1 (en) * 2000-07-16 2002-02-05 Board Of Regents, The University Of Texas System High-resolution overlay alignment methods and systems for imprint lithography
US6696220B2 (en) * 2000-10-12 2004-02-24 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro-and nano-imprint lithography
US20050160011A1 (en) * 2004-01-20 2005-07-21 Molecular Imprints, Inc. Method for concurrently employing differing materials to form a layer on a substrate
KR100827741B1 (ko) * 2000-07-17 2008-05-07 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 임프린트 리소그래피 공정을 위한 자동 유체 분배 방법 및시스템
US20080164638A1 (en) * 2006-11-28 2008-07-10 Wei Zhang Method and apparatus for rapid imprint lithography
EP1309897A2 (en) * 2000-08-01 2003-05-14 Board Of Regents, The University Of Texas System Methods for high-precision gap and orientation sensing between a transparent template and substrate for imprint lithography
EP1390975A2 (en) * 2000-08-21 2004-02-25 The Board Of Regents, The University Of Texas System Flexure based translation stage
US20060005657A1 (en) * 2004-06-01 2006-01-12 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
US6632536B2 (en) * 2000-12-28 2003-10-14 International Business Machines Corporation Self-assembled monolayer etch barrier for indium-tin-oxide useful in manufacturing thin film transistor-liquid crystal displays
AU2002255515A1 (en) * 2001-02-05 2002-08-19 Board Of Regents, The University Of Texas System The use of mesoscale self-assembly and recognition to effect delivery of sensing reagent for arrayed sensors
US6964793B2 (en) * 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
US20050064344A1 (en) * 2003-09-18 2005-03-24 University Of Texas System Board Of Regents Imprint lithography templates having alignment marks
JP2003218658A (ja) * 2002-01-17 2003-07-31 Nec Corp 弾性表面波素子及び半導体装置の製造方法
US6653030B2 (en) * 2002-01-23 2003-11-25 Hewlett-Packard Development Company, L.P. Optical-mechanical feature fabrication during manufacture of semiconductors and other micro-devices and nano-devices that include micron and sub-micron features
DE10211052A1 (de) * 2002-03-13 2003-10-23 Fresenius Medical Care De Gmbh Hohlfaser-Spinndüse
US7037639B2 (en) * 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US20030235787A1 (en) * 2002-06-24 2003-12-25 Watts Michael P.C. Low viscosity high resolution patterning material
US20080160129A1 (en) * 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US7179079B2 (en) 2002-07-08 2007-02-20 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US6926929B2 (en) * 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
JP4209162B2 (ja) * 2002-09-20 2009-01-14 株式会社ニコン 押圧装置および相転移型双晶を有する水晶の製造方法
EP1542045B1 (en) * 2002-09-20 2011-07-20 Toppan Printing Co., Ltd. Method of manufacturing an optical waveguide
US7179333B2 (en) * 2002-09-23 2007-02-20 Computrol, Inc. Closure sealant dispenser
US8349241B2 (en) * 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
KR100495055B1 (ko) * 2002-10-10 2005-06-14 엘지전자 주식회사 자외선 경화수지의 패턴 형성 장치 및 방법
US6916511B2 (en) * 2002-10-24 2005-07-12 Hewlett-Packard Development Company, L.P. Method of hardening a nano-imprinting stamp
US7378347B2 (en) * 2002-10-28 2008-05-27 Hewlett-Packard Development Company, L.P. Method of forming catalyst nanoparticles for nanowire growth and other applications
US7641840B2 (en) * 2002-11-13 2010-01-05 Molecular Imprints, Inc. Method for expelling gas positioned between a substrate and a mold
US6980282B2 (en) * 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
US6929762B2 (en) * 2002-11-13 2005-08-16 Molecular Imprints, Inc. Method of reducing pattern distortions during imprint lithography processes
WO2004045858A1 (en) * 2002-11-21 2004-06-03 Council Of Scientific And Industrial Research Colored nanolithography on glass and plastic substrates
GB0227902D0 (en) * 2002-11-29 2003-01-08 Ingenia Holdings Ltd Template
US20040112862A1 (en) * 2002-12-12 2004-06-17 Molecular Imprints, Inc. Planarization composition and method of patterning a substrate using the same
US7365103B2 (en) * 2002-12-12 2008-04-29 Board Of Regents, The University Of Texas System Compositions for dark-field polymerization and method of using the same for imprint lithography processes
GB0229191D0 (en) * 2002-12-14 2003-01-22 Plastic Logic Ltd Embossing of polymer devices
EP1443344A1 (en) * 2003-01-29 2004-08-04 Heptagon Oy Manufacturing micro-structured elements
WO2005029179A2 (en) * 2003-02-13 2005-03-31 The Regents Of The University Of Michigan Combined nanoimprinting and photolithography for micro and nano devices fabrication
JP3910926B2 (ja) * 2003-02-26 2007-04-25 株式会社東芝 表示装置用透明基板の製造方法
US7452574B2 (en) * 2003-02-27 2008-11-18 Molecular Imprints, Inc. Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer
US20040168613A1 (en) * 2003-02-27 2004-09-02 Molecular Imprints, Inc. Composition and method to form a release layer
DE10311855B4 (de) * 2003-03-17 2005-04-28 Infineon Technologies Ag Anordnung zum Übertragen von Informationen/Strukturen auf Wafer unter Verwendung eines Stempels
US20040183220A1 (en) * 2003-03-18 2004-09-23 Avinash Dalmia Ultra thin layer coating using self-assembled molecules as a separating layer for diffraction grating application
US7122079B2 (en) * 2004-02-27 2006-10-17 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US7186656B2 (en) * 2004-05-21 2007-03-06 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US7179396B2 (en) * 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
US20040209123A1 (en) * 2003-04-17 2004-10-21 Bajorek Christopher H. Method of fabricating a discrete track recording disk using a bilayer resist for metal lift-off
US20050064452A1 (en) * 2003-04-25 2005-03-24 Schmid Matthew J. System and method for the detection of analytes
US6805054B1 (en) * 2003-05-14 2004-10-19 Molecular Imprints, Inc. Method, system and holder for transferring templates during imprint lithography processes
US6951173B1 (en) 2003-05-14 2005-10-04 Molecular Imprints, Inc. Assembly and method for transferring imprint lithography templates
US9317922B2 (en) 2003-05-16 2016-04-19 Board Of Regents The University Of Texas System Image and part recognition technology
US7651850B2 (en) * 2003-05-16 2010-01-26 Board Of Regents, The University Of Texas System Image and part recognition technology
TW571087B (en) * 2003-06-02 2004-01-11 Chen-Hung He Method and system for monitoring the mold strain in nanoimprint lithography technique
US20060108710A1 (en) * 2004-11-24 2006-05-25 Molecular Imprints, Inc. Method to reduce adhesion between a conformable region and a mold
US7307118B2 (en) * 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US20050160934A1 (en) 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US7157036B2 (en) * 2003-06-17 2007-01-02 Molecular Imprints, Inc Method to reduce adhesion between a conformable region and a pattern of a mold
TW200503167A (en) * 2003-06-20 2005-01-16 Matsushita Electric Ind Co Ltd Manufacturing method of semiconductor device
DE10330456B9 (de) * 2003-07-05 2007-11-08 Erich Thallner Vorrichtung zum Erstellen einer Oberflächenstruktur auf einem Wafer
US7150622B2 (en) * 2003-07-09 2006-12-19 Molecular Imprints, Inc. Systems for magnification and distortion correction for imprint lithography processes
TWI220267B (en) * 2003-07-31 2004-08-11 Univ Nat Cheng Kung Manufacturing method of transferring pattern with high aspect ratio
DE10343323A1 (de) * 2003-09-11 2005-04-07 Carl Zeiss Smt Ag Stempellithografieverfahren sowie Vorrichtung und Stempel für die Stempellithografie
EP1694731B1 (en) 2003-09-23 2012-03-28 University Of North Carolina At Chapel Hill Photocurable perfluoropolyethers for use as novel materials in microfluidic devices
US7136150B2 (en) * 2003-09-25 2006-11-14 Molecular Imprints, Inc. Imprint lithography template having opaque alignment marks
US8211214B2 (en) * 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US7557433B2 (en) 2004-10-25 2009-07-07 Mccain Joseph H Microelectronic device with integrated energy source
US7261830B2 (en) * 2003-10-16 2007-08-28 Molecular Imprints, Inc. Applying imprinting material to substrates employing electromagnetic fields
US7122482B2 (en) 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
US20050098534A1 (en) * 2003-11-12 2005-05-12 Molecular Imprints, Inc. Formation of conductive templates employing indium tin oxide
US20050106321A1 (en) * 2003-11-14 2005-05-19 Molecular Imprints, Inc. Dispense geometery to achieve high-speed filling and throughput
EP1538482B1 (en) 2003-12-05 2016-02-17 Obducat AB Device and method for large area lithography
US7153360B2 (en) * 2003-12-16 2006-12-26 Hewlett-Packard Development Company, Lp. Template and methods for forming photonic crystals
KR20120105062A (ko) 2003-12-19 2012-09-24 더 유니버시티 오브 노쓰 캐롤라이나 엣 채플 힐 소프트 또는 임프린트 리소그래피를 이용하여 분리된 마이크로- 및 나노- 구조를 제작하는 방법
US9040090B2 (en) * 2003-12-19 2015-05-26 The University Of North Carolina At Chapel Hill Isolated and fixed micro and nano structures and methods thereof
KR100595515B1 (ko) * 2003-12-31 2006-07-03 엘지전자 주식회사 미세 구조물 성형용 금형 및 미세 구조 성형용 금형의단층막 이형제 코팅 방법
US7255805B2 (en) * 2004-01-12 2007-08-14 Hewlett-Packard Development Company, L.P. Photonic structures, devices, and methods
US20050151282A1 (en) * 2004-01-13 2005-07-14 Harper Bruce M. Workpiece handler and alignment assembly
US20050156353A1 (en) * 2004-01-15 2005-07-21 Watts Michael P. Method to improve the flow rate of imprinting material
US20050158419A1 (en) * 2004-01-15 2005-07-21 Watts Michael P. Thermal processing system for imprint lithography
US7686606B2 (en) 2004-01-20 2010-03-30 Wd Media, Inc. Imprint embossing alignment system
US7329114B2 (en) * 2004-01-20 2008-02-12 Komag, Inc. Isothermal imprint embossing system
US20050155554A1 (en) * 2004-01-20 2005-07-21 Saito Toshiyuki M. Imprint embossing system
US9039401B2 (en) 2006-02-27 2015-05-26 Microcontinuum, Inc. Formation of pattern replicating tools
US9307648B2 (en) 2004-01-21 2016-04-05 Microcontinuum, Inc. Roll-to-roll patterning of transparent and metallic layers
WO2005084191A2 (en) * 2004-02-13 2005-09-15 The University Of North Carolina At Chapel Hill Functional materials and novel methods for the fabrication of microfluidic devices
KR100585951B1 (ko) * 2004-02-18 2006-06-01 한국기계연구원 조합/분리형 독립구동이 가능한 복수 개의 모듈을 갖는 임프린팅 장치
US7019835B2 (en) * 2004-02-19 2006-03-28 Molecular Imprints, Inc. Method and system to measure characteristics of a film disposed on a substrate
US8076386B2 (en) * 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US7906180B2 (en) 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US20050189676A1 (en) * 2004-02-27 2005-09-01 Molecular Imprints, Inc. Full-wafer or large area imprinting with multiple separated sub-fields for high throughput lithography
US7730834B2 (en) * 2004-03-04 2010-06-08 Asml Netherlands B.V. Printing apparatus and device manufacturing method
US7435074B2 (en) * 2004-03-13 2008-10-14 International Business Machines Corporation Method for fabricating dual damascence structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascence patterning
US7229266B2 (en) * 2004-03-23 2007-06-12 Komag, Inc. Press die alignment
JP4481698B2 (ja) * 2004-03-29 2010-06-16 キヤノン株式会社 加工装置
WO2005097470A1 (ja) * 2004-03-30 2005-10-20 Pioneer Corporation パターン転写装置及びパターン転写方法
DE102004028851B4 (de) * 2004-03-31 2006-04-13 Infineon Technologies Ag Verfahren und Vorrichtung zum Vermessen eines Oberflächenprofils einer Probe
US20050230882A1 (en) * 2004-04-19 2005-10-20 Molecular Imprints, Inc. Method of forming a deep-featured template employed in imprint lithography
US8235302B2 (en) * 2004-04-20 2012-08-07 Nanolnk, Inc. Identification features
US7140861B2 (en) * 2004-04-27 2006-11-28 Molecular Imprints, Inc. Compliant hard template for UV imprinting
EP1594001B1 (en) 2004-05-07 2015-12-30 Obducat AB Device and method for imprint lithography
US20050253307A1 (en) * 2004-05-11 2005-11-17 Molecualr Imprints, Inc. Method of patterning a conductive layer on a substrate
US7307697B2 (en) * 2004-05-28 2007-12-11 Board Of Regents, The University Of Texas System Adaptive shape substrate support system
US20050276919A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method for dispensing a fluid on a substrate
US20050275311A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Compliant device for nano-scale manufacturing
US7768624B2 (en) * 2004-06-03 2010-08-03 Board Of Regents, The University Of Texas System Method for obtaining force combinations for template deformation using nullspace and methods optimization techniques
ATE477515T1 (de) * 2004-06-03 2010-08-15 Molecular Imprints Inc Fluidausgabe und tropfenausgabe nach bedarf für die herstellung im nanobereich
WO2005121903A2 (en) * 2004-06-03 2005-12-22 Board Of Regents, The University Of Texas System System and method for improvement of alignment and overlay for microlithography
US20050270516A1 (en) * 2004-06-03 2005-12-08 Molecular Imprints, Inc. System for magnification and distortion correction during nano-scale manufacturing
JP4574240B2 (ja) * 2004-06-11 2010-11-04 キヤノン株式会社 加工装置、加工方法、デバイス製造方法
US20070228593A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Residual Layer Thickness Measurement and Correction
US7785526B2 (en) * 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US20060017876A1 (en) * 2004-07-23 2006-01-26 Molecular Imprints, Inc. Displays and method for fabricating displays
US8088293B2 (en) * 2004-07-29 2012-01-03 Micron Technology, Inc. Methods of forming reticles configured for imprint lithography
TWI340875B (en) * 2004-08-10 2011-04-21 Asml Netherlands Bv Imprint lithographic apparatus, device manufacturing method and device manufactured thereby
US7105452B2 (en) * 2004-08-13 2006-09-12 Molecular Imprints, Inc. Method of planarizing a semiconductor substrate with an etching chemistry
US7309225B2 (en) * 2004-08-13 2007-12-18 Molecular Imprints, Inc. Moat system for an imprint lithography template
US7939131B2 (en) * 2004-08-16 2011-05-10 Molecular Imprints, Inc. Method to provide a layer with uniform etch characteristics
US7282550B2 (en) * 2004-08-16 2007-10-16 Molecular Imprints, Inc. Composition to provide a layer with uniform etch characteristics
US7252862B2 (en) * 2004-08-30 2007-08-07 Hewlett-Packard Development Company, L.P. Increasing adhesion in an imprinting procedure
US20070164476A1 (en) * 2004-09-01 2007-07-19 Wei Wu Contact lithography apparatus and method employing substrate deformation
US7641468B2 (en) * 2004-09-01 2010-01-05 Hewlett-Packard Development Company, L.P. Imprint lithography apparatus and method employing an effective pressure
US7041604B2 (en) * 2004-09-21 2006-05-09 Molecular Imprints, Inc. Method of patterning surfaces while providing greater control of recess anisotropy
US7252777B2 (en) * 2004-09-21 2007-08-07 Molecular Imprints, Inc. Method of forming an in-situ recessed structure
US7241395B2 (en) * 2004-09-21 2007-07-10 Molecular Imprints, Inc. Reverse tone patterning on surfaces having planarity perturbations
US7205244B2 (en) * 2004-09-21 2007-04-17 Molecular Imprints Patterning substrates employing multi-film layers defining etch-differential interfaces
US7547504B2 (en) * 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
US20060062922A1 (en) * 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US7492979B2 (en) * 2004-09-27 2009-02-17 Hewlett-Packard Development Company, L.P. Photonic crystal laser sensors and methods
US7244386B2 (en) 2004-09-27 2007-07-17 Molecular Imprints, Inc. Method of compensating for a volumetric shrinkage of a material disposed upon a substrate to form a substantially planar structure therefrom
JP2006106263A (ja) * 2004-10-04 2006-04-20 Fujinon Sano Kk 光学素子の製造方法
US7654816B2 (en) 2004-10-07 2010-02-02 Hewlett-Packard Development Company, L.P. Lithographic mask alignment
US20060081557A1 (en) * 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
US8721952B2 (en) * 2004-11-16 2014-05-13 International Business Machines Corporation Pneumatic method and apparatus for nano imprint lithography having a conforming mask
US7344955B2 (en) * 2004-11-19 2008-03-18 International Business Machines Corporation Cut-and-paste imprint lithographic mold and method therefor
KR101275478B1 (ko) * 2004-11-22 2013-06-14 스미토모덴키고교가부시키가이샤 가공방법, 가공장치 및 그 방법에 의해 제조된 미세구조체
US20070231421A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Enhanced Multi Channel Alignment
US7630067B2 (en) 2004-11-30 2009-12-08 Molecular Imprints, Inc. Interferometric analysis method for the manufacture of nano-scale devices
US7292326B2 (en) * 2004-11-30 2007-11-06 Molecular Imprints, Inc. Interferometric analysis for the manufacture of nano-scale devices
US7295288B1 (en) * 2004-12-01 2007-11-13 Advanced Micro Devices, Inc. Systems and methods of imprint lithography with adjustable mask
WO2006060758A2 (en) * 2004-12-01 2006-06-08 Molecular Imprints, Inc. Methods of exposure for the purpose of thermal management for imprint lithography processes
WO2006060757A2 (en) * 2004-12-01 2006-06-08 Molecular Imprints, Inc. Eliminating printability of sub-resolution defects in imprint lithography
US7281919B2 (en) 2004-12-07 2007-10-16 Molecular Imprints, Inc. System for controlling a volume of material on a mold
JP2006165371A (ja) 2004-12-09 2006-06-22 Canon Inc 転写装置およびデバイス製造方法
KR100633019B1 (ko) * 2004-12-24 2006-10-12 한국기계연구원 미세 임프린트 리소그래피 공정에서 스탬프와 기판의이격공정 및 그 장치
US20060144814A1 (en) * 2004-12-30 2006-07-06 Asml Netherlands B.V. Imprint lithography
US7686970B2 (en) * 2004-12-30 2010-03-30 Asml Netherlands B.V. Imprint lithography
US7490547B2 (en) * 2004-12-30 2009-02-17 Asml Netherlands B.V. Imprint lithography
US20060144274A1 (en) * 2004-12-30 2006-07-06 Asml Netherlands B.V. Imprint lithography
US20060145398A1 (en) * 2004-12-30 2006-07-06 Board Of Regents, The University Of Texas System Release layer comprising diamond-like carbon (DLC) or doped DLC with tunable composition for imprint lithography templates and contact masks
US7354698B2 (en) * 2005-01-07 2008-04-08 Asml Netherlands B.V. Imprint lithography
FI20055022A (fi) * 2005-01-17 2006-07-18 Avantone Oy Menetelmä ja laitteisto stanssauksessa sekä stanssauskone
US20060157898A1 (en) * 2005-01-18 2006-07-20 International Business Machines Corporation Imprint reference template for multilayer or multipattern registration and method therefor
US7796800B2 (en) * 2005-01-28 2010-09-14 Hewlett-Packard Development Company, L.P. Determining a dimensional change in a surface using images acquired before and after the dimensional change
US7635263B2 (en) * 2005-01-31 2009-12-22 Molecular Imprints, Inc. Chucking system comprising an array of fluid chambers
US20060169592A1 (en) * 2005-01-31 2006-08-03 Hewlett-Packard Development Company, L.P. Periodic layered structures and methods therefor
US20060177535A1 (en) * 2005-02-04 2006-08-10 Molecular Imprints, Inc. Imprint lithography template to facilitate control of liquid movement
US7636999B2 (en) * 2005-01-31 2009-12-29 Molecular Imprints, Inc. Method of retaining a substrate to a wafer chuck
US7798801B2 (en) * 2005-01-31 2010-09-21 Molecular Imprints, Inc. Chucking system for nano-manufacturing
CN101156107B (zh) * 2005-02-03 2010-11-24 北卡罗来纳大学查珀尔希尔分校 用于液晶显示器的低表面能聚合物材料
US7922474B2 (en) * 2005-02-17 2011-04-12 Asml Netherlands B.V. Imprint lithography
US7277619B2 (en) * 2005-03-04 2007-10-02 Hewlett-Packard Development Company, L.P. Nano-imprinted photonic crystal waveguide
KR100729427B1 (ko) * 2005-03-07 2007-06-15 주식회사 디엠에스 미세패턴 형성장치
US7523701B2 (en) * 2005-03-07 2009-04-28 Asml Netherlands B.V. Imprint lithography method and apparatus
EP1864185A4 (en) * 2005-03-08 2011-03-23 Forskarpatent I Linkoeping Ab MICROSTRUCTURES AND NANOSTRUCTURES IN ELASTOMERIC MATERIAL
US7762186B2 (en) * 2005-04-19 2010-07-27 Asml Netherlands B.V. Imprint lithography
US7611348B2 (en) * 2005-04-19 2009-11-03 Asml Netherlands B.V. Imprint lithography
US20070228608A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Preserving Filled Features when Vacuum Wiping
US7767129B2 (en) * 2005-05-11 2010-08-03 Micron Technology, Inc. Imprint templates for imprint lithography, and methods of patterning a plurality of substrates
US7442029B2 (en) * 2005-05-16 2008-10-28 Asml Netherlands B.V. Imprint lithography
US8076227B2 (en) * 2005-05-19 2011-12-13 The Invention Science Fund I, Llc Electroactive polymers for lithography
US7435514B2 (en) 2005-05-19 2008-10-14 Searete Llc Active mask lithography
US8872135B2 (en) * 2005-05-19 2014-10-28 The Invention Science Fund I, Llc Electroactive polymers for lithography
US7473499B2 (en) * 2005-05-19 2009-01-06 Searete Llc Electroactive polymers for lithography
US7993800B2 (en) * 2005-05-19 2011-08-09 The Invention Science Fund I, Llc Multilayer active mask lithography
US20060266916A1 (en) * 2005-05-25 2006-11-30 Molecular Imprints, Inc. Imprint lithography template having a coating to reflect and/or absorb actinic energy
US7692771B2 (en) * 2005-05-27 2010-04-06 Asml Netherlands B.V. Imprint lithography
US7708924B2 (en) * 2005-07-21 2010-05-04 Asml Netherlands B.V. Imprint lithography
US20060267231A1 (en) * 2005-05-27 2006-11-30 Asml Netherlands B.V. Imprint lithography
US7418902B2 (en) * 2005-05-31 2008-09-02 Asml Netherlands B.V. Imprint lithography including alignment
EP1731960A1 (en) * 2005-06-07 2006-12-13 Obducat AB Apparatus and method for separating a composite
JP3958344B2 (ja) * 2005-06-07 2007-08-15 キヤノン株式会社 インプリント装置、インプリント方法及びチップの製造方法
US7377764B2 (en) * 2005-06-13 2008-05-27 Asml Netherlands B.V. Imprint lithography
US7629259B2 (en) 2005-06-21 2009-12-08 Lam Research Corporation Method of aligning a reticle for formation of semiconductor devices
US7256131B2 (en) * 2005-07-19 2007-08-14 Molecular Imprints, Inc. Method of controlling the critical dimension of structures formed on a substrate
US8557351B2 (en) 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
US7759407B2 (en) * 2005-07-22 2010-07-20 Molecular Imprints, Inc. Composition for adhering materials together
US8808808B2 (en) 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
US20070023976A1 (en) * 2005-07-26 2007-02-01 Asml Netherlands B.V. Imprint lithography
WO2007133235A2 (en) * 2005-08-08 2007-11-22 Liquidia Technologies, Inc. Micro and nano-structure metrology
EP2537657A3 (en) 2005-08-09 2016-05-04 The University of North Carolina At Chapel Hill Methods and materials for fabricating microfluidic devices
US8202075B2 (en) * 2005-08-12 2012-06-19 Canon Kabushiki Kaisha Imprint apparatus and imprint method
US20070074635A1 (en) * 2005-08-25 2007-04-05 Molecular Imprints, Inc. System to couple a body and a docking plate
US20070064384A1 (en) * 2005-08-25 2007-03-22 Molecular Imprints, Inc. Method to transfer a template transfer body between a motion stage and a docking plate
US7665981B2 (en) * 2005-08-25 2010-02-23 Molecular Imprints, Inc. System to transfer a template transfer body between a motion stage and a docking plate
US8011916B2 (en) * 2005-09-06 2011-09-06 Canon Kabushiki Kaisha Mold, imprint apparatus, and process for producing structure
JP4330168B2 (ja) * 2005-09-06 2009-09-16 キヤノン株式会社 モールド、インプリント方法、及びチップの製造方法
EP1764648B1 (de) * 2005-09-14 2012-05-23 Thallner, Erich, Dipl.-Ing. Stempel mit einer Nanostempelstruktur sowie Vorrichtung und Verfahren zu dessen Herstellung
US20100215909A1 (en) * 2005-09-15 2010-08-26 Macdonald Susan S Photomask for the Fabrication of a Dual Damascene Structure and Method for Forming the Same
US7670534B2 (en) 2005-09-21 2010-03-02 Molecular Imprints, Inc. Method to control an atmosphere between a body and a substrate
US7491049B2 (en) * 2005-09-30 2009-02-17 Hon Hai Precision Industry Co., Ltd. Apparatus for hot embossing lithography
US8142703B2 (en) 2005-10-05 2012-03-27 Molecular Imprints, Inc. Imprint lithography method
JP4533358B2 (ja) * 2005-10-18 2010-09-01 キヤノン株式会社 インプリント方法、インプリント装置およびチップの製造方法
US8011915B2 (en) 2005-11-04 2011-09-06 Asml Netherlands B.V. Imprint lithography
US7878791B2 (en) * 2005-11-04 2011-02-01 Asml Netherlands B.V. Imprint lithography
US7803308B2 (en) * 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
US7906058B2 (en) * 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US20070125475A1 (en) * 2005-12-05 2007-06-07 Huber Engineered Woods Llc Handheld tape applicator and components thereof, and their methods of use
US7670530B2 (en) * 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
KR101324549B1 (ko) * 2005-12-08 2013-11-01 몰레큘러 임프린츠 인코퍼레이티드 기판의 양면 패턴화를 위한 방법 및 시스템
EP1795497B1 (en) * 2005-12-09 2012-03-14 Obducat AB Apparatus and method for transferring a pattern with intermediate stamp
US7517211B2 (en) * 2005-12-21 2009-04-14 Asml Netherlands B.V. Imprint lithography
US20070138699A1 (en) 2005-12-21 2007-06-21 Asml Netherlands B.V. Imprint lithography
US7943080B2 (en) * 2005-12-23 2011-05-17 Asml Netherlands B.V. Alignment for imprint lithography
US7500431B2 (en) * 2006-01-12 2009-03-10 Tsai-Wei Wu System, method, and apparatus for membrane, pad, and stamper architecture for uniform base layer and nanoimprinting pressure
US7690910B2 (en) 2006-02-01 2010-04-06 Canon Kabushiki Kaisha Mold for imprint, process for producing minute structure using the mold, and process for producing the mold
US20070190805A1 (en) * 2006-02-10 2007-08-16 Benjamin Szu-Min Lin Method for improving the alignment accuracy of semiconductor process and method of forming opening
US20090068765A1 (en) * 2006-03-08 2009-03-12 Kenichi Murooka Method of manufacturing semiconductor device and apparatus for manufacturing semiconductor device
US8850980B2 (en) 2006-04-03 2014-10-07 Canon Nanotechnologies, Inc. Tessellated patterns in imprint lithography
US7802978B2 (en) 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
US8142850B2 (en) 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
TW200801794A (en) * 2006-04-03 2008-01-01 Molecular Imprints Inc Method of concurrently patterning a substrate having a plurality of fields and a plurality of alignment marks
US8193591B2 (en) * 2006-04-13 2012-06-05 Freescale Semiconductor, Inc. Transistor and method with dual layer passivation
US7547398B2 (en) * 2006-04-18 2009-06-16 Molecular Imprints, Inc. Self-aligned process for fabricating imprint templates containing variously etched features
US8012395B2 (en) * 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
JP4814682B2 (ja) 2006-04-18 2011-11-16 株式会社日立ハイテクノロジーズ 微細構造パターンの転写方法及び転写装置
JP4958614B2 (ja) * 2006-04-18 2012-06-20 キヤノン株式会社 パターン転写装置、インプリント装置、パターン転写方法および位置合わせ装置
US7854867B2 (en) * 2006-04-21 2010-12-21 Molecular Imprints, Inc. Method for detecting a particle in a nanoimprint lithography system
DE102006019962A1 (de) * 2006-04-28 2007-11-08 Infineon Technologies Ag Imprint-Maske und Verfahren zum Ausrichten der Imprint-Maske
KR101261606B1 (ko) 2006-05-09 2013-05-09 삼성디스플레이 주식회사 표시판의 제조 장치 및 제조 방법
US8215946B2 (en) 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
DE102006024524A1 (de) * 2006-05-23 2007-12-06 Von Ardenne Anlagentechnik Gmbh Infrarotstrahlung reflektierendes, transparentes Schichtsystem
WO2007142250A1 (en) 2006-05-31 2007-12-13 Canon Kabushiki Kaisha Gap measuring method, imprint method, and imprint apparatus
US8318253B2 (en) * 2006-06-30 2012-11-27 Asml Netherlands B.V. Imprint lithography
US8015939B2 (en) 2006-06-30 2011-09-13 Asml Netherlands B.V. Imprintable medium dispenser
JP4996150B2 (ja) 2006-07-07 2012-08-08 株式会社日立ハイテクノロジーズ 微細構造転写装置および微細構造転写方法
WO2008011051A1 (en) * 2006-07-17 2008-01-24 Liquidia Technologies, Inc. Nanoparticle fabrication methods, systems, and materials
WO2008014519A2 (en) * 2006-07-28 2008-01-31 Microcontinuum, Inc. Addressable flexible patterns
US8563117B2 (en) * 2006-08-04 2013-10-22 Phillip B. Messersmith Biomimetic modular adhesive complex: materials, methods and applications therefore
KR100831046B1 (ko) * 2006-09-13 2008-05-21 삼성전자주식회사 나노 임프린트용 몰드 및 그 제조 방법
US7780431B2 (en) * 2006-09-14 2010-08-24 Hewlett-Packard Development Company, L.P. Nanoimprint molds and methods of forming the same
JP5027468B2 (ja) * 2006-09-15 2012-09-19 日本ミクロコーティング株式会社 プローブクリーニング用又はプローブ加工用シート、及びプローブ加工方法
JP2010503993A (ja) 2006-09-19 2010-02-04 モレキュラー・インプリンツ・インコーポレーテッド リフトオフ・パターニング向けの向上したエッチング技法
JP4926881B2 (ja) * 2006-09-22 2012-05-09 キヤノン株式会社 インプリント装置およびアライメント方法
JP2008091782A (ja) * 2006-10-04 2008-04-17 Toshiba Corp パターン形成用テンプレート、パターン形成方法、及びナノインプリント装置
US20080090312A1 (en) * 2006-10-17 2008-04-17 Inkyu Park LITHOGRAPHY ALIGNMENT SYSTEM AND METHOD USING nDSE-BASED FEEDBACK CONTROL
US7612882B2 (en) * 2006-10-20 2009-11-03 Hewlett-Packard Development Company, L.P. Optical gratings, lithography tools including such optical gratings and methods for using same for alignment
KR100795242B1 (ko) * 2006-11-03 2008-01-15 학교법인 포항공과대학교 반도체 소자의 게이트 형성 방법 및 그 게이트 구조
US20080110557A1 (en) * 2006-11-15 2008-05-15 Molecular Imprints, Inc. Methods and Compositions for Providing Preferential Adhesion and Release of Adjacent Surfaces
KR100790899B1 (ko) * 2006-12-01 2008-01-03 삼성전자주식회사 얼라인 마크가 형성된 템플릿 및 그 제조 방법
JP5238164B2 (ja) 2007-01-26 2013-07-17 株式会社東芝 パターン形成方法
JP4922774B2 (ja) * 2007-01-26 2012-04-25 株式会社東芝 パターン形成方法及びパターン形成用モールド
US7846345B2 (en) * 2007-01-31 2010-12-07 United Microelectronics Corp. Method of manufacturing an imprinting template using a semiconductor manufacturing process and the imprinting template obtained
US9573319B2 (en) * 2007-02-06 2017-02-21 Canon Kabushiki Kaisha Imprinting method and process for producing a member in which a mold contacts a pattern forming layer
JP5188192B2 (ja) * 2007-02-20 2013-04-24 キヤノン株式会社 モールド、モールドの製造方法、インプリント装置及びインプリント方法、インプリント方法を用いた構造体の製造方法
US8940117B2 (en) 2007-02-27 2015-01-27 Microcontinuum, Inc. Methods and systems for forming flexible multilayer structures
US20080206602A1 (en) * 2007-02-28 2008-08-28 Katine Jordan A Nanoimprinting of topography for patterned magnetic media
JP2008225417A (ja) * 2007-03-16 2008-09-25 Fujitsu Ltd 構造体の製造方法
WO2008118861A2 (en) * 2007-03-23 2008-10-02 The University Of North Carolina At Chapel Hill Discrete size and shape specific organic nanoparticles designed to elicit an immune response
US7749422B2 (en) * 2007-03-30 2010-07-06 International Business Machines Corporation Release layer for imprinted photocationic curable resins
US7641467B2 (en) 2007-05-02 2010-01-05 Asml Netherlands B.V. Imprint lithography
KR100881233B1 (ko) * 2007-05-23 2009-02-05 한국기계연구원 임프린트 리소그래피용 스탬프 및 이를 이용한 임프린트리소그래피방법
US20090115094A1 (en) * 2007-05-29 2009-05-07 Chou Stephen Y Methods for making continuous nanochannels
CN101679568B (zh) 2007-06-20 2012-07-04 旭硝子株式会社 光固化性组合物及表面具有精细图案的成形体的制造方法
US20090013527A1 (en) * 2007-07-11 2009-01-15 International Business Machines Corporation Collapsable connection mold repair method utilizing femtosecond laser pulse lengths
US7837907B2 (en) * 2007-07-20 2010-11-23 Molecular Imprints, Inc. Alignment system and method for a substrate in a nano-imprint process
JP5274128B2 (ja) * 2007-08-03 2013-08-28 キヤノン株式会社 インプリント方法および基板の加工方法
US20090038636A1 (en) * 2007-08-09 2009-02-12 Asml Netherlands B.V. Cleaning method
JP5173311B2 (ja) * 2007-08-09 2013-04-03 キヤノン株式会社 インプリント方法、インプリント装置および半導体製造方法
US7854877B2 (en) 2007-08-14 2010-12-21 Asml Netherlands B.V. Lithography meandering order
US20100204459A1 (en) * 2007-08-27 2010-08-12 The Regents Of The University Of California Systems and methods for producing multi-component colloidal structures
US8144309B2 (en) 2007-09-05 2012-03-27 Asml Netherlands B.V. Imprint lithography
US20100215794A1 (en) * 2007-09-06 2010-08-26 Entire Technology Co., Ltd. Diffusion film molding tool & manufacturing process thereof
KR101051162B1 (ko) * 2007-09-18 2011-07-21 주식회사 하이닉스반도체 나노 임프린트용 장치 및 이를 이용한 반도체 소자의 형성방법
JP4880561B2 (ja) * 2007-10-03 2012-02-22 新光電気工業株式会社 フリップチップ実装装置
FR2922813B1 (fr) * 2007-10-31 2010-04-09 Lyon Ecole Centrale Dispositif et procedes de microtamponnage et tampon pour ce dispositif
JP5727788B2 (ja) * 2007-11-21 2015-06-03 モレキュラー・インプリンツ・インコーポレーテッド ナノインプリント・リソグラフィ用の多孔質テンプレートおよびインプリント用スタック
US7906274B2 (en) * 2007-11-21 2011-03-15 Molecular Imprints, Inc. Method of creating a template employing a lift-off process
US8945444B2 (en) * 2007-12-04 2015-02-03 Canon Nanotechnologies, Inc. High throughput imprint based on contact line motion tracking control
WO2009085286A1 (en) * 2007-12-28 2009-07-09 Molecular Imprints, Inc. Template pattern density doubling
US8114331B2 (en) 2008-01-02 2012-02-14 International Business Machines Corporation Amorphous oxide release layers for imprint lithography, and method of use
US8029716B2 (en) * 2008-02-01 2011-10-04 International Business Machines Corporation Amorphous nitride release layers for imprint lithography, and method of use
US9323143B2 (en) * 2008-02-05 2016-04-26 Canon Nanotechnologies, Inc. Controlling template surface composition in nano-imprint lithography
US20090212012A1 (en) * 2008-02-27 2009-08-27 Molecular Imprints, Inc. Critical dimension control during template formation
US8105736B2 (en) * 2008-03-13 2012-01-31 Miradia Inc. Method and system for overlay correction during photolithography
US8210840B2 (en) * 2008-04-17 2012-07-03 Massachusetts Institute Of Technology Diaphragm flexure with large range and high load capacity
US8795572B2 (en) * 2008-04-17 2014-08-05 Massachusetts Institute Of Technology Symmetric thermocentric flexure with minimal yaw error motion
WO2009145061A1 (ja) 2008-05-29 2009-12-03 旭硝子株式会社 光硬化性組成物および表面に微細パターンを有する成形体の製造方法
US7920329B2 (en) * 2008-06-20 2011-04-05 Aptina Imaging Corporation Embedded lens for achromatic wafer-level optical module and methods of forming the same
US20100015270A1 (en) * 2008-07-15 2010-01-21 Molecular Imprints, Inc. Inner cavity system for nano-imprint lithography
US8043085B2 (en) 2008-08-19 2011-10-25 Asml Netherlands B.V. Imprint lithography
NL2003347A (en) 2008-09-11 2010-03-16 Asml Netherlands Bv Imprint lithography.
US9104948B2 (en) 2008-09-16 2015-08-11 Ramot At Tel-Aviv University Ltd. System and a method for nano imprinting
WO2010032243A1 (en) * 2008-09-16 2010-03-25 Ramot At Tel Aviv University Ltd. A system and a method for nano imprinting
TW201022017A (en) * 2008-09-30 2010-06-16 Molecular Imprints Inc Particle mitigation for imprint lithography
US20100092599A1 (en) * 2008-10-10 2010-04-15 Molecular Imprints, Inc. Complementary Alignment Marks for Imprint Lithography
NL2003380A (en) 2008-10-17 2010-04-20 Asml Netherlands Bv Imprint lithography apparatus and method.
US20100096764A1 (en) * 2008-10-20 2010-04-22 Molecular Imprints, Inc. Gas Environment for Imprint Lithography
US20100095862A1 (en) * 2008-10-22 2010-04-22 Molecular Imprints, Inc. Double Sidewall Angle Nano-Imprint Template
US8877073B2 (en) * 2008-10-27 2014-11-04 Canon Nanotechnologies, Inc. Imprint lithography template
US8628712B2 (en) * 2008-10-27 2014-01-14 Molecular Imprints, Inc. Misalignment management
US9122148B2 (en) * 2008-11-03 2015-09-01 Canon Nanotechnologies, Inc. Master template replication
US20100109195A1 (en) * 2008-11-05 2010-05-06 Molecular Imprints, Inc. Release agent partition control in imprint lithography
US8529778B2 (en) * 2008-11-13 2013-09-10 Molecular Imprints, Inc. Large area patterning of nano-sized shapes
KR20110106287A (ko) * 2008-12-05 2011-09-28 아사히 가라스 가부시키가이샤 광경화성 조성물 및 표면에 미세 패턴을 갖는 성형체의 제조 방법
WO2010071134A1 (ja) 2008-12-15 2010-06-24 旭硝子株式会社 光硬化性材料の製造方法、光硬化性材料および物品
EP2199855B1 (en) * 2008-12-19 2016-07-20 Obducat Methods and processes for modifying polymer material surface interactions
EP2199854B1 (en) * 2008-12-19 2015-12-16 Obducat AB Hybrid polymer mold for nano-imprinting and method for making the same
NL2003871A (en) 2009-02-04 2010-08-05 Asml Netherlands Bv Imprint lithography.
NL2003875A (en) 2009-02-04 2010-08-05 Asml Netherlands Bv Imprint lithography method and apparatus.
JP4825891B2 (ja) 2009-03-31 2011-11-30 株式会社東芝 半導体装置の製造方法およびテンプレート
NL2004265A (en) 2009-04-01 2010-10-04 Asml Netherlands Bv Imprint lithography apparatus and method.
JP5377053B2 (ja) * 2009-04-17 2013-12-25 株式会社東芝 テンプレート及びその製造方法、並びにパターン形成方法
NL2004266A (en) 2009-04-27 2010-10-28 Asml Netherlands Bv An actuator.
US9164375B2 (en) * 2009-06-19 2015-10-20 Canon Nanotechnologies, Inc. Dual zone template chuck
NL2004681A (en) 2009-07-06 2011-01-10 Asml Netherlands Bv Imprint lithography apparatus.
NL2004680A (en) 2009-07-06 2011-01-10 Asml Netherlands Bv Imprint lithography apparatus.
NL2004735A (en) 2009-07-06 2011-01-10 Asml Netherlands Bv Imprint lithography apparatus and method.
NL2004932A (en) 2009-07-27 2011-01-31 Asml Netherlands Bv Imprint lithography template.
NL2004685A (en) 2009-07-27 2011-01-31 Asml Netherlands Bv Imprint lithography apparatus and method.
JP5284212B2 (ja) * 2009-07-29 2013-09-11 株式会社東芝 半導体装置の製造方法
NL2004945A (en) 2009-08-14 2011-02-15 Asml Netherlands Bv Imprint lithography apparatus and method.
NL2004949A (en) 2009-08-21 2011-02-22 Asml Netherlands Bv Inspection method and apparatus.
EP2470956B1 (en) * 2009-08-26 2018-02-14 Molecular Imprints, Inc. Functional nanoparticles
NL2005007A (en) 2009-08-28 2011-03-01 Asml Netherlands Bv Imprint lithography method and apparatus.
NL2005254A (en) 2009-09-22 2011-03-23 Asml Netherlands Bv Imprint lithography method and apparatus.
NL2005259A (en) 2009-09-29 2011-03-30 Asml Netherlands Bv Imprint lithography.
NL2005263A (en) 2009-09-29 2011-03-30 Asml Netherlands Bv Imprint lithography.
NL2005265A (en) 2009-10-07 2011-04-11 Asml Netherlands Bv Imprint lithography apparatus and method.
NL2005266A (en) 2009-10-28 2011-05-02 Asml Netherlands Bv Imprint lithography.
US9330685B1 (en) 2009-11-06 2016-05-03 WD Media, LLC Press system for nano-imprinting of recording media with a two step pressing method
US8402638B1 (en) 2009-11-06 2013-03-26 Wd Media, Inc. Press system with embossing foil free to expand for nano-imprinting of recording media
US8496466B1 (en) 2009-11-06 2013-07-30 WD Media, LLC Press system with interleaved embossing foil holders for nano-imprinting of recording media
JP2011103362A (ja) * 2009-11-10 2011-05-26 Toshiba Corp パターン形成方法
JP5774598B2 (ja) 2009-11-24 2015-09-09 エーエスエムエル ネザーランズ ビー.ブイ. アライメント及びインプリントリソグラフィ
NL2005436A (en) 2009-11-30 2011-05-31 Asml Netherlands Bv Inspection method and apparatus.
US9726973B2 (en) 2009-11-30 2017-08-08 Asml Netherlands B.V. Imprint lithography apparatus and method
NL2005434A (en) 2009-12-18 2011-06-21 Asml Netherlands Bv Imprint lithography.
NL2005735A (en) 2009-12-23 2011-06-27 Asml Netherlands Bv Imprint lithographic apparatus and imprint lithographic method.
US8747092B2 (en) 2010-01-22 2014-06-10 Nanonex Corporation Fast nanoimprinting apparatus using deformale mold
WO2011094672A2 (en) 2010-01-29 2011-08-04 Molecular Imprints, Inc. Nanoimprint lithography processes for forming nanoparticles
KR20120113248A (ko) 2010-02-03 2012-10-12 아사히 가라스 가부시키가이샤 미세 요철 구조를 표면에 갖는 물품의 제조 방법
WO2011097514A2 (en) * 2010-02-05 2011-08-11 Molecular Imprints, Inc. Templates having high contrast alignment marks
KR20110092546A (ko) * 2010-02-09 2011-08-18 삼성전자주식회사 나노 임프린트용 장치 및 이를 이용한 반도체 소자의 형성방법
NL2005865A (en) 2010-02-16 2011-08-17 Asml Netherlands Bv Imprint lithography.
NL2005975A (en) 2010-03-03 2011-09-06 Asml Netherlands Bv Imprint lithography.
NL2005992A (en) 2010-03-22 2011-09-23 Asml Netherlands Bv Imprint lithography.
NL2006004A (en) 2010-03-25 2011-09-27 Asml Netherlands Bv Imprint lithography.
NL2006454A (en) 2010-05-03 2011-11-07 Asml Netherlands Bv Imprint lithography method and apparatus.
US20110272838A1 (en) * 2010-05-06 2011-11-10 Matt Malloy Apparatus, System, and Method for Nanoimprint Template with a Backside Recess Having Tapered Sidewalls
JP2011258605A (ja) * 2010-06-04 2011-12-22 Toshiba Corp パターン形成方法および半導体デバイスの製造方法
NL2006747A (en) 2010-07-26 2012-01-30 Asml Netherlands Bv Imprint lithography alignment method and apparatus.
WO2012016744A1 (en) 2010-08-05 2012-02-09 Asml Netherlands B.V. Imprint lithography
JP5648362B2 (ja) * 2010-08-10 2015-01-07 住友電気工業株式会社 ナノインプリント用モールドの製造方法、ナノインプリント法による樹脂パターンの製造方法、及び、ナノインプリント用モールド
US9310700B2 (en) 2010-08-13 2016-04-12 Asml Netherlands B.V. Lithography method and apparatus
NL2007128A (en) 2010-08-16 2012-02-20 Asml Netherlands Bv Imprint lithography inspection method and apparatus.
JP5481000B2 (ja) 2010-08-26 2014-04-23 エーエスエムエル ネザーランズ ビー.ブイ. インプリントリソグラフィ方法
US20120104660A1 (en) 2010-10-29 2012-05-03 Nanolnk, Inc. Injection molding of micron and nano scale features for pharmaceutical brand protection
JP5982386B2 (ja) 2010-11-05 2016-08-31 モレキュラー・インプリンツ・インコーポレーテッド 非凸形ナノ構造のパターン形成
WO2012061753A2 (en) 2010-11-05 2012-05-10 Molecular Imprints, Inc. Nanoimprint lithography formation of functional nanoparticles using dual release layers
US8845912B2 (en) 2010-11-22 2014-09-30 Microcontinuum, Inc. Tools and methods for forming semi-transparent patterning masks
KR20130006744A (ko) * 2011-04-05 2013-01-18 삼성전자주식회사 마스크의 제조 방법 및 이를 수행하기 위한 장치
CZ2011555A3 (cs) * 2011-09-06 2013-03-13 Active Optix S.R.O. Zpusob vytvárení výrobku s funkcním reliéfním povrchem s vysokým rozlisením
JP5891006B2 (ja) * 2011-11-01 2016-03-22 東京エレクトロン株式会社 光干渉システム、基板処理装置及び計測方法
US8829514B2 (en) 2011-12-14 2014-09-09 E Ink Holdings Inc. Thin film transistor and method for manufacturing the same
US9278857B2 (en) * 2012-01-31 2016-03-08 Seagate Technology Inc. Method of surface tension control to reduce trapped gas bubbles
JP5661666B2 (ja) 2012-02-29 2015-01-28 株式会社東芝 パターン形成装置及び半導体装置の製造方法
US20130292879A1 (en) 2012-05-02 2013-11-07 Nanoink, Inc. Molding of micron and nano scale features
CN102929100B (zh) * 2012-11-22 2014-11-19 南昌欧菲光纳米科技有限公司 一种可对准卷对卷uv成型的装置及方法
KR20150112945A (ko) * 2013-01-24 2015-10-07 소켄 케미칼 앤드 엔지니어링 캄파니, 리미티드 광투과형 임프린트용 몰드, 대면적 몰드의 제조방법
US9426886B2 (en) 2013-01-30 2016-08-23 Seagate Technology Llc Electrical connection with reduced topography
US9385089B2 (en) 2013-01-30 2016-07-05 Seagate Technology Llc Alignment mark recovery with reduced topography
US9343089B2 (en) * 2013-03-08 2016-05-17 Seagate Technology Llc Nanoimprint lithography for thin film heads
WO2014145826A2 (en) 2013-03-15 2014-09-18 Nanonex Corporation System and methods of mold/substrate separation for imprint lithography
US10105883B2 (en) 2013-03-15 2018-10-23 Nanonex Corporation Imprint lithography system and method for manufacturing
CN103116242B (zh) * 2013-03-15 2014-10-01 南京大学 一种无需对准纳米压印制备异质结构的方法
US9589797B2 (en) 2013-05-17 2017-03-07 Microcontinuum, Inc. Tools and methods for producing nanoantenna electronic devices
KR102056902B1 (ko) 2013-05-29 2019-12-18 삼성전자주식회사 와이어 그리드 편광판 및 이를 구비하는 액정 표시패널 및 액정 표시장치
KR102089661B1 (ko) 2013-08-27 2020-03-17 삼성전자주식회사 와이어 그리드 편광판 및 이를 구비하는 액정 표시패널 및 액정 표시장치
JP6363838B2 (ja) * 2014-01-08 2018-07-25 キヤノン株式会社 インプリント装置、インプリント方法及び物品の製造方法
WO2016089308A1 (en) * 2014-12-02 2016-06-09 Agency for Science,Technology and Research Photolithography methods
JP6448469B2 (ja) * 2015-05-27 2019-01-09 東芝メモリ株式会社 テンプレートおよびパターン形成方法
JP6403017B2 (ja) * 2015-08-04 2018-10-10 東芝メモリ株式会社 インプリント用テンプレート基板の製造方法、インプリント用テンプレート基板、インプリント用テンプレート、および半導体装置の製造方法
KR102609587B1 (ko) 2016-07-19 2023-12-05 삼성디스플레이 주식회사 임프린트 스탬프의 제조 방법 및 이를 이용하여 제조된 표시 장치
CN105974731B (zh) * 2016-07-25 2020-01-03 京东方科技集团股份有限公司 一种压印板、检测方法及检测装置
KR20180023102A (ko) 2016-08-23 2018-03-07 삼성디스플레이 주식회사 와이어 그리드 패턴 및 이의 제조방법
US10627715B2 (en) * 2016-10-31 2020-04-21 Canon Kabushiki Kaisha Method for separating a nanoimprint template from a substrate
JP6925423B2 (ja) * 2016-12-02 2021-08-25 モレキュラー インプリンツ, インコーポレイテッドMolecular Imprints,Inc. インプリントリソグラフィプロセスにおける光学層の構成
US10712660B2 (en) * 2016-12-21 2020-07-14 Canon Kabushiki Kaisha Template for imprint lithography including a recession and an apparatus and method of using the template
WO2018163995A1 (ja) 2017-03-08 2018-09-13 キヤノン株式会社 パターン形成方法、ならびに加工基板、光学部品及び石英モールドレプリカの製造方法、ならびにインプリント前処理コーティング材料及びそれとインプリントレジストとのセット
CN110546734B (zh) 2017-03-08 2024-04-02 佳能株式会社 固化物图案的制造方法和光学部件、电路板和石英模具复制品的制造方法以及用于压印预处理的涂覆材料及其固化物
JP6993782B2 (ja) 2017-03-09 2022-01-14 キヤノン株式会社 インプリント装置および物品製造方法
CN111010871A (zh) 2017-03-16 2020-04-14 分子印记公司 光学聚合物膜及其铸造方法
KR102288981B1 (ko) * 2017-04-17 2021-08-13 에스케이하이닉스 주식회사 임프린트 템플레이트 및 임프린트 패턴 형성 방법
US10866510B2 (en) * 2017-07-31 2020-12-15 Canon Kabushiki Kaisha Overlay improvement in nanoimprint lithography
US10679110B2 (en) 2018-04-01 2020-06-09 Ramot At Tel-Aviv University Ltd. Nanotags for authentication
US11137536B2 (en) * 2018-07-26 2021-10-05 Facebook Technologies, Llc Bragg-like gratings on high refractive index material
CN113167969B (zh) 2018-10-16 2023-08-08 奇跃公司 用于浇铸聚合物产品的方法和装置
CN109445247B (zh) 2018-11-16 2020-06-19 京东方科技集团股份有限公司 压印模板及其制备方法和压印方法
US11018018B2 (en) 2018-12-05 2021-05-25 Canon Kabushiki Kaisha Superstrate and methods of using the same
US11209730B2 (en) * 2019-03-14 2021-12-28 Canon Kabushiki Kaisha Methods of generating drop patterns, systems for shaping films with the drop pattern, and methods of manufacturing an article with the drop pattern
EP3953745A4 (en) 2019-04-11 2023-04-26 Applied Materials, Inc. MULTILAYER FILM FOR OPTICAL DEVICES
NL2023097B1 (en) * 2019-05-09 2020-11-30 Suss Microtec Lithography Gmbh Stamp replication device and method for producing a holding means for a stamp replication device as well as a stamp
US20220223451A1 (en) * 2019-05-22 2022-07-14 Vuereal Inc. Systems and methods for transferring devices or patterns to a substrate
CN110333643B (zh) * 2019-08-06 2023-05-12 广纳四维(广东)光电科技有限公司 一种纳米压印模板、其制备方法及纳米压印方法
CN110838832B (zh) * 2019-11-18 2022-06-21 中国电子科技集团公司第二十六研究所 一种微型三维叠装的mems谐振器件的制造方法
JP7414576B2 (ja) 2020-02-21 2024-01-16 キヤノン株式会社 位置計測装置、重ね合わせ検査装置、位置計測方法、インプリント装置および物品の製造方法
CN112588222B (zh) * 2020-11-25 2022-02-18 浙江大学 声表面波调控孔隙率与排布的多孔聚合物制备装置与方法
TWI836920B (zh) * 2022-03-02 2024-03-21 環球晶圓股份有限公司 晶圓及晶圓的處理方法
CN116118340B (zh) * 2023-01-31 2024-03-22 东莞市智配机电科技有限公司 一种标签加工用的印刷片结构

Family Cites Families (327)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US473725A (en) * 1892-04-26 Stone or ore crushing machine
GB1146618A (en) 1965-10-11 1969-03-26 Harry Frank Gipe Method for preparing photo-lithographic plates
US3770852A (en) * 1966-04-12 1973-11-06 Nat Distillers Chem Corp Polyolefin resin blends
US3783520A (en) * 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
US3807027A (en) 1972-03-31 1974-04-30 Johns Manville Method of forming the bell end of a bell and spigot joint
US3811665A (en) 1972-09-05 1974-05-21 Bendix Corp Flexural pivot with diaphragm means
US3807029A (en) 1972-09-05 1974-04-30 Bendix Corp Method of making a flexural pivot
FR2325018A1 (fr) * 1975-06-23 1977-04-15 Ibm Dispositif de mesure d'intervalle pour definir la distance entre deux faces ou plus
IT1068535B (it) * 1975-11-03 1985-03-21 Ibm Apparecchio e processo elettrolito grafico
US4062600A (en) 1976-04-05 1977-12-13 Litton Systems, Inc. Dual-gimbal gyroscope flexure suspension
US4098001A (en) 1976-10-13 1978-07-04 The Charles Stark Draper Laboratory, Inc. Remote center compliance system
DE2800476A1 (de) 1977-01-07 1978-07-13 Instruments Sa Verfahren zur duplizierung einer optischen flaeche sowie so hergestelltes beugungsgitter
GB1578259A (en) * 1977-05-11 1980-11-05 Philips Electronic Associated Methods of manufacturing solid-state devices apparatus for use therein and devices manufactured thereby
US4155169A (en) 1978-03-16 1979-05-22 The Charles Stark Draper Laboratory, Inc. Compliant assembly system device
US4201800A (en) 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
JPS6053675B2 (ja) 1978-09-20 1985-11-27 富士写真フイルム株式会社 スピンコ−テイング方法
US4202107A (en) 1978-10-23 1980-05-13 Watson Paul C Remote axis admittance system
US4326805A (en) * 1980-04-11 1982-04-27 Bell Telephone Laboratories, Incorporated Method and apparatus for aligning mask and wafer members
US4337579A (en) 1980-04-16 1982-07-06 The Charles Stark Draper Laboratory, Inc. Deformable remote center compliance device
EP0047645B1 (en) * 1980-09-05 1984-11-28 Matsushita Electric Industrial Co., Ltd. A method of producing an information recording disk
US4355469A (en) 1980-11-28 1982-10-26 The Charles Stark Draper Laboratory, Inc. Folded remote center compliance device
US4414750A (en) 1981-10-19 1983-11-15 The Charles Stark Draper Laboratory, Inc. Single stage remote center compliance device
US4512948A (en) 1982-03-03 1985-04-23 Owens-Illinois, Inc. Method for making poly(ethylene terephthalate) article
DE3377597D1 (en) * 1982-04-12 1988-09-08 Nippon Telegraph & Telephone Method for forming micropattern
US4440804A (en) 1982-08-02 1984-04-03 Fairchild Camera & Instrument Corporation Lift-off process for fabricating self-aligned contacts
US4544572A (en) 1982-09-07 1985-10-01 Minnesota Mining And Manufacturing Company Coated ophthalmic lenses and method for coating the same
JPS5972727A (ja) 1982-10-19 1984-04-24 Matsushita Electric Ind Co Ltd 位置合わせ用テ−ブル
US4451507A (en) 1982-10-29 1984-05-29 Rca Corporation Automatic liquid dispensing apparatus for spinning surface of uniform thickness
FR2538923A1 (fr) * 1982-12-30 1984-07-06 Thomson Csf Procede et dispositif d'alignement optique de motifs dans deux plans rapproches dans un appareil d'exposition comprenant une source de rayonnement divergent
US4551192A (en) 1983-06-30 1985-11-05 International Business Machines Corporation Electrostatic or vacuum pinchuck formed with microcircuit lithography
US4507331A (en) * 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4552833A (en) * 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4694703A (en) 1984-06-28 1987-09-22 Lear Siegler, Inc. Circumferentially oriented flexure suspension
JPS61116358A (ja) 1984-11-09 1986-06-03 Mitsubishi Electric Corp フオトマスク材料
US4908298A (en) * 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
US4657845A (en) * 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) * 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
NL8600809A (nl) 1986-03-28 1987-10-16 Philips Nv Methode om een matrijs te voorzien van een loslaag.
US4724222A (en) * 1986-04-28 1988-02-09 American Telephone And Telegraph Company, At&T Bell Laboratories Wafer chuck comprising a curved reference surface
US4737425A (en) 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (ko) * 1986-06-11 1990-06-18 가부시기가이샤 도시바 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치
US4929083A (en) 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
DE3760773D1 (en) * 1986-07-25 1989-11-16 Oki Electric Ind Co Ltd Negative resist material, method for its manufacture and method for using it
US5144552A (en) * 1986-07-25 1992-09-01 Ricoh Company, Ltd. Optical information storage medium having grooves and pits with specific depths, respectively
JPS6334108A (ja) 1986-07-30 1988-02-13 Hitachi Ltd 光デイスク用基板の製造方法および装置
JPS6376330A (ja) * 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd 半導体装置の製造方法
FR2604553A1 (fr) 1986-09-29 1988-04-01 Rhone Poulenc Chimie Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat
US4707218A (en) * 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
JPS63162132A (ja) 1986-12-26 1988-07-05 Nippon Thompson Co Ltd Xyテ−ブル
US4931351A (en) * 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US5736424A (en) * 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US6391798B1 (en) * 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US4731155A (en) 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4808511A (en) * 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
US5344304A (en) * 1987-09-05 1994-09-06 Canon Kabushiki Kaisha Mold for molding of substrate for information recording medium
KR930000293B1 (ko) 1987-10-26 1993-01-15 마쯔시다덴기산교 가부시기가이샤 미세패턴형성방법
US5639300A (en) * 1987-12-07 1997-06-17 Massachusetts Institute Of Technology Epitaxy with reusable template
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
JPH01196749A (ja) 1988-01-30 1989-08-08 Hoya Corp 光情報記録媒体用基板の製造方法
DE3810391A1 (de) * 1988-03-26 1989-10-05 Leybold Ag Einrichtung und verfahren fuer die steuerung und ueberwachung eines ablenkbaren elektronenstrahls fuer die metallbearbeitung
US4891303A (en) * 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
JPH0269936A (ja) 1988-07-28 1990-03-08 Siemens Ag 半導体材料上の樹脂構造の形成方法
US5108875A (en) * 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US4921778A (en) * 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
EP0355496A3 (en) 1988-08-15 1990-10-10 Sumitomo Heavy Industries Co., Ltd. Position detector employing a sector fresnel zone plate
JP2546350B2 (ja) * 1988-09-09 1996-10-23 キヤノン株式会社 位置合わせ装置
US4964945A (en) * 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5439766A (en) * 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
CA2010169A1 (en) 1989-02-21 1990-08-21 Masakazu Uekita Multi-layer resist
US4999280A (en) * 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
JP3001607B2 (ja) * 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト 二層法における寸法安定な構造転写方法
EP0394741B1 (de) 1989-04-24 1997-06-25 Siemens Aktiengesellschaft Verfahren zur Erzeugung ätzresistenter Strukturen
US5110514A (en) 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US5053318A (en) * 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) * 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) * 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
JP2704001B2 (ja) * 1989-07-18 1998-01-26 キヤノン株式会社 位置検出装置
DE4031637C2 (de) * 1989-10-06 1997-04-10 Toshiba Kawasaki Kk Anordnung zum Messen einer Verschiebung zwischen zwei Objekten
US5362606A (en) * 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
US5139925A (en) * 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
JP3197010B2 (ja) * 1990-03-05 2001-08-13 株式会社東芝 間隔設定方法及び間隔設定装置
US5194743A (en) * 1990-04-06 1993-03-16 Nikon Corporation Device for positioning circular semiconductor wafers
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP2586692B2 (ja) * 1990-05-24 1997-03-05 松下電器産業株式会社 パターン形成材料およびパターン形成方法
US5234717A (en) * 1990-06-14 1993-08-10 Nippon Sheet Glass Co., Ltd. Process for producing a minute-patterned substrate
JP2524436B2 (ja) 1990-09-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 表面処理方法
DE4029912A1 (de) 1990-09-21 1992-03-26 Philips Patentverwaltung Verfahren zur bildung mindestens eines grabens in einer substratschicht
US5314772A (en) * 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
US5126006A (en) 1990-10-30 1992-06-30 International Business Machines Corp. Plural level chip masking
US5072126A (en) 1990-10-31 1991-12-10 International Business Machines Corporation Promixity alignment using polarized illumination and double conjugate projection lens
JPH0536128A (ja) * 1990-12-20 1993-02-12 Hitachi Ltd 高密度情報記録媒体及びそれを用いた記録装置
NL9100215A (nl) * 1991-02-07 1992-09-01 Asm Lithography Bv Inrichting voor het repeterend afbeelden van een maskerpatroon op een substraat.
SE467678B (sv) 1991-03-26 1992-08-24 Lennart Olsson Saett och anordning foer foeraendring av vinkelhastigheten hos ett drivet roterande mediabaerorgan
US5240878A (en) * 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) * 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
US5206983A (en) 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
US5421981A (en) * 1991-06-26 1995-06-06 Ppg Industries, Inc. Electrochemical sensor storage device
JPH0521584A (ja) 1991-07-16 1993-01-29 Nikon Corp 保持装置
EP0524759A1 (en) * 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
US5242711A (en) * 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
JPH0553289A (ja) 1991-08-22 1993-03-05 Nec Corp 位相シフトレチクルの製造方法
US5317386A (en) 1991-09-06 1994-05-31 Eastman Kodak Company Optical monitor for measuring a gap between two rollers
JPH0580530A (ja) 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
US5263073A (en) * 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
US5204739A (en) 1992-02-07 1993-04-20 Karl Suss America, Inc. Proximity mask alignment using a stored video image
US5244818A (en) * 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
EP0568478A1 (en) 1992-04-29 1993-11-03 International Business Machines Corporation Darkfield alignment system using a confocal spatial filter
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5601641A (en) 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
JPH06244269A (ja) 1992-09-07 1994-09-02 Mitsubishi Electric Corp 半導体製造装置並びに半導体製造装置におけるウエハ真空チャック装置及びガスクリーニング方法及び窒化膜形成方法
US5431777A (en) * 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
TW227628B (ja) * 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
JP2821073B2 (ja) * 1992-12-18 1998-11-05 松下電器産業株式会社 ギャップ制御装置及びギャップ制御方法
DE69405451T2 (de) * 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5348616A (en) 1993-05-03 1994-09-20 Motorola, Inc. Method for patterning a mold
US5884292A (en) * 1993-05-06 1999-03-16 Pitney Bowes Inc. System for smart card funds refill
US5380474A (en) * 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
US5324683A (en) * 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (ja) 1993-06-04 1998-12-14 シャープ株式会社 レジストパターンの形成方法
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US6776094B1 (en) * 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US6180239B1 (en) 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US5900160A (en) 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
NL9401260A (nl) 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membraan voor microfiltratie, ultrafiltratie, gasscheiding en katalyse, werkwijze ter vervaardiging van een dergelijk membraan, mal ter vervaardiging van een dergelijk membraan, alsmede diverse scheidingssystemen omvattende een dergelijk membraan.
KR970009858B1 (ko) 1994-01-12 1997-06-18 엘지반도체 주식회사 다층 레지스트 패턴 형성방법
US5534101A (en) 1994-03-02 1996-07-09 Telecommunication Research Laboratories Method and apparatus for making optical components by direct dispensing of curable liquid
KR0157279B1 (ko) * 1994-03-15 1999-05-01 모리시타 요이찌 노광방법
US5417802A (en) * 1994-03-18 1995-05-23 At&T Corp. Integrated circuit manufacturing
US5874820A (en) * 1995-04-04 1999-02-23 Nikon Corporation Window frame-guided stage mechanism
US5528118A (en) 1994-04-01 1996-06-18 Nikon Precision, Inc. Guideless stage with isolated reaction stage
US5632936A (en) * 1994-05-04 1997-05-27 Ciba-Geigy Ag Method and apparatus for molding ophthalmic lenses using vacuum injection
US5453157A (en) * 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US5670415A (en) * 1994-05-24 1997-09-23 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US5425964A (en) 1994-07-22 1995-06-20 Rockwell International Corporation Deposition of multiple layer thin films using a broadband spectral monitor
US5515167A (en) 1994-09-13 1996-05-07 Hughes Aircraft Company Transparent optical chuck incorporating optical monitoring
US5563684A (en) 1994-11-30 1996-10-08 Sgs-Thomson Microelectronics, Inc. Adaptive wafer modulator for placing a selected pattern on a semiconductor wafer
US5458520A (en) * 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
DE19509452A1 (de) 1995-03-22 1996-09-26 Inst Mikrotechnik Mainz Gmbh Werkzeug mit Entformvorrichtung zur Abformung mikrostrukturierter Bauteile
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5743998A (en) * 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
US5820769A (en) 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
US5948570A (en) * 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US5808742A (en) * 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
US5648188A (en) * 1995-06-07 1997-07-15 International Business Machines Corporation Real time alignment system for a projection electron beam lithographic system
JP3624476B2 (ja) 1995-07-17 2005-03-02 セイコーエプソン株式会社 半導体レーザ装置の製造方法
US5804017A (en) * 1995-07-27 1998-09-08 Imation Corp. Method and apparatus for making an optical information record
US5654238A (en) * 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
US5566584A (en) 1995-08-31 1996-10-22 Beta Squared, Inc. Flexure support for a fixture positioning device
US6614522B1 (en) * 1995-09-08 2003-09-02 Integ, Inc. Body fluid sampler
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US5545570A (en) 1995-09-29 1996-08-13 Taiwan Semiconductor Manufacturing Company Method of inspecting first layer overlay shift in global alignment process
SE508373C2 (sv) 1995-10-30 1998-09-28 Obducat Ab Kruptosystem för optiskt lagringsmedia
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US6518189B1 (en) * 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US6482742B1 (en) * 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US5772905A (en) * 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US20040137734A1 (en) 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US7758794B2 (en) 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US5747102A (en) 1995-11-16 1998-05-05 Nordson Corporation Method and apparatus for dispensing small amounts of liquid material
JP2842362B2 (ja) 1996-02-29 1999-01-06 日本電気株式会社 重ね合わせ測定方法
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US20030179354A1 (en) * 1996-03-22 2003-09-25 Nikon Corporation Mask-holding apparatus for a light exposure apparatus and related scanning-exposure method
US5817376A (en) 1996-03-26 1998-10-06 Minnesota Mining And Manufacturing Company Free-radically polymerizable compositions capable of being coated by electrostatic assistance
JP3832891B2 (ja) 1996-03-28 2006-10-11 日本トムソン株式会社 リニア電磁アクチュエータを用いたxyテーブル
JPH09283621A (ja) * 1996-04-10 1997-10-31 Murata Mfg Co Ltd 半導体装置のt型ゲート電極形成方法およびその構造
US5942443A (en) 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5853446A (en) 1996-04-16 1998-12-29 Corning Incorporated Method for forming glass rib structures
US5802914A (en) 1996-05-30 1998-09-08 Eastman Kodak Company Alignment mechanism using flexures
US5888650A (en) 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US5779799A (en) 1996-06-21 1998-07-14 Micron Technology, Inc. Substrate coating apparatus
US6753131B1 (en) * 1996-07-22 2004-06-22 President And Fellows Of Harvard College Transparent elastomeric, contact-mode photolithography mask, sensor, and wavefront engineering element
US6074827A (en) 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
US6039897A (en) 1996-08-28 2000-03-21 University Of Washington Multiple patterned structures on a single substrate fabricated by elastomeric micro-molding techniques
WO1998010121A1 (en) 1996-09-06 1998-03-12 Obducat Ab Method for anisotropic etching of structures in conducting materials
EP1494064B1 (en) * 1996-10-30 2006-04-12 Seiko Epson Corporation Color filter
JPH10172897A (ja) * 1996-12-05 1998-06-26 Nikon Corp 基板アダプタ,基板保持装置及び基板保持方法
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US6143412A (en) 1997-02-10 2000-11-07 President And Fellows Of Harvard College Fabrication of carbon microstructures
JPH10242041A (ja) * 1997-02-26 1998-09-11 Nikon Corp 位置検出方法及びその装置並びに露光装置
US6049373A (en) 1997-02-28 2000-04-11 Sumitomo Heavy Industries, Ltd. Position detection technique applied to proximity exposure
DE19710420C2 (de) 1997-03-13 2001-07-12 Helmut Fischer Gmbh & Co Verfahren und Vorrichtung zum Messen der Dicken dünner Schichten mittels Röntgenfluoreszenz
US6051179A (en) 1997-03-19 2000-04-18 Replicator Systems, Inc. Apparatus and method for production of three-dimensional models by spatial light modulator
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5948219A (en) * 1997-05-07 1999-09-07 Advanced Micro Devices, Inc. Apparatus for selectively exposing a semiconductor topography to an electric field
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
JP4038272B2 (ja) * 1997-06-04 2008-01-23 東芝松下ディスプレイテクノロジー株式会社 液晶表示装置の組立て方法および組立て装置
US6033977A (en) * 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
US5912049A (en) 1997-08-12 1999-06-15 Micron Technology, Inc. Process liquid dispense method and apparatus
US5877861A (en) 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
US5937758A (en) * 1997-11-26 1999-08-17 Motorola, Inc. Micro-contact printing stamp
US5991022A (en) 1997-12-09 1999-11-23 N&K Technology, Inc. Reflectance spectrophotometric apparatus with toroidal mirrors
US6019166A (en) * 1997-12-30 2000-02-01 Intel Corporation Pickup chuck with an integral heatsink
US6117708A (en) * 1998-02-05 2000-09-12 Micron Technology, Inc. Use of residual organic compounds to facilitate gate break on a carrier substrate for a semiconductor device
US6150680A (en) 1998-03-05 2000-11-21 Welch Allyn, Inc. Field effect semiconductor device having dipole barrier
EP1060299A1 (en) * 1998-03-05 2000-12-20 Obducat AB Method of etching
SE511682C2 (sv) 1998-03-05 1999-11-08 Etchtech Sweden Ab Motstånd i elektriska ledare på eller i mönsterkort, substrat och halvledarbrickor
US6274393B1 (en) * 1998-04-20 2001-08-14 International Business Machines Corporation Method for measuring submicron images
TW352421B (en) 1998-04-27 1999-02-11 United Microelectronics Corp Method and process of phase shifting mask
JP3780700B2 (ja) * 1998-05-26 2006-05-31 セイコーエプソン株式会社 パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法
SE513967C2 (sv) 1998-05-29 2000-12-04 Obducat Ab Råmatris för optisk minnesmedia samt sätt för att tillverka en sådan matris
US6680214B1 (en) * 1998-06-08 2004-01-20 Borealis Technical Limited Artificial band gap
US6150231A (en) 1998-06-15 2000-11-21 Siemens Aktiengesellschaft Overlay measurement technique using moire patterns
US6027595A (en) * 1998-07-02 2000-02-22 Samsung Electronics Co., Ltd. Method of making optical replicas by stamping in photoresist and replicas formed thereby
US5907782A (en) * 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6096655A (en) * 1998-09-02 2000-08-01 International Business Machines, Corporation Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure
US6523803B1 (en) 1998-09-03 2003-02-25 Micron Technology, Inc. Mold apparatus used during semiconductor device fabrication
US5947027A (en) * 1998-09-08 1999-09-07 Motorola, Inc. Printing apparatus with inflatable means for advancing a substrate towards the stamping surface
US6713238B1 (en) 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6261469B1 (en) 1998-10-13 2001-07-17 Honeywell International Inc. Three dimensionally periodic structural assemblies on nanometer and longer scales
US6218316B1 (en) 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6388755B1 (en) 1998-12-03 2002-05-14 Advanced Optical Technologies, Inc. Wireless position and orientation detecting system
US6204922B1 (en) 1998-12-11 2001-03-20 Filmetrics, Inc. Rapid and accurate thin film measurement of individual layers in a multi-layered or patterned sample
US6251207B1 (en) 1998-12-31 2001-06-26 Kimberly-Clark Worldwide, Inc. Embossing and laminating irregular bonding patterns
US6168845B1 (en) 1999-01-19 2001-01-02 International Business Machines Corporation Patterned magnetic media and method of making the same using selective oxidation
US6274294B1 (en) * 1999-02-03 2001-08-14 Electroformed Stents, Inc. Cylindrical photolithography exposure process and apparatus
US6565928B2 (en) * 1999-03-08 2003-05-20 Tokyo Electron Limited Film forming method and film forming apparatus
US6334960B1 (en) 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6569481B1 (en) * 1999-03-29 2003-05-27 The Quaker Oats Company Method for making a puffed food starch product
JP4151151B2 (ja) * 1999-04-06 2008-09-17 松下電器産業株式会社 ダイボンディング用のペースト塗布装置およびペースト塗布方法
US6387783B1 (en) * 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
US6217901B1 (en) * 1999-05-25 2001-04-17 Alnis, Llc Liposome-assisted synthesis of polymeric nanoparticles
US6255022B1 (en) * 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
EP1065567A3 (en) * 1999-06-29 2001-05-16 Applied Materials, Inc. Integrated critical dimension control
US6220561B1 (en) 1999-06-30 2001-04-24 Sandia Corporation Compound floating pivot micromechanisms
US6190929B1 (en) 1999-07-23 2001-02-20 Micron Technology, Inc. Methods of forming semiconductor devices and methods of forming field emission displays
EP1072954A3 (en) * 1999-07-28 2002-05-22 Lucent Technologies Inc. Lithographic process for device fabrication
US6744909B1 (en) * 1999-08-19 2004-06-01 Physical Optics Corporation Authentication system and method
US6207570B1 (en) * 1999-08-20 2001-03-27 Lucent Technologies, Inc. Method of manufacturing integrated circuit devices
US6383928B1 (en) * 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
US6517995B1 (en) 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6329256B1 (en) 1999-09-24 2001-12-11 Advanced Micro Devices, Inc. Self-aligned damascene gate formation with low gate resistance
US6873087B1 (en) 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
US6355994B1 (en) 1999-11-05 2002-03-12 Multibeam Systems, Inc. Precision stage
DE19958966A1 (de) * 1999-12-07 2001-06-13 Infineon Technologies Ag Erzeugung von Resiststrukturen
SE515607C2 (sv) * 1999-12-10 2001-09-10 Obducat Ab Anordning och metod vid tillverkning av strukturer
US6091485A (en) 1999-12-15 2000-07-18 N & K Technology, Inc. Method and apparatus for optically determining physical parameters of underlayers
DE60019974T2 (de) * 1999-12-23 2005-11-10 The University Of Massachusetts, Boston Verfahren zur herstellung von submikron mustern auf filmen
US6165911A (en) 1999-12-29 2000-12-26 Calveley; Peter Braden Method of patterning a metal layer
AU2001228987A1 (en) 2000-01-21 2001-07-31 Obducat Aktiebolag A mold for nano imprinting
SE515785C2 (sv) 2000-02-23 2001-10-08 Obducat Ab Anordning för homogen värmning av ett objekt och användning av anordningen
US6234379B1 (en) 2000-02-28 2001-05-22 Nordson Corporation No-flow flux and underfill dispensing methods
SE515962C2 (sv) 2000-03-15 2001-11-05 Obducat Ab Anordning för överföring av mönster till objekt
TW508653B (en) * 2000-03-24 2002-11-01 Asml Netherlands Bv Lithographic projection apparatus and integrated circuit manufacturing method
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
JP2001358056A (ja) * 2000-06-15 2001-12-26 Canon Inc 露光装置
US6262464B1 (en) 2000-06-19 2001-07-17 International Business Machines Corporation Encapsulated MEMS brand-pass filter for integrated circuits
US6696220B2 (en) * 2000-10-12 2004-02-24 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro-and nano-imprint lithography
AU2001273491A1 (en) * 2000-07-16 2002-02-05 Board Of Regents, The University Of Texas System High-resolution overlay alignment methods and systems for imprint lithography
US20050037143A1 (en) 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US20080164638A1 (en) * 2006-11-28 2008-07-10 Wei Zhang Method and apparatus for rapid imprint lithography
US7635262B2 (en) 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US7211214B2 (en) 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
US6730256B1 (en) * 2000-08-04 2004-05-04 Massachusetts Institute Of Technology Stereolithographic patterning with interlayer surface modifications
US6777170B1 (en) * 2000-08-04 2004-08-17 Massachusetts Institute Of Technology Stereolithographic patterning by variable dose light delivery
EP1390975A2 (en) 2000-08-21 2004-02-25 The Board Of Regents, The University Of Texas System Flexure based translation stage
US6455411B1 (en) 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
FR2815642B1 (fr) * 2000-10-20 2003-07-11 Pechiney Rhenalu Dispositif rotatif de dispersion de gaz pour le traitement d'un bain de metal liquide
US6284653B1 (en) * 2000-10-30 2001-09-04 Vanguard International Semiconductor Corp. Method of selectively forming a barrier layer from a directionally deposited metal layer
US6790763B2 (en) * 2000-12-04 2004-09-14 Ebara Corporation Substrate processing method
US6632742B2 (en) * 2001-04-18 2003-10-14 Promos Technologies Inc. Method for avoiding defects produced in the CMP process
US6783719B2 (en) 2001-01-19 2004-08-31 Korry Electronics, Co. Mold with metal oxide surface compatible with ionic release agents
US6489068B1 (en) 2001-02-21 2002-12-03 Advanced Micro Devices, Inc. Process for observing overlay errors on lithographic masks
US6387787B1 (en) 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6664026B2 (en) 2001-03-22 2003-12-16 International Business Machines Corporation Method of manufacturing high aspect ratio photolithographic features
US6517977B2 (en) 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
JP2002302862A (ja) * 2001-04-06 2002-10-18 Mitsui Chemicals Inc 不織布の製造方法及び装置
US6534418B1 (en) * 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6541360B1 (en) * 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6964793B2 (en) * 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
JP2002353102A (ja) 2001-05-23 2002-12-06 Hitachi Ltd 半導体装置の製造方法
US6847433B2 (en) * 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
TW488080B (en) * 2001-06-08 2002-05-21 Au Optronics Corp Method for producing thin film transistor
US6787071B2 (en) * 2001-06-11 2004-09-07 General Electric Company Method and apparatus for producing data storage media
US6561706B2 (en) * 2001-06-28 2003-05-13 Advanced Micro Devices, Inc. Critical dimension monitoring from latent image
SG187992A1 (en) 2001-07-25 2013-03-28 Univ Princeton Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
CN100347608C (zh) 2001-09-25 2007-11-07 米卢塔技术株式会社 利用毛细作用力在基体上形成微型图案的方法
US20030080472A1 (en) 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
US6716767B2 (en) * 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US6890688B2 (en) 2001-12-18 2005-05-10 Freescale Semiconductor, Inc. Lithographic template and method of formation and use
US6555411B1 (en) * 2001-12-18 2003-04-29 Lucent Technologies Inc. Thin film transistors
US6743368B2 (en) 2002-01-31 2004-06-01 Hewlett-Packard Development Company, L.P. Nano-size imprinting stamp using spacer technique
US6605849B1 (en) 2002-02-14 2003-08-12 Symmetricom, Inc. MEMS analog frequency divider
DE10307518B4 (de) 2002-02-22 2011-04-14 Hoya Corp. Halbtonphasenschiebermaskenrohling, Halbtonphasenschiebermaske und Verfahren zu deren Herstellung
US6737202B2 (en) * 2002-02-22 2004-05-18 Motorola, Inc. Method of fabricating a tiered structure using a multi-layered resist stack and use
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6716754B2 (en) 2002-03-12 2004-04-06 Micron Technology, Inc. Methods of forming patterns and molds for semiconductor constructions
US6881366B2 (en) * 2002-04-22 2005-04-19 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
US7037639B2 (en) 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US6743713B2 (en) * 2002-05-15 2004-06-01 Institute Of Microelectronics Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC)
US6849558B2 (en) 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
CN1653691A (zh) * 2002-06-20 2005-08-10 松下电器产业株式会社 开关装置
US6861365B2 (en) * 2002-06-28 2005-03-01 Hewlett-Packard Development Company, L.P. Method and system for forming a semiconductor device
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7526403B2 (en) * 2002-07-31 2009-04-28 Dahlgren, Llc Mortar ballistic computer and system
US7027156B2 (en) 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US6929762B2 (en) * 2002-11-13 2005-08-16 Molecular Imprints, Inc. Method of reducing pattern distortions during imprint lithography processes
US6980282B2 (en) * 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
US7750059B2 (en) 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US6840878B2 (en) * 2002-12-23 2005-01-11 Depuy Products, Inc. Idler assembly for a sanding/polishing device
JP4651390B2 (ja) * 2003-03-27 2011-03-16 コリア・インスティテュート・オブ・マシナリー・アンド・マテリアルズ 多重浮彫要素スタンプを利用したuvナノインプリントリソグラフィ法
US20040202865A1 (en) * 2003-04-08 2004-10-14 Andrew Homola Release coating for stamper
US7070406B2 (en) * 2003-04-29 2006-07-04 Hewlett-Packard Development Company, L.P. Apparatus for embossing a flexible substrate with a pattern carried by an optically transparent compliant media
TWI228638B (en) 2003-06-10 2005-03-01 Ind Tech Res Inst Method for and apparatus for bonding patterned imprint to a substrate by adhering means
US6967798B2 (en) * 2003-12-19 2005-11-22 Komag, Inc. Magnetic recording disk having DTR patterned CSS zone
KR100566700B1 (ko) * 2004-01-15 2006-04-03 삼성전자주식회사 반도체 공정에서 포토레지스트 패턴 형성 방법,포토레지스트 패턴 형성용 템플레이트 및 이의 제조 방법.
TWI277815B (en) * 2004-01-16 2007-04-01 Hannstar Display Corp Liquid crystal display and manufacturing method of liquid crystal display including substrate
US7140861B2 (en) * 2004-04-27 2006-11-28 Molecular Imprints, Inc. Compliant hard template for UV imprinting
US7307697B2 (en) * 2004-05-28 2007-12-11 Board Of Regents, The University Of Texas System Adaptive shape substrate support system
US20050270516A1 (en) * 2004-06-03 2005-12-08 Molecular Imprints, Inc. System for magnification and distortion correction during nano-scale manufacturing
US7785526B2 (en) * 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US7309225B2 (en) * 2004-08-13 2007-12-18 Molecular Imprints, Inc. Moat system for an imprint lithography template
US20060067650A1 (en) * 2004-09-27 2006-03-30 Clarence Chui Method of making a reflective display device using thin film transistor production techniques
US7533905B2 (en) * 2005-06-02 2009-05-19 Hewlett-Packard Development Company, L.P. Anti-counterfeiting system and method

Cited By (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006516065A (ja) * 2002-08-01 2006-06-15 モレキュラー・インプリンツ・インコーポレーテッド インプリント・リソグラフィの散乱計測アラインメント
JP2006514428A (ja) * 2002-12-12 2006-04-27 モレキュラー・インプリンツ・インコーポレーテッド 液体の形状を使用して基板の特性を求める方法及びシステム
JP2006510223A (ja) * 2002-12-13 2006-03-23 モレキュラー・インプリンツ・インコーポレーテッド 基板の面曲がりを使用する倍率補正
JP4651390B2 (ja) * 2003-03-27 2011-03-16 コリア・インスティテュート・オブ・マシナリー・アンド・マテリアルズ 多重浮彫要素スタンプを利用したuvナノインプリントリソグラフィ法
JP2006521682A (ja) * 2003-03-27 2006-09-21 コリア・インスティテュート・オブ・マシナリー・アンド・マテリアルズ 多重浮彫要素スタンプと選択的付加圧力を利用したuvナノインプリントリソグラフィ法
JP2007504683A (ja) * 2003-05-14 2007-03-01 モレキュラー・インプリンツ・インコーポレーテッド インプリント・リソグラフィ・プロセス中にテンプレートを移動させるための方法、システム、ホルダ、アセンブリ
JP4937750B2 (ja) * 2003-05-14 2012-05-23 モレキュラー・インプリンツ・インコーポレーテッド インプリント・リソグラフィ・プロセス中にテンプレートを移動させるための方法、システム、ホルダ、アセンブリ
JP4658227B2 (ja) * 2003-10-02 2011-03-23 モレキュラー・インプリンツ・インコーポレーテッド 単一位相流体インプリント・リソグラフィ法
JP2007509769A (ja) * 2003-10-02 2007-04-19 モレキュラー・インプリンツ・インコーポレーテッド 単一位相流体インプリント・リソグラフィ法
JP2010192912A (ja) * 2003-10-02 2010-09-02 Molecular Imprints Inc 単一位相流体インプリント・リソグラフィ法
JP2010192911A (ja) * 2003-10-02 2010-09-02 Molecular Imprints Inc 単一位相流体インプリント・リソグラフィ法
JP4536157B1 (ja) * 2003-10-02 2010-09-01 モレキュラー・インプリンツ・インコーポレーテッド 単一位相流体インプリント・リソグラフィ法
JP2008501245A (ja) * 2004-06-01 2008-01-17 モレキュラー・インプリンツ・インコーポレーテッド ナノスケール製造のためのボディの動きを制御する方法およびシステム
JP2014103421A (ja) * 2004-12-23 2014-06-05 Asml Netherlands Bv インプリント・リソグラフィ
JP2015128190A (ja) * 2004-12-23 2015-07-09 エーエスエムエル ネザーランズ ビー.ブイ. インプリント・リソグラフィ
JP2009184361A (ja) * 2005-06-08 2009-08-20 Canon Inc モールド、パターン転写装置、及びパターン形成方法
JP2009508707A (ja) * 2005-06-13 2009-03-05 コリア・インスティテュート・オブ・マシナリー・アンド・メタルズ 均一圧でパターン形成可能なインプリント装置
JP4685161B2 (ja) * 2005-06-13 2011-05-18 コリア・インスティテュート・オブ・マシナリー・アンド・メタルズ 均一圧でパターン形成可能なインプリント装置
JP2007027361A (ja) * 2005-07-15 2007-02-01 Toppan Printing Co Ltd インプリント用モールド
JP2007139752A (ja) * 2005-10-18 2007-06-07 Canon Inc パターン形成装置、パターン形成方法およびパターン形成用モールド
JP2007200953A (ja) * 2006-01-24 2007-08-09 Hitachi Ltd パターン形成方法およびパターン形成装置
JP4736821B2 (ja) * 2006-01-24 2011-07-27 株式会社日立製作所 パターン形成方法およびパターン形成装置
JP2007230229A (ja) * 2006-02-01 2007-09-13 Canon Inc インプリント用モールド、該モールドによる構造体の製造方法、部材の製造方法
JP2009532245A (ja) * 2006-04-03 2009-09-10 モレキュラー・インプリンツ・インコーポレーテッド リソグラフィ・インプリント・システム
JP2010179655A (ja) * 2006-04-03 2010-08-19 Molecular Imprints Inc リソグラフィ・インプリント・システム
JP2011181944A (ja) * 2006-04-18 2011-09-15 Canon Inc インプリント方法およびインプリント装置
JP2007305895A (ja) * 2006-05-15 2007-11-22 Apic Yamada Corp インプリント方法およびナノ・インプリント装置
JP2008098633A (ja) * 2006-10-06 2008-04-24 Asml Netherlands Bv インプリントリソグラフィ
JP2008119870A (ja) * 2006-11-09 2008-05-29 Toppan Printing Co Ltd インプリントモールド
US8579625B2 (en) 2007-10-11 2013-11-12 Asml Netherlands B.V. Imprint lithography
US10654217B2 (en) 2007-10-11 2020-05-19 Asml Netherlands B.V. Imprint lithography
JP2009141328A (ja) * 2007-10-11 2009-06-25 Asml Netherlands Bv インプリントリソグラフィ
US9889597B2 (en) 2007-10-11 2018-02-13 Asml Netherlands B.V. Imprint lithography
JP2011521438A (ja) * 2008-02-08 2011-07-21 モレキュラー・インプリンツ・インコーポレーテッド インプリント・リソグラフィにおけるはみ出し低減
JP2011520641A (ja) * 2008-04-01 2011-07-21 モレキュラー・インプリンツ・インコーポレーテッド 大面積ロールツーロール・インプリント・リソグラフィ
JP2012506618A (ja) * 2008-10-21 2012-03-15 モレキュラー・インプリンツ・インコーポレーテッド 基板からテンプレートを分離する際の応力の低減
WO2010090269A1 (ja) * 2009-02-05 2010-08-12 旭硝子株式会社 光硬化性組成物および表面に微細パターンを有する成形体の製造方法
JP2010206189A (ja) * 2009-02-05 2010-09-16 Agc Seimi Chemical Co Ltd 光硬化性組成物および表面に微細パターンを有する成形体の製造方法
JP2010245094A (ja) * 2009-04-01 2010-10-28 Toshiba Corp テンプレート及び半導体装置の製造方法
JP2010272860A (ja) * 2009-05-19 2010-12-02 Asml Netherlands Bv インプリントリソグラフィ装置
US8845320B2 (en) 2009-05-19 2014-09-30 Asml Netherlands B.V. Imprint lithography apparatus
JP2011171471A (ja) * 2010-02-18 2011-09-01 Dainippon Printing Co Ltd ナノインプリント方法およびそれに用いるモールド
JP2012049152A (ja) * 2010-07-30 2012-03-08 Agc Seimi Chemical Co Ltd 光硬化性組成物および表面に微細パターンを有する成形体の製造方法
WO2012017530A1 (ja) * 2010-08-04 2012-02-09 旭硝子株式会社 光硬化性組成物および表面に微細パターンを有する成形体の製造方法
JP2011035408A (ja) * 2010-08-30 2011-02-17 Canon Inc インプリント装置およびデバイス製造方法
US9136794B2 (en) 2011-06-22 2015-09-15 Research Triangle Institute, International Bipolar microelectronic device
JP2013074258A (ja) * 2011-09-29 2013-04-22 Fujifilm Corp ナノインプリント方法およびそれに用いられるナノインプリント装置並びにパターン化基板の製造方法
WO2016021223A1 (ja) * 2013-09-19 2016-02-11 大日本印刷株式会社 インプリント方法およびインプリントモールドの製造方法
WO2018135099A1 (ja) * 2017-01-18 2018-07-26 綜研化学株式会社 ハードコートフィルム及びその製造方法
JP2019035754A (ja) * 2017-08-17 2019-03-07 マルコ・ジステマナリゼ・ウント・エントヴィックルング・ゲーエムベーハー 計量装置
US10946406B2 (en) 2017-08-17 2021-03-16 Marco Systemanalyse Und Entwicklung Gmbh Metering apparatus
JP2020537603A (ja) * 2017-10-17 2020-12-24 マジック リープ, インコーポレイテッドMagic Leap,Inc. ポリマー製品を成型する方法および装置
JP7142691B2 (ja) 2017-10-17 2022-09-27 マジック リープ, インコーポレイテッド ポリマー製品を成型する方法および装置

Also Published As

Publication number Publication date
KR20040004401A (ko) 2004-01-13
CN100365507C (zh) 2008-01-30
AU2001297642A1 (en) 2002-09-04
US7060324B2 (en) 2006-06-13
US20020115002A1 (en) 2002-08-22
KR101031528B1 (ko) 2011-04-27
US20080095878A1 (en) 2008-04-24
US20040170771A1 (en) 2004-09-02
EP2306242A2 (en) 2011-04-06
EP1352295A2 (en) 2003-10-15
US6696220B2 (en) 2004-02-24
US7229273B2 (en) 2007-06-12
EP2306242A3 (en) 2011-11-02
WO2002067055A3 (en) 2002-10-10
US7708542B2 (en) 2010-05-04
WO2002067055A2 (en) 2002-08-29
US20040141163A1 (en) 2004-07-22
US8033814B2 (en) 2011-10-11
US20100173033A1 (en) 2010-07-08
EP1352295B1 (en) 2015-12-23
CN1531668A (zh) 2004-09-22
US20040168586A1 (en) 2004-09-02

Similar Documents

Publication Publication Date Title
JP2004523906A (ja) 室温かつ低圧マイクロおよびナノ転写リソグラフィのためのテンプレート
US8016277B2 (en) Flexure based macro motion translation stage
JP4740518B2 (ja) 転写リソグラフィ・プロセスのための自動液体ディスペンス方法およびシステム
US6902853B2 (en) Dual wavelength method of determining a relative position of a substrate and a template
US6954275B2 (en) Methods for high-precision gap and orientation sensing between a transparent template and substrate for imprint lithography
Willson et al. Device for holding a template for use in imprint lithography

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040827

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050119

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071120

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080220

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080227

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080321

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080328

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080421

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080428

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080805