DE60210852T2 - Beleuchtungsoptimierung für spezifische Maskenmuster - Google Patents

Beleuchtungsoptimierung für spezifische Maskenmuster Download PDF

Info

Publication number
DE60210852T2
DE60210852T2 DE60210852T DE60210852T DE60210852T2 DE 60210852 T2 DE60210852 T2 DE 60210852T2 DE 60210852 T DE60210852 T DE 60210852T DE 60210852 T DE60210852 T DE 60210852T DE 60210852 T2 DE60210852 T2 DE 60210852T2
Authority
DE
Germany
Prior art keywords
pattern
lighting
equation
configuration
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60210852T
Other languages
English (en)
Other versions
DE60210852D1 (de
Inventor
Robert John Campbell California Socha
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of DE60210852D1 publication Critical patent/DE60210852D1/de
Application granted granted Critical
Publication of DE60210852T2 publication Critical patent/DE60210852T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

  • Diese Erfindung betrifft im Allgemeinen ein Verfahren und eine Vorrichtung zur mikrolithografischen Abbildung. Insbesondere betrifft sie eine Vorrichtung und ein Verfahren zur Optimierung einer Beleuchtungskonfiguration gemäß dem speziellen Muster, das abgebildet wird. Optische lithografische Systeme sind derzeit bei der Herstellung integrierter Schaltungen und anderer Erzeugnisse mit feinen Merkmalen wie z. B. programmierbare Gatterfelder (gate arrays) im Gebrauch. Im weitest gefassten Sinn enthält eine lithografische Vorrichtung ein einen Projektionsstrahl einer Strahlung bereitstellendes Beleuchtungssystem, eine ein Musterbildungsmittel haltende Tragstruktur, einen ein Substrat haltenden Substrattisch und ein Projektionssystem (Linse) zum Abbilden des gemusterten Strahls auf einem Zielabschnitt des Substrats.
  • Der Begriff "Musterbildungsmittel" ist in einem weiteren Sinne als Vorrichtungen und Strukturen bezeichnend auszulegen, die verwendet werden können, um einen eingehenden Strahl einer Strahlung entsprechend einem in einem Zielabschnitt eines Substrats zu erzeugenden Muster einen gemusterten Querschnitt zu verleihen. Der Begriff "Lichtventil" ist in diesem Zusammenhang ebenfalls verwendet worden. Im Allgemeinen entspricht das Muster einer bestimmten Funktionsschicht in einem Baustein, der im Zielabschnitt erzeugt wird, wie z. B. eine integrierte Schaltung oder ein anderer Baustein.
  • Ein Beispiel für eine solche Vorrichtung ist eine Maske, die im Allgemeinen von einem (beweglichen) Maskentisch gehalten wird. Das Maskenkonzept ist in der Lithografie hinreichend bekannt und beinhaltet Maskentypen wie z. B. Binärmaske, abwechselnde Phasenschiebemaske (alternating phase-shift mask) und abgeschwächte Phasenschiebemaske (attenuated phase-shift mask) sowie verschiedene Hybridmaskentypen. Die Platzierung einer solchen Maske im Projektionsstrahl verursacht das selektive Durchlassen (im Falle von durchlässigen Masken) oder die Reflexion (im Falle einer reflektierenden Maske) der auf die Maske auftreffenden Strahlung gemäß dem Muster auf der Maske. Der Maskentisch gewährleistet, dass die Maske im eingehenden Projektionsstrahl in einer gewünschten Position gehalten werden kann, und dass sie relativ zum Strahl bewegt werden kann, falls dies gewünscht ist.
  • Ein anderes Beispiel für eine solche Vorrichtung ist eine matrixadressierbare Oberfläche mit einer viskoelastischen Steuerungsschicht und einer reflektierenden Oberfläche. Einer solchen Vorrichtung liegt das Prinzip zu Grunde, dass (z. B.) adressierte Bereiche der reflektierenden Oberfläche einfallendes Licht als gebeugtes Licht reflektieren, wohingegen nicht adressierte Bereiche einfallendes Licht als ungebeugtes Licht reflektieren. Mittels eines geeigneten Filters kann das ungebeugte Licht aus dem reflektierten Strahl herausgefiltert werden, wobei nur das gebeugte Licht zurückbleibt. Auf diese Weise wird der Strahl gemäß dem Adressierungsmuster der matrixadressierbaren Oberfläche gemustert. Eine alternative Ausführungsform einer programmierbaren Spiegelanordnung verwendet eine Matrixanordnung winziger Spiegel, von denen jeder einzeln um eine Achse geschwenkt werden kann, indem ein geeignetes, örtlich beschränktes elektrisches Feld angelegt oder ein piezoelektrisches Betätigungsmittel verwendet wird. Die Spiegel sind ebenfalls matrixadressierbar, so dass adressierte Spiegel einen eingehenden Strahl einer Strahlung in eine andere Richtung reflektieren wie nicht adressierte Spiegel. Auf diese Weise wird der reflektierte Strahl gemäß dem Adressierungsmuster der matrixadressierbaren Spiegel gemustert. Die erforderliche Matrixadressierung kann unter Verwendung geeigneter elektronischer Mittel durchgeführt werden. In beiden oben beschriebenen Situationen kann das Musterbildungsmittel eine oder mehrere programmierbare Spiegelanordnungen aufweisen. Weitere Informationen über Spiegelanordnungen, wie sie hier erwähnt sind, können z. B. den US-Patenten US 5,296,891 und US 5,523,193 und den PCT-Patentanmeldungen WO 98/38597 und WO 98/33096 entnommen werden. Im Falle einer programmierbaren Spiegelanordnung kann die Tragstruktur z. B. als Rahmen oder Tisch verkörpert sein, der je nach Bedarf fest oder beweglich sein kann.
  • Ein anderes Beispiel ist eine programmierbare LCD-Anordnung. In diesem Fall kann die Tragstruktur z. B. wieder ein Rahmen oder Tisch sein. Ein Beispiel für eine solche Konstruktion ist im US-Patent US 5,229,872 angegeben.
  • Der Einfachheit halber kann sich der Rest dieses Textes an bestimmten Stellen speziell auf Beispiele richten, die sich auf eine Maske beziehen. Die in solchen Fällen besprochenen allgemeinen Prinzipien sind jedoch in einem breiteren Kontext der oben dargelegten Musterungsmittel zu sehen.
  • Der Begriff "Projektionssystem" umfasst verschiedene Typen von Projektionssystemen. Obwohl "Linse" ist nach dem Verständnis des Laien gewöhnlich nur mit Brechungsoptik gleichbedeutend ist, wird der Begriff hierin allgemein verwendet, um z. B. katoptrische und katadioptrische Systeme zu beinhalten. Das Beleuchtungssystem kann auch Elemente enthalten, die gemäß einem beliebigen dieser Prinzipien arbeiten, um den Projektionsstrahl zu richten, formen oder steuern, und diese Elemente können nachstehend zusammen oder einzeln auch als eine "Linse" bezeichnet werden.
  • Außerdem kann der Begriff "Wafer-Tisch" verwendet werden, ohne zu implizieren, dass das Substrat, welches das Bild empfängt, ein Silizium-Wafer (Siliziumscheibe) ist, sondern er kann vielmehr einen Objekttisch angeben, die zum Tragen eines Substrats geeignet ist, das durch die lithografische Vorrichtung zu verarbeiten ist.
  • Lithografische Projektionsvorrichtungen können z. B. bei der Herstellung von integrierten Schaltungen (integrated circuits; ICs) verwendet werden. In einem solchen Fall kann das Musterbildungsmittel ein einer einzelnen Schicht des IC entsprechendes Schaltungsmuster erzeugen, und dieses Muster kann auf einen Zielabschnitt (der einen oder mehrere Chips aufweist) auf einem Substrat (Silizium-Wafer) abgebildet werden, das mit einer Schicht aus strahlungsempfindlichem Material (Resist) beschichtet worden ist. Im Allgemeinen enthält ein einziger Wafer ein Netzwerk benachbarter Zielabschnitte, die durch das Projektionssystem nacheinander jeweils einzeln bestrahlt werden. Bei den derzeitigen Vorrichtungen, die Musterbildung durch eine Maske auf einem Maskentisch anwenden, kann zwischen zwei verschiedenen Maschinentypen unterschieden werden. Bei einem Typ lithografischer Projektionsvorrichtungen wird jeder Zielabschnitt durch Belichten des gesamten Maskenmusters auf den Zielabschnitt auf einmal bestrahlt. Eine solche Vorrichtung wird im Allgemeinen als ein Wafer-Stepper bezeichnet. Bei einer im Allgemeinen als eine Step-and-Scan-Vorrichtung bezeichneten alternativen Vorrichtung wird jeder Zielabschnitt durch allmähliches Abtasten des Maskenmusters unter dem Projektionsstrahl in einer gegebenen Bezugsrichtung (der "Abtast"-Richtung) bestrahlt, während der Substrattisch parallel oder antiparallel zu dieser Richtung synchron abgetastet wird. Da das Projektionssystem im Allgemeinen einen Vergrößerungsfaktor M aufweist (im Allgemeinen < 1), entspricht die Geschwindigkeit V, mit der der Substrattisch abgetastet wird, einem Faktor M mal derjenigen, mit der der Maskentisch abgetastet wird. Weitere Informationen hinsichtlich lithografischer Vorrichtungen wie hier beschrieben können z. B. aus der US 6,046,792 entnommen werden.
  • Bei einem eine lithografische Projektionsvorrichtung verwendenden Fertigungsprozess wird ein Muster (z. B. in einer Maske) auf ein Substrat abgebildet, das wenigstens teilweise mit einer Schicht aus strahlungsempfindlichem Material (Resist) bedeckt ist. Vor diesem Abbildungsschritt kann das Substrat verschiedenen Vorgängen unterzogen werden wie z. B. Vorbereitung des Substrats (Priming), Beschichtung mit Resist und einem Soft Bake (leichtem Trocknen). Nach der Belichtung kann das Substrat anderen Vorgängen unterzogen werden wie z. B. einem Post Exposure Bake (PEB, Trocknen nach der Belichtung), einer Entwicklung, einem Hard Bake (starkem Trocknen) und einer Messung/Kontrolle der abgebildeten Merkmale. Diese Reihe von Vorgängen bildet die Basis, um eine einzelne Schicht eines Bausteins, z. B. eines IC, mit einem Muster zu versehen. Eine solche gemusterte Schicht kann dann verschiedenen Prozessen unterzogen werden wie z. B. Ätzen, Ionenimplantation (Dotieren), Metallisierung, Oxidation, chemo-mechanisches Polieren usw., die alle dazu vorgesehen sind, eine einzige Schicht zu vollenden. Sind mehrere Schichten erforderlich, muss der gesamte Vorgang oder eine seiner Varianten für jede neue Schicht wieder holt werden. Schließlich ist auf dem Substrat (Wafer) eine Reihe Bausteine vorhanden. Diese Bausteine werden dann durch eine Technik wie z. B. Zerschneiden oder Zersägen voneinander getrennt, wonach die einzelnen Bausteine auf einem Träger montiert, an Stifte angeschlossen werden können usw. Weitere Informationen bezüglich solcher Prozesse finden sich z. B. in dem Buch "Microchip Fabrication: A Practical Guide to Semiconductor Processing", dritte Ausgabe, von Peter van Zant, McGraw Hill Publishing Co., 1997, ISBN 0-07-067250-4.
  • Der Einfachheit halber kann das Projektionssystem nachstehend als die "Linse" bezeichnet werden; diese Bezeichnung ist jedoch allgemein als verschiedene Typen von Projektionssystemen einschließlich z. B. Brechungsoptik-, Spiegeloptik- und Spiegellinsensysteme umfassend auszulegen. Das Bestrahlungssystem kann auch Komponenten enthalten, die gemäß einer dieser Ausführungstypen arbeiten, um den Projektionsstrahl einer Strahlung zu richten, formen oder steuern, und diese Komponenten können nachstehend zusammen oder einzeln auch als eine "Linse" bezeichnet werden. Ferner kann die lithografische Vorrichtung einem Typ angehören, der zwei oder mehr Substrattische (und/oder zwei oder mehr Maskentische) aufweist. Bei solchen "Mehrtisch"-Vorrichtungen können die zusätzlichen Tische parallel verwendet werden oder es können Vorbereitungsschritte an einem oder mehreren Tischen ausgeführt werden, während ein oder mehrere andere Tische für Belichtungen verwendet werden. Lithografische Vorrichtungen mit zwei Tischen sind z. B. in der US 5,969,441 und WO 98/40791 beschrieben.
  • Während sich Beleuchtungssysteme für den Einsatz bei der Erzeugung von herkömmlichen bis ringförmigen und weiter zu Quadrupol- und komplizierteren Beleuchtungskonfigurationen entwickelt haben, sind gleichzeitig die Steuerparameter zahlreicher geworden. Bei einem herkömmlichen Beleuchtungsmuster wird eine Kreisfläche beleuchtet, die eine optische Achse enthält, wobei die einzige Einstellung des Musters in der Änderung des äußeren Radius (σr) besteht. Eine ringförmige Beleuchtung erfordert die Definition eines inneren Radius (σc), um den beleuchteten Ring zu definieren. Bei Multipolmustern nimmt die Anzahl steuerbarer Parameter weiter zu. Neben den zwei Radien definiert z. B. bei einer Quadrupol-Beleuchtungskonfiguration ein Polwinkel α den Winkel, der von jedem Pol zwischen den gewählten inneren und äußeren Radien geschnitten wird.
  • Gleichzeitig hat sich auch die Maskentechnologie weiterentwickelt. Binäre Intensitätsmasken sind Phasenschiebemasken und anderen fortschrittlichen Ausführungen gewichen. Während eine binäre Maske Abbildungsstrahlung an einer gegebenen Stelle einfach durchlässt, reflektiert oder blockiert, kann eine Phasenschiebemaske eine gewisse Strahlung dämpfen oder sie kann das Licht nach Erteilung einer Phasenverschiebung durchlassen oder reflektieren oder beides. Phasenschiebemasken sind verwendet worden, um Merkmale abzubilden, die in der Größenordnung der Wellenlänge der Abbildungsstrahlung oder kleiner sind, weil Beugungseffekte bei diesen Auflösungen unter anderen Problemen schlechten Kontrast und Linienendefehler verursachen können.
  • Die verschiedenen Typen von Beleuchtungskonfigurationen können verwendet werden, um Verbesserungen von Auflösung, Tiefenschärfe, Kontrast und anderen Eigenschaften des gedruckten Bildes herbeizuführen. Jeder Beleuchtungstyp hat jedoch bestimmte Nachteile. Ein verbesserter Kontrast kann z. B. auf Kosten der Tiefenschärfe gehen. Jeder Maskentyp hat eine Leistung, die auch von dem abzubildenden Muster abhängt.
  • Um den optimalen Beleuchtungsmodus für ein auf einem Wafer abzubildendes gegebenes Muster auszuwählen, sind herkömmlicherweise eine Reihe Testwafer aufs Geratewohl belichtet und verglichen worden. Wie oben bemerkt, haben moderne Beleuchtungssysteme eine ständig zunehmende Anzahl Variablen, die manipuliert werden können. Während die verschiedenen Umstellungen variabler Einstellungen zunehmen, werden die Kosten der Optimierung von Beleuchtungskonfigurationen durch Ausprobieren sehr hoch und quantitative Verfahren zur Auswahl von Beleuchtungskonfigurationen sind erforderlich.
  • Um den oben angegebenen und anderen Erfordernissen Rechnung zu tragen, stellt die vorliegende Erfindung ein Verfahren zur Optimierung eines Beleuchtungsprofils für ein gewähltes Muster des Musterbildungsmittels bereit, das die Schritte aufweist:
    Definieren einer Transmissionskoeffizientenfunktion für ein optisches System enthaltend ein Beleuchtungsgerät und das gewählte Muster eines Musterbildungsmittels;
    Bestimmen der relativen Relevanz für die Abbildung von Beugungsordnungen auf Basis des gewählten Musters; und
    Berechnen einer optimierten Beleuchtungskonfiguration aus der Transmissionskoeffizientenfunktion, wobei Zonen der Beleuchtungskonfiguration auf Basis der relativen Relevanz für die Abbildung der Beugungsordnungen gewichtet werden.
  • Gemäß einem anderen Aspekt der vorliegenden Erfindung wird ein Fertigungsverfahren für einen Baustein mit folgenden Schritten bereitgestellt:
    • (a) Bereitstellen eines Substrats, das wenigstens teilweise mit einer Schicht aus strahlungsempfindlichem Material bedeckt ist,
    • (b) Bereitstellen eines Projektionsstrahls einer Strahlung unter Verwendung eines Beleuchtungssystems;
    • (c) Verwenden eines Musterbildungsmittels, um den Projektionsstrahl in seinem Querschnitt ein Muster zu verleihen;
    • (d) Projizieren des gemusterten Strahls einer Strahlung auf einen Zielabschnitt der Schicht aus strahlungsempfindlichem Material, wobei vor Schritt (d) die Intensitätsverteilung des in Schritt (b) erzeugten Projektionsstrahls in Querschnittsrichtung auf das in Schritt (c) verwendete Muster unter Anwendung eines wie oben beschriebenen Verfahrens zugeschnitten wird.
  • Gemäß einem anderen Aspekt der vorliegenden Erfindung wird eine lithografische Projektionsvorrichtung bereitgestellt, die Folgendes aufweist:
    • – ein Beleuchtungssystem zur Bereitstellung eines Projektionsstrahls einer Strahlung;
    • – eine Tragstruktur zum Tragen des Musterbildungsmittels, wobei das Musterbildungsmittel dazu dient, den Projektionsstrahl gemäß einem gewünschten Muster mit einem Muster zu versehen;
    • – einen Substrattisch zur Aufnahme eines Substrats;
    • – ein Projektionssystem zum Projizieren des gemusterten Strahls auf einen Zielabschnitt des Substrats,
    wobei die Vorrichtung außerdem aufweist:
    • – ein Rechenmittel zur Definition einer Transmissionskoeffizientenfunktion für das Beleuchtungsgerät und das gewünschte Muster, das die relative Relevanz für die Abbildung der Beugungsordnungen auf Basis des vom Muterbildungsmittel erzeugten Musters bestimmt, und eine optimierte Beleuchtungskonfiguration aus der Transmissionskoeffizientenfunktion berechnet, wobei Zonen der Beleuchtungskonfiguration auf Basis der relativen Relevanz für die Abbildung der Beugungsordnungen gewichtet werden; und
    • – ein Wahlmittel zum Wählen der Intensitätsverteilung im Projektionsstrahl in Querschnittsrichtung, der aus dem Beleuchtungssystem austritt, gemäß der vom Rechenmittel berechneten Beleuchtungskonfiguration.
  • Die vorliegende Erfindung stellt weiter Computerprogramme zur Ausführung der oben beschriebenen Verfahren bereit.
  • Obwohl in diesem Text speziell auf die Verwendung der Vorrichtung gemäß der Erfindung bei der Herstellung von ICs verwiesen werden kann, soll ausdrücklich darauf hingewiesen werden, dass eine solche Vorrichtung zahlreiche andere mögliche Anwendungen hat. Sie kann z. B. bei der Herstellung von integrierten optischen Systemen, Leit- und Detektionsmustern für Magnetblasenspeicher, Flüssigkristall-Anzeigetafeln, Dünnfilm-Magnetköpfen usw. verwendet werden. Der Fachmann weiß, dass im Kontext solcher alternativer Anwendungen jegliche Verwendung der Begriffe "Retikel", "Wafer" oder "Chip" in diesem Text als durch die allgemeineren Bezeichnungen "Maske", "Substrat" bzw. "Zielabschnitt" ersetzt betrachtet werden soll.
  • Die vorliegende Erfindung wird weiter unten unter Bezugnahme auf beispielhafte Ausführungsformen und die beiliegenden Zeichnungen beschrieben; es zeigen:
  • 1 ein Diagramm der Transmissionskoeffizientenfunktion für ein verallgemeinertes System zum Bilden eines Bildes;
  • 2 ein Beispiel für ein mikrolithografisches Maskenmerkmal eines Ziegelmauerisolationsmusters;
  • 3 eine Darstellung der Beugungsordnungen des Maskenmerkmals von 2;
  • 4 eine Abbildung der berechneten optimierten vierdimensionalen Beleuchtungskonfiguration für das Maskenmerkmal von 2;
  • 5 eine berechnete Grauskala-Anfangsbeleuchtungskonfiguration (Jtot) für das Maskenmerkmal von 2;
  • 6 eine binäre Darstellung der Beleuchtungskonfiguration von 5;
  • 7 eine Analyse eines Drucks des Maskenmerkmals von 2, das mit einer ringförmigen Beleuchtungskonfiguration gedruckt wurde;
  • 8 eine Analyse eines Drucks des Maskenmerkmals von 2, das mit einer optimierten elliptischen Beleuchtungskonfiguration gedruckt wurde;
  • 9 eine Abbildung der berechneten optimierten vierdimensionalen Beleuchtungskonfiguration für das Maskenmerkmal von 2, skaliert nach 110 nm-Entwurfsregeln;
  • 10 eine berechnete Grauskala-Anfangsbeleuchtungskonfiguration für das Maskenmerkmal von 2, skaliert nach 110 nm-Entwurfsregeln;
  • 11a und 11b binäre Darstellungen der Beleuchtungskonfiguration von 10 mit unterschiedlichen Werten von σ;
  • 12 ein Beispiel für ein Maskenmuster mit angegebenen kritischen Gattern und Zellen;
  • 13 das Maskenmuster von 12 mit hinzugefügten Hilfsmerkmalen zur Verringerung der Anzahl Teilungen im Muster;
  • 14 einen Vergleich der Wahrscheinlichkeitsdichtefunktionen von Abstandsbreiten der Maskenmuster der 12 und 13; und
  • 15 eine schematische Darstellung einer Vorrichtung für Mikrofotolithografie.
  • In den verschiedenen Figuren sind gleiche Teile durch identische Bezugszeichen gekennzeichnet.
  • Bei der vorliegenden Erfindung erfolgt zuerst eine mathematische Modellierung der Abbildung des Musters auf das Substrat (z. B. von einer Maske aus), wobei die Beleuchtungsquelle und die Musterdetails berücksichtigt werden.
  • Es gibt zwei Hauptverfahren zur Berechnung des Luftbildes für eine endliche Beleuchtungsquelle. Diese Verfahren sind die Abbe'sche Formulierung und Hopkins'sche Formulierung. Bei der Abbe'schen Formulierung erzeugt jede Punktquelle in der Beleuchtungskonfiguration eine auf das Muster einfallende ebene Welle und jede dieser Punktquellen wird auf den Wafer abgebildet. Da die Quelle räumlich inkohärent ist, ist die Gesamtintensität am Wafer die Summierung der von jeder dieser Punktquellen erzeugten Intensität. Deshalb wird bei der Abbe'schen Formulierung die Integration über die Beleuchtungskonfiguration nach der Integration über das Muster ausgeführt.
  • Bei der Hopkins'schen Formulierung ist die Integrationsreihenfolge vertauscht, d. h. die Integration über die Quelle wird zuerst ausgeführt. Bei der Hopkins'schen Formulierung wird ein vierdimensionaler Transmissionskoeffizient (transmission cross coefficient, TCC) definiert und die Bildintensität ist die inverse Fourier-Transformation des TCC. Eine Ableitung des TCC ist z. B. in Born und Wolf, Principles of Optics, 6. Ausgabe, SS. 528–532 beschrieben.
  • Der TCC ist die Autokorrelation der Projektionspupille multipliziert mit der Beleuchtungspupille. Der TCC ist in 1 als ein Satz dreier einander überlappender Kreise dargestellt. Von links nach rechts stellt der erste Kreis die Beleuchtungspupille Js(α, β) dar, wobei α und β Koordinaten der Beleuchtungskonfiguration sind. Für die Zwe cke der folgenden Berechnungen kann der Radius von Js z. B. auf den maximal zulässigen äußeren σr für die Lithografievorrichtung gesetzt werden, die zum Abbilden verwendet wird. Es ist auch möglich, σr auf 1,0 oder größer zu setzen, um Durchführbarkeitsstudien auszuführen und die Vorteile eines größeren σr zu bestimmen.
  • Der mittlere Kreis stellt die Projektionspupille, K(α, β) dar, die bei (–mλ/PxNA, –nλ/PyNA) zentriert ist. Die Koordinatensysteme sind durch einen Faktor λ/NA normalisiert, so dass der Radius von K 1,0 beträgt. Der Kreis auf der rechten Seite stellt ebenfalls die Projektionspupille dar, allerdings ist sie bei pλ/PxNA, qλ/PyNA) zentriert. In diesen letzten zwei Ausdrücken entsprechen m, n, p und q diskreten Beugungsordnungen und es wird klar, dass der TCC eine vierdimensionale (4-D) Gleichung ist, wie oben beschrieben. Die Beugungsordnungen in der x-Richtung sind durch m und p dargestellt und die Beugungsordnungen in der y-Richtung sind durch n und q dargestellt. Obwohl für die Zwecke dieser Beschreibung x- und y-Koordinaten verwendet werden, ist dem Fachmann klar, dass alternative Koordinatensysteme mit entsprechenden Änderungen von Koordinatensystemen in den folgenden Gleichungen verwendet werden könnten.
  • Der TCC für einen diskreten 4-D-Punkt (m, n, p, q) ist das Integral des schattierten Bereichs, in dem alle drei Kreise einander überlappen. Da angenommen wird, dass die Struktur periodisch ist, ist die Fourier-Transformation des Musters diskret und ist der TCC diskret. Für ein kontinuierliches Musterbild kann die Teilung erhöht werden, bis ein benachbartes Merkmal keinen Einfluss auf die Fourier-Transformation des interessierenden Musters hat. Der TCC in 1 wird in Gleichung 1 mathematisch beschrieben.
    Figure 00110001
  • Der TCC kann erweitert werden, um die Effekte des Musters mit aufzunehmen, indem Beugungsordnungskoeffizienten (diffraction order cross coefficients, DOCC) definiert werden. Die DOCC werden in Gleichung 2 definiert, die von der Multiplikation des TCC mit den Fourier-Transformationskoeffizienten des Musters abgeleitet ist. DOCC(m, n, p, q) = T(m, n)T·(–p, –q)TCC(m, n, p, q) Gl.2
  • Ferner kann die Strahlungsintensität am Wafer durch die inverse Fourier-Transformation des DOCC berechnet werden, wie in Gleichung 3 gezeigt.
    Figure 00120001
  • Das optische Projektionssystem wirkt teilweise wie ein Tiefpassfilter, das die Beugungsordnungen verringert, so dass nur einige der Beugungsordnungen für die berechnete Bildintensität wichtig sind. Folglich ist der TCC eine bandbegrenzte Funktion. Die maximal erforderlichen x- und y-Ordnungen können gemäß den Gleichungen 4 bzw. 5 berechnet werden. In jedem Fall sind die negativen und positiven Ordnungen erforderlich, m erstreckt sich z. B. von negativ mmax bis positiv mmax (–mmax ≤ m ≤ mmmax). Da negative und positive Ordnungen benötigt werden, ist die Größe des TCC 2mmax + 1 mal 2nmax + 1 mal 2pmax + 1 mal 2qmax + 1. Weil der TCC bandbegrenzt ist, ist es jedoch glücklicherweise nicht erforderlich, alle Musterbeugungsordnungen zu berechnen. Wie im TCC sind nur Musterbeugungsordnungen –mmax ≤ m ≤ +mmax in der x-Richtung und Ordnungen –nmax ≤ n ≤ +nmax in der y-Richtung nötig.
    Figure 00120002
    Figure 00130001
  • Einsetzen der Gleichungen 1 und 2 in die Gleichung 3 ergibt Gleichung 6 für die Strahlungsintensität am Wafer. Durch Wechseln der Integrationsreihenfolge wie in Gleichung 7 dargestellt, d. h. durch Verwenden der Abbe'schen Formulierung statt der von Hopkins, können die Abschnitte der Beleuchtungspupille bestimmt werden, die am einflussreichsten auf die Abbildung sind. Es sei darauf hingewiesen, dass sich jede der Gleichungen 6 und 7 über zwei Zeilen erstreckt.
    Figure 00130002
  • Da α und β Beleuchtungspupillen-Koordinaten darstellen, kann eine neue Funktion Jopt definiert werden. Die neue Funktion Jopt gibt an, welcher Teil der Beleuchtungskonfiguration (α, β) für eine gegebene Beugungsordnung (m, n, p, q) verwendet wird, und wird in Gleichung 8 ausgedrückt. Aus Gleichung 8 kann die Bildintensität berechnet werden, indem sie mit dem inversen Fourier-Koeffizienten (eikx) multipliziert wird und eine Summierung über alle 6 Variablen (m, n, p, q, α, β) ausgeführt wird, wie in Gleichung 9 dargestellt.
    Figure 00140001
  • Es ist zu ersehen, dass Jopt eine sechsdimensionale Funktion ist und daher es schwierig ist, sie auf die Beleuchtungskonfiguration anzuwenden. Um am besten zu bestimmen, welche Abschnitte der Beleuchtungskonfiguration für die Bildung des Bildes von Bedeutung sind, ist es wünschenswert, einige der sechs Variablen zu eliminieren.
  • Die Intensität des Luftbildes, I(x, y), wird bestimmt, indem eine inverse Transformation über m + p und n + q angewendet wird. Wenn m + p = n + q = 0, gibt es keine Modulation bei der Intensität des Luftbildes. Da eines der Ziele der Beleuchtungsoptimierung in der Beseitigung von Teilen der Beleuchtungskonfiguration besteht, die wenig oder keinen Einfluss auf die Modulation haben, können die Abschnitte der Beleuchtungskonfiguration, für die m + p = n + q = 0 ist, eliminiert werden. Um diese Teile zu eliminieren und die für die Bildung des Bildes bedeutsamen Abschnitte der Beleuchtungskonfiguration besser zu visualisieren, eliminiert eine Transformation von Variablen zwei der Variablen in der sechsdimensionalen Jopt-Funktion (vier Beugungsordnungen) und wandelt sie in eine vierdimensionale Funktion (zwei Beugungsordnungen). Die vierdimensionale Funktion heißt Jopt–2D. Durch Einsetzen der Gleichungen 10 und 11 in Gleichung 9 für I(x, y) kann Gleichung 12 abgeleitet werden. η = m + p ⇒ p = η – m Gl. 10 ξ = n + q ⇒ q = ξ – n Gl. 11
    Figure 00150001
  • In Gleichung 12 ist zu ersehen, dass Jopt–2D die Summierung von Jopt über m und n nach der Transformation von Variablen gemäß den Gleichungen 10 und 11 ist. Durch weiteres Einsetzen der Gleichung 8 in Gleichung 12 kann Jopt–2D wie in Gleichung 13 ausgedrückt werden und die Intensität, I(x, y), kann als eine Funktion von Jopt–2D geschrieben werden wie in Gleichung 14.
    Figure 00150002
  • Wenn die Funktion Jopt–2D ausgewertet wird, zeigt sie die Abschnitte der Beleuchtungskonfiguration, die für jede Beugungsordnung wichtig sind. Da Jopt–2D durch jede Beugungsordnung T(m, n) gewichtet wird, haben große Beugungsordnungen einen größeren Einfluss auf das Luftbild.
  • Ein Anfangspunkt für die beste Beleuchtungskonfiguration für ein bestimmtes Muster kann als Jtot bezeichnet werden und wird durch Summieren von Jopt–2D über η und ξ und Subtrahieren von Jopt–2D(α, β, η = 0, ξ = 0) gefunden, wie in Gleichung 15 dargestellt. Ist in Gleichung 15 ξ = 0 und ξ = 0, gibt es keine Modulation im Luftbild und die Komponente Jopt–2D(α, β, η = 0, ξ = 0) stellt Ordnung null oder Gleichlicht dar. Nicht zur Abbildung beitragende Punkte in der Beleuchtung vermehren die Gesamtmenge des Gleichlichts. Da das vermehrte Gleichlicht keine Modulation verursacht, ist es nicht von großem Vorteil, und außerdem kann es zu einer Verringerung der Tiefenschärfe führen.
  • Demgemäß minimiert eine Beleuchtungskonfiguration gemäß Jtot die Menge Gleichlicht und führt zu einem verbesserten Prozessfenster. Die Gleichung Jtot kann verwendet werden, um zu zeigen, welche Teile des Beleuchtungsgeräts von größerer Bedeutung (oder geringerer Bedeutung) für die Bildung des Bildes sind.
    Figure 00160001
  • Da die Beleuchtungskonfiguration und das Muster gekoppelt sind, beeinflussen Änderungen der optischen Näherungskorrektur (optical proximity correction, OPC) die Beugungsordnungen, was deshalb Jtot beeinflusst. Folglich sollten Modifikationen der Anfangsbeleuchtungskonfiguration Jtot und des Musters mehrmals mittels Wiederholungen der Verarbeitung mit einer OPC-Engine und einer Beleuchtungs-Engine ausgeführt werden, wie dem Fachmann klar ist. Ferner müssen das Muster und die Beleuchtungskonfiguration auch angepasst werden, um ein bestimmtes Abbildungskriterium zu optimieren (Tiefenschärfe (DOF), Linienende (EOL), Aberrationsempfindlichkeit usw.), was mit Optimierungs-Software ausgeführt werden kann. Da jedoch das Muster als Ganzes statt die OPC-Merkmale die größte Auswirkung auf die optimale Beleuchtungskonfiguration hat, ist Jtot die beste anfängliche Beleuchtungskonfigu ration, um zur schnellsten Konvergenz zum Optimieren von Wiederholungen über die Beleuchtungskonfiguration und das Muster zu führen.
  • Die Anfangsbeleuchtungskonfiguration Jtot kann durch eine Grauskala-Beleuchtungskonfiguration repräsentiert werden, die kontinuierliche Intensitätswerte über einen Bereich von 0 bis 1 hat. Es ist möglich, eine solche Grauskala-Beleuchtungskonfiguration mit einem beugenden optischen Element (diffractive optical element, DOE) oder mittels einer Quarzplatte mit einer mit Dithering (Streurasterung) erzeugten Verchromung zu erzeugen. Ist eine Grauskala-Beleuchtungskonfiguration nicht möglich oder bevorzugt, kann das Profil des Beleuchtungsgeräts gezwungen werden, nur 0 und 1 zu sein, indem eine Schwelle auf die Grauskala angewendet wird, wobei Werte über der Schwelle auf 1 aufgerundet und Werte unter der Schwelle auf 0 abgerundet werden. Eine willkürliche Schwelle kann angewendet werden oder eine optimale Schwelle kann durch Simulieren des Prozessfensters oder durch wiederholte Testläufe gefunden werden.
  • Beispiel 1: Die oben dargelegte Technik zum Berechnen von Jtot wurde auf ein Ziegelmauerisolationsmuster angewendet. Ein 150 nm-Muster wurde auf 130 nm- und 110 nm-Entwurfsregeln geschrumpft und mit einem Step-and-Scan-Lithografiesystem mit einer numerischen Apertur (NA) von 0,8 abgebildet. Das Isolationsmuster für die 130 nm-Entwurfsregel ist in 2 dargestellt.
  • Die Größen der Beugungsordnungen dieses Maskenmerkmals sind in 3 grafisch dargestellt. In 3 ist die größte Ordnung die Ordnung (0, 0) oder das Hintergrund-Gleichlicht. Die am meisten zur Abbildung beitragenden Ordnungen sind die Ordnungen (±2, 0) und stellen die vertikalen Ziegelsteine im Ziegelmauermuster dar. Die andere bedeutsame Ordnung ist die (±1, ±1), die die freien Bereiche darstellt und das Ende des Isolationsmusters definiert. Die höheren Ordnungen helfen auch zweidimensionale Strukturen zu definieren wie z. B. das Ende jeder Linie. Da die Beugungsordnungen nicht konstant sind, ändern die Ordnungen die Gewichtungskoeffizienten im DOCC, was bedeutet, dass das Maskenmuster die Beleuchtungsstrategie beeinflusst.
  • Die Beugungsordnungskoeffizienten T(m, n) in 3 können in die Gleichung 13 eingesetzt werden, um Jopt–2D zu berechnen, und sind in 4 grafisch dargestellt. Wie aus 4 ersichtlich, trägt die Ordnung (η = 0, ξ = 0) am meisten zu Jopt–2D bei. Die Ordnung (0, 0) trägt nicht zur Abbildung bei und verringert die Tiefenschärfe. Wie Gleichung 15 zeigt, kann diese Ordnung (0, 0) von der Gesamtbeleuchtung Jtot subtrahiert werden. Wenn die Ordnung (0, 0) nicht berücksichtigt wird, kommt der größte Beitrag von der Beugungsordnung (η = ±2, ξ = 0), die die Bildung der Isolationslinien entlang der x-Richtung darstellt. Eine andere Komponente, die groß ist und das Ende der Isolationslinien definiert, ist die Beugungsordnung (η = ±1, ξ = ±1). Obwohl die Beugungsordnung (0, ±2) ziemlich klein ist, verbinden sich höhere Ordnungen in der Zone η = 0 und ξ = ±2 der Linse. Diese Zonen helfen auch bei der Definition des Linienendes. Der DOCC-Ansatz zeigt, wie die Beleuchtungspupille zur verbesserten Bildung des Bildes abgetastet wird, und er ist ein wirksames Verfahren, um die Abbildung des Ziegelmauerisolationsmusters zu verstehen.
  • Mittels Gleichung 15 kann die Beleuchtungspupille des Ziegelmauermusters für die 130 nm-Entwurfsregel berechnet werden und sie ist in 5 dargestellt. 5 zeigt, dass die bedeutsamsten Bereiche für die Bildung des Bildes die äußeren Abschnitte der Beleuchtungskonfiguration entlang der x-Achse sind. Diese äußeren Abschnitte bilden einen elliptischen Dipol. Zusätzlich zu diesen elliptischen Dipolelementen leistet die Mitte der Beleuchtungspupille einen großen Beitrag zur Bildung des Bildes. Wie oben erwähnt, kann die Beleuchtungspupille in Grauskala- oder binären Beleuchtungsprofilen implementiert sein.
  • Je nach der verwendeten Vorrichtung kann eine Grauskala-Beleuchtung möglich sein. Mit Grauskala-Beleuchtung ist eine kontrollierbare Beleuchtungsintensität gemeint, für die ein genormter Pegel im Bereich von 0 bis 1 für mindestens gegebene Abschnitte der Beleuchtungskonfiguration gewählt werden kann. Eine solche Kontrolle über die Beleuchtungsintensität kann z. B. durch die Verwendung eines beugenden optischen Elements (diffractive optical element, DOE) im Beleuchtungssystem geschaffen werden. In diesem Fall kann die Beleuchtungskonfiguration z. B. implementiert werden, wie in 5 dargestellt. Einige der lokalen Spitzen, die theoretisch berechnet werden und in 5 zu sehen sind, werden jedoch nach der Tiefpassfilterung der Beleuchtungsinformation als Folge der Projektionsoptik entfernt, wie oben besprochen. Beim Entwerfen einer Beleuchtungskonfiguration sind deshalb Spitzen, die gefiltert werden, zu ignorieren.
  • Wenn eine binäre Beleuchtungskonfiguration verwendet wird, d. h. es sind nur binäre Werte für die Intensität des Beleuchtungsgeräts zulässig (0 oder 1), ist ein Schwellenwert als eine Basis für die Zuordnung von Werten 0 oder 1 zu jedem Punkt auf der Beleuchtungskonfiguration zu wählen. Wird z. B. eine Schwelle 0,8 gewählt, werden Beleuchtungsgerät-Intensitätswerte über 0,8 auf 1 aufgerundet und Werte unter 0,8 auf 0 abgerundet. Andere Schwellenwerte können angewendet werden wie gewünscht.
  • Beispiel 2: Mittels des Ansatzes "Grauskala-zu-binär" wurde eine binäre Beleuchtungskonfiguration für das gleiche Ziegelmauerisolationsmuster unter der Annahme eines maximalen äußeren σ von 0,88 entworfen, die in 6 dargestellt ist.
  • Die Leistung der optimierten Beleuchtungskonfiguration in 6 wurde dann für eine Binärmaske auf einer Step-and-Scan-Fotolithografie-Vorrichtung mit NA = 0,8 und λ = 248 nm simuliert und mit der simulierten Leistung einer ringförmigen Beleuchtung verglichen. Bei der Simulation wurde das Vektor- (Dünnfilm-) Abbildungs-Resistmodell verwendet, weil die numerische Apertur über 0,7 betrug. Bei diesem Modell ist das Resist 400 nm dick und von einem Typ mit einem Brechungsindex n = 1,76 – j0,0116 über 66 nm eines anderen Typs mit n = 1,45 – j0,3 auf einem Polysiliziummaterial mit n = 1,577 – j3,588. Die Ergebnisse mit der ringförmigen Beleuchtung (σin = 0,58 und σout = 0,88) und mit dem optimierten Beleuchtungsgerät (σout = 0,88) sind in den 7 bzw. 8 dargestellt. In beiden 7 und 8 sind Querschnittergebnisse in der Mitte der Isolationszone und die Top-down-Simulationsergebnisse (top-down: verfeinernd, absteigend) dargestellt. In den Figuren ist die Bossung-Darstellung B von der Luftbildschwelle durch Mitteln der Intensität durch das Resist berechnet und die resultierende Linienbreite, Iw, ist gegenüber dem Fokus, f, für eine Schwellenintensität aufgetragen. Diese Technik neigt dazu, die Tiefenschärfe zu groß vorherzusagen, da Dickenverlust und Neigung des Resistprofils nicht berücksichtigt werden. Wahrscheinlich ist ein Resistmodell erforderlich, das wenigstens den Dickenverlust berechnet. In jeder der Figuren sind die Top-down-Ergebnisse als durchgezogene, gekrümmte Linien bei der besten Schwelle (besten Dosis) nach Berechnung durch die Bossung-Darstellung aufgetragen. Diese simulierten Schwellenbilder werden mit tatsächlichen Maskendaten verglichen, die mit gestrichelten, geraden Linien dargestellt sind.
  • Simulationsergebnisse für das Ziegelmauerisolationsmuster gemäß der 130 nm-Entwurfsregel sind in 7 für ein Binärmaskenmerkmal mit einer NA von 0,8 unter Verwendung einer ringförmigen Beleuchtung (σin = 0,58 und σout = 0,88) grafisch dargestellt. Diese ringförmige Einstellung hat von –0,4 μm bis 0,0 μm Fokus eine Tiefenschärfe von ca. 0,4 μm. Der Kontrast des Resists ist durch den Fokus niedrig und kann mit einem kontrastarmen Resist abgebildet werden. Bei diesem intensitätsarmen Kontrast ist jedoch der Maskenfehler-Verstärkungsfaktor (mask error enhancement factor, MEEF) groß und der Belichtungsspielraum (exposure latitude, EL) ist klein. Die Top-down-Bilder in 7 zeigen auch, dass es eine Linienende-Verkürzung von ca. 20 nm gibt, die behoben werden kann, indem die Linie für die 130 nm-Entwurfsregel geringfügig verlängert wird. Während die Entwurfsregel jedoch weiterhin schrumpft, ist die Verlängerung der Linie nicht mehr durchführbar, weil die verlängerte Linie mit anderen Merkmalen im Konflikt geraten kann. Deshalb ist es wünschenswert, das Linienende mit der Beleuchtung zu korrigieren.
  • In 8 sind Simulationsergebnisse für das Ziegelmauerisolationsmuster gemäß der 130 nm-Entwurfsregel für ein Binärmaskenmerkmal mit einer NA von 0,8 und unter Verwendung der optimierten binären Beleuchtungskonfiguration von 6 grafisch dargestellt. Die optimale Beleuchtungskonfiguration hat von –0,45 μm bis +0,15 μm Fokus eine Tiefenschärfe von ca. 0,6 μm. Beim Vergleich der Querschnittbilder in 8 mit denen in 7 hat die optimierte Beleuchtungskonfiguration im Vergleich zur ringförmigen Beleuchtung einen größeren Kontrast durch den Fokus. Dieser größere Kontrast bedeutet, dass der MEEF für die optimierte Beleuchtungskonfiguration im Vergleich zur ringförmigen Beleuchtung niedriger ist, und dass der Beleuchtungsspielraum für die optimierte Beleuchtungskonfiguration höher ist. Ein anderer Vorteil der optimierten Beleuchtungskonfiguration ist die verbesserte Linienende-Leistung im Vergleich zur ringförmigen Beleuchtung. Die Top-down-Bilder in 8 zeigen, dass die optimierte Beleuchtungskonfiguration das Linienende beizubehalten vermag, ohne die Linie auf dem Muster zu verlängern, was für energischere Schrumpfungen der Entwurfsregel vorteilhaft ist.
  • Beispiel 3: Die Ergebnisse in den 7 und 8 für binäre Masken (BIM) wurden mit Simulationsergebnissen für chromfreie Masken (chromeless masks, CLM) verglichen. Anhand experimenteller Ergebnisse einer Software-Simulation wurde ein chromfreies Ziegelmauerisolationsmuster auf eine dem Fachmann bekannte Weise entworfen. Die chromfreie Technologie erfordert Licht der Ordnung (0, 0), um von der durch Beleuchtung unter Abstand von der Achse erzeugten Verbesserung der Tiefenschärfe vollständig zu profitieren. Experimentelle Ergebnisse der Simulation bestätigen die Notwendigkeit von Licht der Ordnung (0, 0), zu dessen Zweck die Isolierschicht durch ein Dithering- oder Halbtonverfahren erzeugt werden soll. Die Halbtonteilung kann so gewählt werden, dass die erste Ordnung in der durch Dithering erzeugten Richtung nicht in die Projektionspupille fällt. Im Beispiel wurden die Linien in der vertikalen Richtung mit einer Teilung von weniger als λ/[NA(1 + σout)] mittels Dithering erzeugt. Der Dithering-Arbeitszyklus sollte jedoch abgestimmt werden, um der Menge Licht der Ordnung (0, 0) für beste Tiefenschärfe und Musterwiedergabetreue zu optimieren. In den Simulationsergebnissen für chromfreie Masken betrug die Halbtonteilung 155 nm bei einem Arbeitszyklus von 50% (77,5 nm-Chrominseln). Diese Teilung verhinderte im Wesentlichen den Eingang der Ordnun gen (0, ±1) in die Projektionspupille. Dieser Arbeitszyklus sollte jedoch mit computergestützten Entwurfswerkzeugen auf maximale Tiefenschärfe abgestimmt werden.
  • Beispiel 4: Simulationsergebnisse für die Schicht gemäß der 130 nm-Entwurfsregel wurden für eine chromfreie Maske mit einer 155 nm-Halbtonteilung und einem Arbeitszyklus von 50% grafisch dargestellt. Die chromfreie Maske wurde auf einer Vorrichtung mit λ = 248 nm und mit einer NA von 0,8 und einer ringförmigen Beleuchtung (σin = 0,58 und σout = 0,88) belichtet. Die chromfreie Maske mit dieser ringförmigen Einstellung hatte eine Tiefenschärfe von 0,5 μm (–0,4 μm Fokus bis +0,1 μm Fokus). Die chromfreie Maske mit ringförmigen Befeuchtung hatte im Vergleich zur binären Maske mit ringförmiger Beleuchtung eine größere Tiefenschärfe und einen besseren Kontrast durch den Fokus. Dies bedeutet, dass die chromfreie Maske eine bessere Leistung erbrachte als die binäre Maske. Die Top-down-Simulationsergebnisse deuteten darauf hin, dass die Linienende-Leistung mit einer chromfreien Maske theoretisch besser ist als die Linienende-Leistung mit einer binären Maske und dass die chromfreie Maske auch den Kontaktloch-Landebereich im Vergleich zu binären Masken besser definieren konnte.
  • Beispiel 5: Simulationsergebnisse für die Ziegelmauerisolationsmuster-Isolierschicht gemäß der 130 nm-Entwurfsregel wurden für eine in 6 gezeigte Vorrichtung mit λ = 248 nm, einer NA von 0,8 und einem optimierten elliptischen Dipol grafisch dargestellt. Diese Ergebnisse wurden mit einem Retikel simuliert, das mit dem beim vorhergehenden Beispiel verwendeten CLM-Retikel identisch ist, das eine Halbtonteilung von 155 nm und einen Arbeitszyklus von 50% aufweist. Die mit dieser optimierten Beleuchtungskonfiguration belichtete chromfreie Maske hatte eine Tiefenschärfe von 0,7 μm (–0,5 μm bis +0,2 μm Fokus), eine Verbesserung von 40%. Die Bossung-Darstellungen zeigten, dass die isofokale Intensität ungefähr 0,21 betrug. Ein modellbasierter OPC-Ansatz könnte zusätzlich angewendet werden, um das Retikel bei der richtigen Linienbreite größenmäßig abzustimmen, was weitere Leistungsverbesserungen mit sich bringen würde. Die Linienbreite kann z. B. durch Biasing (Größen- oder Formänderung des Merkmals) und durch Modifizieren des Halbton-Arbeitszyklus korrigiert werden. Die Top-Down-Simulationsergebnisse zeigten, dass die chromfreie Maske (CLM) die Kontaktlandezone definieren und die Maßhaltigkeit (critical dimension uniformity, CD uniformity) bewahren konnte. Einschnürungen (necking) und andere Unbeständigkeiten der Linienbreite wurden mit dieser elliptischen Beleuchtungskonfiguration verringert. Ferner könnte das CLM-Retikel einem Biasing unterzogen werden, um die Tiefenschärfe zu verbessern, und folglich sollte sich die Linienende-Leistung verbessern. Außerdem sollte eine modellbasierte optische Näherungskorrektur in der Lage sein, das Linienende weiter zu korrigieren.
  • Beispiel 6: Unter Verwendung des Maskenmusters von 2 für eine Isolierschicht gemäß der 110 nm-Entwurfsregel wurde mit den Gleichungen 13 und 15 eine optimierte Beleuchtungskonfiguration erzeugt. Zur Visualisierung der Abtastung der Beleuchtungspupille ist Jopt–2D in 9 grafisch dargestellt und zeigt x-Ordnungen (η = m + p) horizontal und y-Ordnungen (ξ = n + q) vertikal. Wie in 4 für die 130 nm-Entwurfsregel trägt die Ordnung (η = 0, ξ = 0) am meisten zur 110 nm-Entwurfsregel in 11 bei. Licht dieser Ordnung (0, 0) ist für die Tiefenschärfe nachteilig und wird in Jtot eliminiert, wie in Gleichung 15 angegeben. 9 zeigt auch, dass nicht die Ordnung (±2, 0), sondern vielmehr die Ordnungen (±1, ±1) die größten Beitragenden zur Optimierung der Beleuchtungskonfiguration sind. Dies ist auf die Tatsache zurückzuführen, dass die 110 nm-Entwurfsregel zu aggressiv für die 248 nm-Vorrichtung mit NA = 0,8 ist, da zur Erzielung dieser Auflösung eine geringfügig höhere NA bevorzugt ist. Die am meisten zur Definition der Isolationslinienbreite beitragenden Ordnungen sind die Ordnungen (±2, 0). Die Ordnungen (±2, 0) befinden sich jedoch an der fernen Kante der Beleuchtungskonfiguration (0,8 < σ < 1,0), was bedeutet, dass ein σ von 1 eine Verbesserung herbeiführen kann, um die 110 nm-Enwurfsregel bei dieser Wellenlänge zu implementieren.
  • Unter Verwendung von Gleichung 15 und der Ergebnisse in 9 ist die optimierte Beleuchtungskonfiguration für die 110 nm-Ziegelmauer-Isolierschicht in 10 dargestellt. 10 zeigt, dass die am meisten zur Bildung des Bildes beitragenden Bereiche der Beleuchtungskonfiguration ein kleiner Abschnitt in der Mitte und ferne Kanten der Beleuchtungskonfiguration sind. Eine mögliche Implementierung dieser Beleuchtungskonfiguration ist in 11a grafisch dargestellt. Um die 248 nm-Vorrichtung zum Drucken energischerer Entwurfsregeln zu verwenden und die Grenze der numerischen Projektionsapertur weiter hinauszuschieben, kann eine Beleuchtungskonfiguration mit einem σ von 1,0 wie in 11b dargestellt und mit kleinen Sektoren (σ-Ringbreite 0,2) verwendet werden.
  • Eine Implementierung der vorliegenden Erfindung beinhaltet die Auswahl von Zellen oder bestimmten Gattern, die kritisch sind. Diese kritischen Merkmale werden dann verarbeitet, um Jtot zu bestimmen, wie oben beschrieben. In Abschnitt 1 wurde dargelegt, dass die Beleuchtungskonfiguration musterabhängig ist. Falls die Teilung für die kritischen Merkmale nicht wesentlich verschieden ist, ist es deshalb möglich, eine einzige Beleuchtungskonfiguration zu erzeugen, die das Prozessfenster für alle kritischen Merkmale optimiert. In 12 ist ein Beispiel für eine Schaltung mit kritischen Gattern g1, g2, g3 und einer kritischen Zelle cc dargestellt. Die Beugungsordnungen dieser markierten kritischen Merkmale können berechnet werden und durch Anwendung der bereits beschriebenen Theorie kann die optimierte Beleuchtungskonfiguration berechnet werden. Nach dem Berechnen der optimierten Beleuchtungskonfiguration kann das Prozessfenster berechnet und mit dem Prozessfenster bei anderen Beleuchtungskonfigurationen verglichen werden.
  • Ein anderes Verfahren zur Optimierung der Interaktion zwischen Beleuchtung und Muster besteht in der Modifizierung des Musterdesigns mit Streubalken (scattering bars). Streubalken diskretisieren die Teilung aus einer halbstetigen Funktion für ein ASIC- oder Logik-Design (ASIC: application specific integrated circuit = anwendungsspezifische integrierte Schaltung). Nach dem Platzieren von Streubalken gibt es weniger Teilungen. Dies kann in Simulations-Software nachgewiesen werden, indem die Streubalken mit einer 0,61λ/NA weiten Trennung von Kante zu Kante platziert werden. In 13 ist das Design von 12 durch Hinzufügen einer Mehrzahl Streubalken modifiziert worden. Die Beleuchtungskonfiguration kann dann für das modifizierte Design optimiert werden. Die Leistung des Prozessfensters einer für ein Design mit Streubalken optimierten Beleuchtungskonfiguration kann dann mit dem Prozessfenster einer ohne Streubalken optimierten Beleuchtungskonfiguration verglichen werden. Da ein Design mit Streubalken die Teilung diskretisiert, wird die Kombination von Streubalken mit optimierter Beleuchtung unter Abstand von der Achse (off axis illumination, OAI) das Prozessfenster mit der größtmöglichen Tiefenschärfe haben.
  • Bei einem anderen Konzept wird die Optimierung der Beleuchtungskonfiguration durch die Platzierung von Streubalken auf Basis von Überlegungen hinsichtlich der Abstandsbreiten (space width, SW) implementiert. Ein Streubalken wird durch eine regelbasierte optische Näherungskorrektur platziert, deren Regeln durch die Abstandsbreite definiert werden können. Mit Simulations-Software sollte es möglich sein, die Wahrscheinlichkeitsdichtefunktion (probability density function, pdf) der Abstandsbreite ohne Streubalken und mit Streubalken zu berechnen. Die Beleuchtung kann dann durch Berücksichtigung der pdf durch Modifizieren von Jopt–2D optimiert werden, wie in Gleichung 16 gezeigt. Wenn angenommen wird, dass die vertikalen Linien und horizontalen Linien unendlich sind, ist es auch möglich, die Beugungsordnungen T(m, n) zu berechnen. In Gleichung 17 werden die Beugungsordnungen als eine Funktion von m und n berechnet, wobei w die Linienbreite ist, τ die Intensitätsdurchlässigkeit des Retikels ist und Px = SWx + w und Py = SWy + w die Teilungen in der x- bzw. y-Richtung sind.
    Figure 00250001
  • Gleichung 17 ist eine Matrix von vier Gleichungen, für die in der Reihenfolge der Darstellung m = n = 0; m = 0, n ≠ 0; m ≠ 0,n = 0; und m ≠ 0, n ≠ 0.
    Figure 00260001
  • Die Berechnung der optimalen Beleuchtungskonfiguration mit der pdf kann einige Probleme bereiten, da sie impliziert, dass einige Teilungen nicht so wichtig sind wie andere. Werden alle Gatter in der pdf als kritisch betrachtet, sollte die pdf durch einen Gewichtungsfaktor modifiziert werden. Dieser Gewichtungsfaktor ist eine Funktion der Teilung mit der Bezeichnung wf(Px). Mit diesem Gewichtungsfaktor sollten alle kritischen Teilungen gleich behandelt werden, so dass wf(Px)·pdf(Px) = 1. Dieser Gewichtungsfaktor sollte durch Ersetzen von pdf(Px) in Gleichung 16 durch wf(Px)·pdf(Px) zur Gleichung 16 hinzugefügt werden. Im Falle, dass alle Teilungen kritisch sind, tragen die Gewichtungsfaktoren nicht zur Lösung der Optimierung bei und es ist schwierig, eine optimierte Beleuchtungskonfiguration zu erzeugen, ohne das (Muster-) Design zu modifizieren.
  • Eine Lösung dieses Problems besteht in der Modifizierung des Designs durch Hinzufügen von Streubalken, wie oben besprochen. Streubalken helfen bei der Reduzierung von Teilungen für isolierte Merkmale. Sobald Streubalken zum Design hinzugefügt werden, neigen die vorher isolierten Merkmale dazu, sich wie dichte Merkmale zu verhalten. Folglich diskretisieren Streubalken die Teilung von einer kontinuierlichen pdf zu einer diskreteren pdf. 14 ist eine beispielhafte pdf für ein Logikmuster mit in y-Richtung (d. h. in "vertikaler" Richtung) ausgerichteten Merk malen, in dem Streubalken angewendet und nicht angewendet worden sind. 14 zeigt vertikale Gatterabstandsbreiten (μm) auf der x- (Horizontal-) Achse. Für das unmodifizierte Design, D, ohne Streubalken gibt es drei diskrete Erhebungen in der pdf bei Abstandsbreiten von 0,2, 0,6 und 1,5 μm. Nach der Platzierung von Streubalken D + SB ist die Anzahl Teilungen so reduziert worden, dass die meisten Abstandsbreiten bei dichten Teilungen von 0,2 μm vorliegen. Mit dieser Veränderung der pdf ist es wahrscheinlicher, dass eine Beleuchtungskonfiguration optimiert werden kann.
  • Die gesamte Beleuchtungskonfiguration für ein Design mit horizontalen (x-Richtung) und vertikalen Merkmalen ist die Summe horizontaler und vertikaler Beleuchtungskonfigurationen. Ist die Beleuchtungskonfiguration bei σcx für die vertikalen Merkmale konzentriert und bei σcy für die horizontalen Merkmale konzentriert, ist die optimale Beleuchtungskonfiguration eine "herkömmliche" Quadrupol-Beleuchtungskonfiguration, vorausgesetzt dass √2σcx ≤ 1 und dass √2σcy ≤ 1. Andernfalls resultiert dieser Analysetyp in einer Vierpol-Beleuchtungskonfiguration, die um 45° gedreht worden ist.
  • Die hierin vorgestellte Beleuchtungstechnik kann zur Berücksichtigung von Aberrationen erweitert werden. Die Aufnahme von Aberrationen ermöglicht einem Bediener zu bestimmen, welcher Teil der Beleuchtungskonfiguration sich mit der Aberration koppelt. Das Ausmaß der Kopplung steht direkt in Beziehung zur Empfindlichkeit der Bildintensität gegen die Aberration. Durch Verstehen dieser Kopplung kann es möglich sein, die Beleuchtungskonfiguration zu modifizieren, um die Aberrationsempfindlichkeit eines Designs zu minimieren.
  • Die Projektionspupille, K(α, β), für skalare Abbildung enthält den Schrägheitsfaktor, Defokus und die Exponentialgröße der durch die Zernike-Polynome dargestellten Wellenfront. Diese skalare Abbildungspupille ist in Gleichung 18 dargestellt. Diese Pupille kann weiter in zwei Teile unterteilt werden, die aberrationsfreie Pupille K0(α, β) und die aberrationsbehaftete Pupille (die Exponentialgröße der Wellenfront). Diese beiden Teile werden miteinander multipliziert wie in Gleichung 19 gezeigt.
    Figure 00280001
  • Anhand Gleichung 22 kann die Wellenfront als eine lineare Näherung geschrieben werden, die in Gleichung 23 gezeigt ist. Durch Einsetzen der Gleichung 23 in die Gleichung 22 kann die lineare Näherung für die Projektionspupille K(α, β) mit Gleichung 24 berechnet werden.
    Figure 00280002
    Figure 00290001
  • Da der TCC eine Funktion der Projektionspupille K(α, β) ist, bedeutet die lineare Näherung an die Pupille in Gleichung 24, dass der TCC durch eine lineare Näherung dargestellt werden kann. Dies geschieht durch Einsetzen von Gleichung 24 in Gleichung 1, was Gleichung 25 ergibt. Wieder kann durch Vernachlässigen der Terme mit Potenz 2 oder größer der TCC in Gleichung 25 vereinfacht werden wie in Gleichung 26 gezeigt.
  • Die Wellenfront, W(α, β), wird am häufigsten durch die Summierung der Zernike-Randpolynome angegeben wie in Gleichung 21 gezeigt. Mittels der linearen Aberrationstheorie kann die Exponentialgröße, ex, durch eine Taylor'sche Reihenentwicklung dargestellt werden. Die Taylor'sche Reihenentwicklung ist für kleine x gültig und frühere Arbeit hat eine gute Übereinstimmung für Luftbilder gezeigt, wenn Zv weniger als 0,04λ beträgt. Die Taylor'sche Reihenentwicklung für ex ist in Gleichung 22 aufgeführt. In Gleichung 22 sind Terme mit der Potenz 2 oder größer weggelassen worden, was gültig ist, sofern Zv weniger ist als 0,04 (0,042 = 0,0016 und ist vernachlässigbar).
    Figure 00290002
    Figure 00300001
  • Durch Definieren des aberrationsfreien TCC, TCC0(m, n, p, q), und des aberrationsbehafteten TCC, TCCv(m, n, p, q), in den Gleichungen 27 bzw. 28 kann der TCC durch eine lineare Funktion von TCC0 und TCCv dargestellt werden, wie in Gleichung 29 gezeigt.
    Figure 00300002
  • Da der TCC als eine lineare Näherung konstruiert werden kann wie in Gleichung 29 gezeigt, kann Jopt auch als eine lineare Näherung geschrieben werden. Die lineare Näherung an Jopt wird in Gleichung 30 abgeleitet, indem Gleichung 8 für Jopt verwendet wird, und indem die Methodik für die lineare Näherung des TCC befolgt wird, wie in den Gleichungen 18 bis 29 dargelegt.
    Figure 00310001
  • Gleichung 30 für Jopt kann dann in eine Summierung der aberrationsfreien Jopt0 mit der aberrationsbehafteten Joptv unterteilt werden wie in Gleichung 33 gezeigt. Die Definitionen von Jopt0 und Joptv sind in den Gleichungen 31 bzw. 32 aufgeführt.
    Figure 00310002
  • Gleichung 32 beschreibt den Abschnitt der Beleuchtungskonfiguration, der sich mit einer bestimmten Aberration koppelt. Das Ausmaß der Kopplung beeinflusst die Bildintensität und hilft die Aberrationsempfindlichkeit gegen Beleuchtung zu verstehen. Durch Kombinieren der Gleichungen 31 und 32 kann Jopt als eine lineare Näherung geschrieben werden.
    Figure 00310003
  • Bei einem anderen Aspekt der vorliegenden Erfindung können Gewichtungsfaktoren eingebracht werden, um eine Reaktion auf eine bestimmte Metrik zu maximieren oder zu minimieren, wie beispielsweise u. A. Tiefenschärfe (DOF), Logarithmus der Bildneigung (image log slope (ILS)), Bildneigung (image slope (IS)) oder Aberrationsempfindlichkeit. Die optimale Jtot von Gleichung 15 kann modifiziert werden, um diese Gewichtungsfaktoren aufzunehmen wie in Gleichung 34 gezeigt.
    Figure 00320001
  • Im Allgemeinen reagieren Fotoresists im Verhältnis zum Logarithmus der Intensität des darauf auftreffenden Lichts. Während die Intensität und deshalb der Logarithmus der Intensität zunehmen, wird das Merkmal mit besserer Wiedergabetreue (d. h. verbessertes Resistprofil und verbessertes Prozessfenster) in das Resist gedruckt. Deshalb ist es wünschenswert, die logarithmische Intensitätsänderung (ILS) zu maximieren. Der ILS ist in Gleichung 35 definiert.
    Figure 00320002
  • Da sich die Ableitung der Intensität schneller ändert als die Inverse der Intensität, nimmt die Gleichung 35 zu, indem die Ableitung der Intensität erhöht wird. Die Intensität kann anhand Gleichung 3 berechnet werden und die Ableitung der Intensität nach x wird in Gleichung 36 definiert. Die Ableitung nach x resultiert in der Gewichtungsfunktion, wx wie in Gleichung 37 gezeigt. Desgleichen kann eine Gewichtungsfunktion wy bezüglich y definiert werden wie in Gleichung 38 gezeigt.
    Figure 00330001
  • Da die Mustermerkmale und Intensitätsmerkmale zweidimensional sind, kann die Norm des Gradienten verwendet werden, um die Intensitätsänderung bezüglich der Position anzugeben. Die Norm des Intensitätsgradienten wird in Gleichung 39 definiert. Dies ermöglicht uns das Definieren einer Gewichtungsfunktion zur Berechnung von Jtot in Gleichung 34. Die Gewichtungsfunktion zur Maximierung des Logarithmus der Bildneigung wird durch Gleichung 40 definiert.
    Figure 00330002
  • Gleichung 40 zeigt, dass die Gewichtungsfunktion 0 wird, wenn m + p = 0 und n + q = 0. Wenn m + p = 0 und n + q = 0, tragen diese Ordnungen zur Bildmodulation nichts bei und widerspiegeln Gleichlicht-Beiträge zum Bild. Außerdem nimmt wILS zu, während m + p und n + q zunehmen. Dies bedeutet, dass Beugungsordnungsterme höherer Ordnung höher gewichtet werden und mehr zum Logarithmus der Bildneigung (ILS) beitragen.
  • Zusätzlich zur Maximierung des ILS vergrößert sich die Tiefenschärfe des Prozesses, wenn der ILS verbessert wird, so dass die Intensitätsreaktion auf den Fokus minimiert wird. Der Fokus wird durch die Pupille K(α, β) berücksichtigt. Die Pupille, K(α, β), wird in Gleichung 41 dargestellt, wo der Fokus als z bezeichnet wird. Gleichung 41 kann in zwei Terme aufgeteilt werden, von z abhängige Terme (der Defokus-Term) und von z unabhängige Terme (der Nicht-Defokus-Term) wie in Gleichung 42 gezeigt.
    Figure 00340001
  • Die Intensitätsschwankung auf Grund des Fokus z kann minimiert werden, indem die Ableitung der Intensität nach z auf null gesetzt wird. Durch Einsetzen der Gleichung 42 in die Gleichungen 1 bis 3 kann eine Kostenfunktion f(α, β, z) definiert werden, wie in Gleichung 43 gezeigt, die die Kostenfunktion der von z abhängigen Intensitätsabbildungsterme ist.
    Figure 00340002
  • Die Kostenfunktion, f(α, β, z), wird wiederum minimiert, wenn g(α, β, m, n, p, q) gleich Null ist (siehe Gleichung 44 unten). In Gleichung 44 sind die Phasenterme entfernt worden, da die Ableitung nach z nur dann gleich Null ist, wenn die Größenterme gleich Null sind. Ist g(α, β, m, n, p, q) null, sind die Bereiche (α, β) der Pupille für eine gegebene Ordnung (m, n, p, q) gegen den Fokus minimal empfindlich. Diese sind die am meisten gewünschten Bereiche der Pupille zum Aufbau der Beleuchtungskonfiguration. Eine Gewichtungsfunktion, wfocus(α, β, m, n, p, q), wird in Gleichung 45 definiert. Diese Gewichtungsfunktion ist für Bereiche, die gegen den Fokus am unempfindlichsten sind, gleich 1, und für Bereiche, die gegen den Fokus am empfindlichsten sind, gleich 0. Eine neue Gewichtungsfunktion, die den ILS durch den Fokus maximiert, kann dann durch Gleichung 46 definiert und verwendet werden, um die Beleuchtungskonfiguration zu modifizieren.
    Figure 00350001
    wfocus(α, β, m, n, p, q) = 1 – |g(α, β, m, n, p, q)| Gl. 45 w(α, β, m, n, p, q) = wILS(m, n, p, q)wfocus(α, β, m, n, p, q) Gl. 46
  • Die obige Methodik ermöglicht die Minimierung der Empfindlichkeit der Intensität auf den Einfluss des Fokus, eine Aberration. Da die Auswirkung des Fokus auf die Intensität minimiert werden kann, kann die Auswirkung der Intensität auf eine bestimmte Aberration minimiert werden. Dies ist für bestimmte Muster wünschenswert, die eine hohe Empfindlichkeit gegen eine bestimmte Aberration aufweisen. Die Projektionspupille in Gleichung 19 kann als ein aberrationsfreier Term K0(α, β) multipliziert mit einem aberrationsbehafteten Term Ka(α, β) geschrieben werden wie in Gleichung 47 gezeigt. K(α, β) = K0(α, β)Ka(α, β) Gl. 47
  • Die Empfindlichkeit der Intensität gegen eine bestimmte Aberration Zi kann minimiert werden, indem die Ableitung der Intensität nach Zi auf null gesetzt wird. Durch Einsetzen der Gleichung 47 in die Gleichungen 1 bis 3 und Verwenden der Ableitung der Intensität wird die Aberrationsempfindlichkeit minimiert, wenn h(α, β, m, n, p, q) in Gleichung 48 gleich null ist.
    Figure 00360001
  • Gleichung 48 kann vereinfacht und als Gleichung 49 geschrieben werden. Eine Gewichtungsfunktion wab(α, β, m, n, p, q) wird in Gleichung 50 definiert, die für Bereiche (α, β) der Pupille, die am unempfindlichsten gegen Zi sind, 1 entspricht, und für Bereiche, die am empfindlichsten gegen Zi sind, 0 entspricht. wab(α, β, m, n, p, q) = 1 – 1/2|h(α, β, m, n, p, q)| Gl. 50
  • Die Gewichtungsfunktion zur Minimierung der Empfindlichkeit des ILS gegen eine bestimmte Aberration, Zi, kann dann in Gleichung 51 definiert werden. Außerdem kann auch eine Gewichtungsfunktion zur Minimierung der Empfindlichkeit des ILS gegen eine bestimmte Aberration, Zi, und zur Maximierung des ILS durch den Fokus in Gleichung 52 definiert werden. Jede dieser Gleichungen kann in Gleichung 34 eingesetzt werden, um das Beleuchtungsgerät mit der optimalen Reaktion auf eine gegebene Metrik zu berechnen. w(α, β, m, n, p, q) = wNILS(m, n, p, q)wab(α, β, m, n, p, q) Gl. 51 w(α, β, m, n, p, q) = wNILS(m, n, p, q)wfocus(α, β, m, n, p, q)wab(α, β, m, n, p, q) Gl. 52
  • 15 ist eine schematische Darstellung eines Beispiels für eine lithografische Vorrichtung zur Verwendung gemäß der vorliegenden Erfindung. Die Vorrichtung enthält ein Strahlungssystem. Das Strahlungssystem besteht aus einer Lampe LA (die z. B. ein Excimerlaser sein kann) und einem Beleuchtungssystem, das z. B. eine Strahlformungsoptik EX, einen Integrator IN und eine Sammellinse CO aufweisen kann. Das Strahlungssystem liefert einen Projektionsstrahl PB einer Strahlung. Das Strahlungssystem kann z. B. ultraviolette, tief ultraviolette oder extrem ultraviolette Strahlung liefern. Im Allgemeinen kann das Strahlungssystem auch weiche Röntgenstrahlung oder andere Strahlungsarten liefern.
  • Ein erster Objekttisch oder Maskentisch (MT) hält eine Maske MA. Die Maske MA enthält einen Musterbereich C, die das abzubildende Maskenmuster enthält. Der Maskentisch MT ist relativ zum Projektionsstrahl PB beweglich, so dass verschiedene Abschnitte der Maske bestrahlt werden können. Ausrichtungsmarkierungen M1 und M2 werden verwendet, um zu bestimmen, ob die Maske ordnungsgemäß nach dem Substrat oder Wafer W ausgerichtet ist.
  • Ein Projektionssystem PL projiziert den Projektionsstrahl PB auf den Wafer W. Der Wafer W enthält zwei Ausrichtungsmarkierungen P1 und P2, die vor Beginn der Abbildung nach den Markierungen M1 and M2 ausgerichtet werden. Der Wafer W wird von einem Substrattisch WT getragen, der zum Belichten verschiedener Teile des Wafers W relativ zum Projektionsstrahl beweglich ist. Auf diese Weise kann das Maskenmuster C auf verschiedene Zielabschnitte c des Wafers W abgebildet werden. Ein interferometrischer Positionsüberwacher IF wird verwendet, um sicherzustellen, dass der Wafertisch WT in der korrekten Position relativ zur Position des Maskentisches MT ist.
  • Obwohl die Erfindung in Verbindung mit bestimmten Ausführungsformen beschrieben worden ist, versteht es sich, dass die Erfindung nicht auf die offenbarten Ausführungsformen beschränkt ist, sondern sie im Gegenteil verschiedene Ausführungsformen und eine entsprechende Anordnung abdecken soll, die in den Gültigkeitsbereich der folgenden Ansprüche fallen.

Claims (12)

  1. Verfahren zur Optimierung eines Beleuchtungsprofils für ein gewähltes Muster eines Musterbildungsmittels, die Schritte aufweisend: Definieren einer Transmissionskoeffizientenfunktion für ein optisches System enthaltend ein Beleuchtungsgerät und das gewählte Muster des Musterbildungsmittels; Bestimmen der relativen Relevanz für die Abbildung von Beugungsordnungen auf Basis des gewählten Musters; und Berechnen einer optimierten Beleuchtungskonfiguration aus der Transmissionskoeffizientenfunktion, wobei Zonen der Beleuchtungskonfiguration auf Basis der relativen Relevanz für die Abbildung der Beugungsordnungen gewichtet werden.
  2. Verfahren nach Anspruch 1, bei dem der Schritt der Bestimmung der relativen Relevanz für die Abbildung von Beugungsordnungen ferner den Schritt aufweist: Bestimmung der charakteristischen Teilung des gewählten Maskenmusters.
  3. Verfahren nach Anspruch 2, ferner die Schritte aufweisend: Identifizieren einer kritischen Zone des gewählten Musters vor der Bestimmung der charakteristischen Teilung, wobei die Bestimmung der charakteristischen Teilung für das gewählte Muster erfolgt, indem die charakteristische Teilung für die kritische Zone bestimmt wird.
  4. Verfahren nach Anspruch 3, bei dem der Schritt der Identifizierung der kritischen Zone ferner die Identifizierung einer Mehrzahl kritischer Zonen aufweist, und bei dem die Bestimmung der charakteristischen Teilung für die kritischen Zonen enthält: Vergleichen der Teilung jeder identifizierten kritischen Zone; und wenn die Teilung jeder identifizierten kritischen Zone im Wesentlichen gleich ist, Bestimmen der charakteristischen Teilung für die kritische Zone, so dass sie gleich ist der charakteristischen Teilung einer der identifizierten Zonen.
  5. Verfahren nach einem der vorigen Ansprüche, ferner aufweisend die Gewichtung von Zonen der Konfiguration des Beleuchtungsgeräts auf Basis einer gewählten optimierten Metrik, die aus der Gruppe bestehend aus Tiefenschärfe, Zeilenende, Logarithmus der Bildneigung (image log slope (ILS)), Bildneigung (image slope (IS)) und Aberrationsempfindlichkeit gewählt wird.
  6. Verfahren nach Anspruch 1 oder 2, ferner die Schritte aufweisend: Identifizieren einer Mehrzahl kritischer Zonen; Bestimmen der Teilung jeder der identifizierten kritischen Zonen; und Berechnen optimierter Beleuchtungskonfigurationen aus der Transmissionskoeffizientenfunktion, wobei Ordnungen auf Basis der Relevanz für die Abbildung der Beugungsordnungen für jede kritische Zone gewichtet werden; und bei dem die Berechnung einer optimierten Beleuchtungskonfiguration ferner die Berechnung einer zusammengesetzten optimierten Beleuchtungskonfiguration auf Basis der berechneten optimierten Beleuchtungskonfiguration für jede kritische Zone aufweist.
  7. Verfahren nach einem der vorigen Ansprüche, ferner die Schritte aufweisend: Modifizieren des gewählten Musters durch optische Näherungstechniken, um die Gesamtzahl der verschiedenen Teilungen im Maskenmuster zu verringern.
  8. Verfahren nach Anspruch 7, bei dem der Schritt der Modifizierung des gewählten Musters durch optische Näherungstechniken ferner das Hinzufügen von Subauflösungsmerkmalen zum gewählten Maskenmuster aufweist.
  9. Verfahren nach Anspruch 7, bei dem die Schritte der Modifizierung des gewählten Musters und die Schritte der Berechnung einer optimierten Beleuchtungskonfiguration wiederholt werden.
  10. Computerprogramm zur Optimierung eines Beleuchtungsprofils, ein Programm-Codemittel aufweisend, das bei Ausführung auf einem Computersystem das Computersystem anweist, die Schritte des Verfahrens nach einem der Ansprüche 1 bis 9 auszuführen.
  11. Fertigungsverfahren für einen Baustein, die Schritte aufweisend: (a) Bereitstellen eines Substrats, das zumindest teilweise mit einer Schicht aus strahlungsempfindlichen Material bedeckt ist; (b) Bereitstellen eines Projektionsstrahls einer Strahlung unter Verwendung eines Beleuchtungssystems; (c) Verwenden eines Musterbildungsmittels, um dem Projektionsstrahl ein Muster in seinem Querschnitt zu verleihen; (d) Projizieren des gemusterten Strahls der Strahlung auf einen Zielabschnitt der Schicht auch strahlungsempfindlichem Material, wobei vor Schritt (d) die Intensitätsverteilung des in Schritt (b) erzeugten Projektionsstrahls in Querschnittsrichtung auf das in Schritt (c) verwendete Muster unter Anwendung eines Verfahrens nach einem der Ansprüche 1 bis 9 zugeschnitten wird.
  12. Lithografische Projektionsvorrichtung, aufweisend: – ein Beleuchtungssystem (IL) zur Bereitstellung eines Projektionsstrahls einer Strahlung; – eine Tragstruktur (MT) zur Tragen des Musterbildungsmittels, wobei das Musterbildungsmittel dazu dient, den Projektionsstrahl gemäß einem gewünschten Muster mit einem Muster zu versehen; – einen Substrattisch (WT) zur Aufnahme eines Substrats; – ein Projektionssystem (PL) zum Projizieren des gemusterten Strahls auf einen Zielabschnitt des Substrats; wobei die Vorrichtung außerdem aufweist: – ein Rechenmittel zur Definition einer Transmissionskoeffizientenfunktion für das Beleuchtungsgerät und das Musterbildungsmittel, das die relative Relevanz für die Abbildung der Beugungsordnungen auf Basis des vom Muterbildungsmittel erzeugten Musters bestimmt, und eine optimierte Beleuchtungskonfiguration aus der Transmissionskoeffizientenfunktion berechnet, wobei Zonen der Beleuchtungskonfiguration auf Basis der relativen Relevanz für die Abbildung der Beugungsordnungen gewichtet werden; und – ein Wahlmittel zum Wählen der Intensitätsverteilung im Projektionsstrahl in Querschnittsrichtung, der aus dem Beleuchtungssystem austritt, gemäß der vom Rechenmittel berechneten Beleuchtungskonfiguration.
DE60210852T 2001-02-23 2002-02-22 Beleuchtungsoptimierung für spezifische Maskenmuster Expired - Lifetime DE60210852T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US27130501P 2001-02-23 2001-02-23
US271305P 2001-02-23

Publications (2)

Publication Number Publication Date
DE60210852D1 DE60210852D1 (de) 2006-06-01
DE60210852T2 true DE60210852T2 (de) 2006-10-05

Family

ID=23035020

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60210852T Expired - Lifetime DE60210852T2 (de) 2001-02-23 2002-02-22 Beleuchtungsoptimierung für spezifische Maskenmuster

Country Status (6)

Country Link
US (1) US6871337B2 (de)
EP (1) EP1239331B1 (de)
JP (1) JP3867904B2 (de)
KR (1) KR100579604B1 (de)
DE (1) DE60210852T2 (de)
TW (1) TWI285295B (de)

Families Citing this family (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6792591B2 (en) * 2001-02-28 2004-09-14 Asml Masktools B.V. Method of identifying an extreme interaction pitch region, methods of designing mask patterns and manufacturing masks, device manufacturing methods and computer programs
US7735052B2 (en) * 2001-04-24 2010-06-08 Asml Masktools Netherlands B.V. Method of identifying an extreme interaction pitch region, methods of designing mask patterns and manufacturing masks, device manufacturing methods and computer programs
TW530336B (en) * 2001-08-21 2003-05-01 Asml Masktools Bv Lithographic method and lithographic apparatus
US7293249B2 (en) * 2002-01-31 2007-11-06 Juan Andres Torres Robles Contrast based resolution enhancement for photolithographic processing
TWI315027B (en) 2002-04-23 2009-09-21 Canon Kabushiki Kaish Mask designing method, and exposure method for illuminatiing a mask and exposing an object
JP2004128108A (ja) * 2002-10-01 2004-04-22 Oki Electric Ind Co Ltd 投影露光装置のアパーチャ形状の最適化方法
SG116510A1 (de) * 2002-11-12 2005-11-28
TWI257524B (en) * 2002-12-09 2006-07-01 Asml Netherlands Bv A method for determining parameters for lithographic projection, a computer system and computer program therefor, a method of manufacturing a device and a device manufactured thereby
EP1429191B1 (de) * 2002-12-09 2008-11-26 ASML Netherlands B.V. Verfahren zur Parameterbestimmung für lithographische Projektion
DE602004002598T2 (de) * 2003-01-14 2007-10-18 Asml Masktools B.V. Methode und Gerät zur Erstellung von optischen Näherungseffekt-Korrekturelementen für ein Maskenmuster in der optischen Lithographie
KR100719154B1 (ko) * 2003-01-14 2007-05-17 에이에스엠엘 마스크툴즈 비.브이. 콘택홀 마스크를 위한 광근접성보정설계 방법
US7180576B2 (en) * 2003-02-11 2007-02-20 Asml Netherlands B.V. Exposure with intensity balancing to mimic complex illuminator shape
US6839125B2 (en) * 2003-02-11 2005-01-04 Asml Netherlands B.V. Method for optimizing an illumination source using full resist simulation and process window response metric
US7471375B2 (en) * 2003-02-11 2008-12-30 Asml Netherlands B.V. Correction of optical proximity effects by intensity modulation of an illumination arrangement
US7030966B2 (en) * 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
US7245356B2 (en) * 2003-02-11 2007-07-17 Asml Netherlands B.V. Lithographic apparatus and method for optimizing illumination using a photolithographic simulation
US6964032B2 (en) * 2003-02-28 2005-11-08 International Business Machines Corporation Pitch-based subresolution assist feature design
TWI334511B (en) 2003-03-31 2010-12-11 Asml Masktools Bv Source and mask optimization
EP3226073A3 (de) 2003-04-09 2017-10-11 Nikon Corporation Belichtungsverfahren und -vorrichtung sowie verfahren zur herstellung der vorrichtung
US6842223B2 (en) 2003-04-11 2005-01-11 Nikon Precision Inc. Enhanced illuminator for use in photolithographic systems
US7355673B2 (en) 2003-06-30 2008-04-08 Asml Masktools B.V. Method, program product and apparatus of simultaneous optimization for NA-Sigma exposure settings and scattering bars OPC using a device layout
JP4563746B2 (ja) * 2003-06-30 2010-10-13 エーエスエムエル マスクツールズ ビー.ブイ. イメージ・フィールド・マップを利用して補助フィーチャを生成するための、方法、プログラム製品及び装置
US20050015233A1 (en) * 2003-07-17 2005-01-20 International Business Machines Corporation Method for computing partially coherent aerial imagery
US7550235B2 (en) * 2003-09-05 2009-06-23 Asml Masktools B.V. Method and apparatus for performing model based placement of phase-balanced scattering bars for sub-wavelength optical lithography
US7451068B2 (en) * 2003-10-10 2008-11-11 Synopsys, Inc. Method and apparatus for generating an OPC segmentation based on modeled intensity gradients
US6973636B2 (en) * 2003-10-17 2005-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of defining forbidden pitches for a lithography exposure tool
TW201834020A (zh) 2003-10-28 2018-09-16 日商尼康股份有限公司 照明光學裝置、曝光裝置、曝光方法以及元件製造方法
KR100927454B1 (ko) * 2003-10-31 2009-11-19 에이에스엠엘 마스크툴즈 비.브이. 개선된 간섭 매핑 리소그래피를 이용하는 피처 최적화
TW201809801A (zh) 2003-11-20 2018-03-16 日商尼康股份有限公司 光學照明裝置、曝光裝置、曝光方法、以及元件製造方法
US7057709B2 (en) * 2003-12-04 2006-06-06 International Business Machines Corporation Printing a mask with maximum possible process window through adjustment of the source distribution
SG125970A1 (en) * 2003-12-19 2006-10-30 Asml Masktools Bv Feature optimization using interference mapping lithography
US7292315B2 (en) 2003-12-19 2007-11-06 Asml Masktools B.V. Optimized polarization illumination
CN1910522B (zh) 2004-01-16 2010-05-26 卡尔蔡司Smt股份公司 偏振调制光学元件
US8270077B2 (en) 2004-01-16 2012-09-18 Carl Zeiss Smt Gmbh Polarization-modulating optical element
US20070019179A1 (en) 2004-01-16 2007-01-25 Damian Fiolka Polarization-modulating optical element
TWI395068B (zh) 2004-01-27 2013-05-01 尼康股份有限公司 光學系統、曝光裝置以及曝光方法
US7245354B2 (en) * 2004-02-03 2007-07-17 Yuri Granik Source optimization for image fidelity and throughput
TWI437618B (zh) 2004-02-06 2014-05-11 尼康股份有限公司 偏光變換元件、光學照明裝置、曝光裝置以及曝光方法
US20050225740A1 (en) * 2004-03-31 2005-10-13 Padlyar Sushil D Light source for photolithography
US7384725B2 (en) * 2004-04-02 2008-06-10 Advanced Micro Devices, Inc. System and method for fabricating contact holes
US7324280B2 (en) * 2004-05-25 2008-01-29 Asml Holding N.V. Apparatus for providing a pattern of polarization
JP4639120B2 (ja) * 2004-07-13 2011-02-23 エーエスエムエル ネザーランズ ビー.ブイ. マスク・パターンの光転送を最適化するための方法、リソグラフィ投影装置、および機械可読媒体
US7500218B2 (en) * 2004-08-17 2009-03-03 Asml Netherlands B.V. Lithographic apparatus, method, and computer program product for generating a mask pattern and device manufacturing method using same
JP4528580B2 (ja) * 2004-08-24 2010-08-18 株式会社東芝 照明光源の設計方法、マスクパターン設計方法、フォトマスクの製造方法、半導体装置の製造方法、及びプログラム
US7620930B2 (en) * 2004-08-24 2009-11-17 Asml Masktools B.V. Method, program product and apparatus for model based scattering bar placement for enhanced depth of focus in quarter-wavelength lithography
US7372540B2 (en) * 2004-10-12 2008-05-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7713667B2 (en) * 2004-11-30 2010-05-11 Asml Holding N.V. System and method for generating pattern data used to control a pattern generator
DE602006002044D1 (de) * 2005-02-23 2008-09-18 Asml Masktools Bv Methode und Apparat zur Optimierung der Beleuchtung einer Schicht eines vollständigen Chips
US7548302B2 (en) * 2005-03-29 2009-06-16 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7317506B2 (en) * 2005-03-29 2008-01-08 Asml Netherlands B.V. Variable illumination source
DE102005017516B3 (de) * 2005-04-15 2007-01-25 Infineon Technologies Ag Fotolithografische Abbildungseinrichtung und Vorrichtung zum Erzeugen einer Beleuchtungsverteilung
EP1881521B1 (de) 2005-05-12 2014-07-23 Nikon Corporation Optisches projektionssystem, belichtungsvorrichtung und belichtungsverfahren
DE102005023714A1 (de) * 2005-05-19 2006-11-23 Carl Zeiss Smt Ag Projektionsmikrolithographieanlage und Verfahren zur Erzielung eines verbesserten Auflösungsvermögens in einer Projektionsmikrolithographieanlage
US7934172B2 (en) 2005-08-08 2011-04-26 Micronic Laser Systems Ab SLM lithography: printing to below K1=.30 without previous OPC processing
WO2007018464A2 (en) * 2005-08-08 2007-02-15 Micronic Laser Systems Ab Method and apparatus for projection printing
US7370313B2 (en) 2005-08-09 2008-05-06 Infineon Technologies Ag Method for optimizing a photolithographic mask
US7560199B2 (en) * 2005-10-20 2009-07-14 Chartered Semiconductor Manufacturing Ltd. Polarizing photolithography system
US7443413B2 (en) * 2005-10-21 2008-10-28 Hewlett-Packard Development Company, L.P. Laser diode modulator and method of controlling laser diode modulator
US7788628B1 (en) * 2006-01-11 2010-08-31 Olambda, Inc. Computational efficiency in photolithographic process simulation
JP4957058B2 (ja) 2006-04-12 2012-06-20 大日本印刷株式会社 回折光学素子、および該素子を備えた露光装置
US7444196B2 (en) * 2006-04-21 2008-10-28 Timbre Technologies, Inc. Optimized characterization of wafers structures for optical metrology
US7454739B2 (en) * 2006-05-31 2008-11-18 Synopsys, Inc. Method and apparatus for determining an accurate photolithography process model
JP4804294B2 (ja) 2006-09-20 2011-11-02 キヤノン株式会社 原版データ作成プログラム、原版データ作成方法、原版作成方法、露光方法及びデバイスの製造方法
WO2008039674A2 (en) * 2006-09-20 2008-04-03 Luminescent Technologies, Inc. Photo-mask and wafer image reconstruction
JP2008076683A (ja) 2006-09-20 2008-04-03 Canon Inc 原版データ作成プログラム、原版データ作成方法、原版作成方法、露光方法及びデバイスの製造方法
US8576377B2 (en) * 2006-12-28 2013-11-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20080158529A1 (en) * 2006-12-28 2008-07-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8103086B2 (en) 2007-01-11 2012-01-24 Kla-Tencor Corporation Reticle defect inspection with model-based thin line approaches
US8611637B2 (en) 2007-01-11 2013-12-17 Kla-Tencor Corporation Wafer plane detection of lithographically significant contamination photomask defects
US7873204B2 (en) * 2007-01-11 2011-01-18 Kla-Tencor Corporation Method for detecting lithographically significant defects on reticles
US8237913B2 (en) 2007-05-08 2012-08-07 Asml Netherlands B.V. Lithographic apparatus and method
US20080278698A1 (en) * 2007-05-08 2008-11-13 Asml Netherlands B.V. Lithographic apparatus and method
JP4402145B2 (ja) * 2007-10-03 2010-01-20 キヤノン株式会社 算出方法、生成方法、プログラム、露光方法及び原版作成方法
JP5267029B2 (ja) 2007-10-12 2013-08-21 株式会社ニコン 照明光学装置、露光装置及びデバイスの製造方法
US8379187B2 (en) 2007-10-24 2013-02-19 Nikon Corporation Optical unit, illumination optical apparatus, exposure apparatus, and device manufacturing method
US9116346B2 (en) 2007-11-06 2015-08-25 Nikon Corporation Illumination apparatus, illumination method, exposure apparatus, and device manufacturing method
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
US20090253079A1 (en) * 2008-04-07 2009-10-08 Zhang Fenghong Forming reverse illumination patterns
JP5106220B2 (ja) * 2008-04-10 2012-12-26 キヤノン株式会社 原版データ生成プログラム、原版データ生成方法、照明条件決定プログラム、照明条件決定方法およびデバイス製造方法
US10025198B2 (en) * 2008-07-07 2018-07-17 Asml Netherlands B.V. Smart selection and/or weighting of parameters for lithographic process simulation
JP5086926B2 (ja) * 2008-07-15 2012-11-28 キヤノン株式会社 算出方法、プログラム及び露光方法
US7954071B2 (en) * 2008-10-31 2011-05-31 Synopsys, Inc. Assist feature placement based on a focus-sensitive cost-covariance field
JP5176876B2 (ja) * 2008-10-31 2013-04-03 富士通セミコンダクター株式会社 シミュレーション方法、シミュレーション装置、及びシミュレーションプログラム
NL2003718A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Methods and system for model-based generic matching and tuning.
NL2003716A (en) * 2008-11-24 2010-05-26 Brion Tech Inc Harmonic resist model for use in a lithographic apparatus and a device manufacturing method.
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
EP2207064A1 (de) * 2009-01-09 2010-07-14 Takumi Technology Corporation Verfahren zur Auswahl einer Reihe von Beleuchtungsbedingungen einer lithografischen Vorrichtung zur Optimierung der physikalischen Anordnung einer integrierten Schaltung
WO2010117626A2 (en) * 2009-03-31 2010-10-14 Christophe Pierrat Lithography modelling and applications
JP5662762B2 (ja) 2009-11-20 2015-02-04 キヤノン株式会社 有効光源を算出する方法及びプログラム、露光方法並びにデバイス製造方法
US8463016B2 (en) * 2010-02-05 2013-06-11 Luminescent Technologies, Inc. Extending the field of view of a mask-inspection image
NL2006091A (en) * 2010-03-05 2011-09-06 Asml Netherlands Bv Design rule optimization in lithographic imaging based on correlation of functions representing mask and predefined optical conditions.
US8555214B2 (en) 2010-09-14 2013-10-08 Luminescent Technologies, Inc. Technique for analyzing a reflective photo-mask
US8612903B2 (en) 2010-09-14 2013-12-17 Luminescent Technologies, Inc. Technique for repairing a reflective photo-mask
NL2007477A (en) 2010-10-22 2012-04-24 Asml Netherlands Bv Method of optimizing a lithographic process, device manufacturing method, lithographic apparatus, computer program product and simulation apparatus.
US8458622B2 (en) * 2010-11-29 2013-06-04 Luminescent Technologies, Inc. Photo-mask acceptance technique
US9588439B1 (en) * 2010-12-21 2017-03-07 Asml Netherlands B.V. Information matrix creation and calibration test pattern selection based on computational lithography model parameters
US9005852B2 (en) 2012-09-10 2015-04-14 Dino Technology Acquisition Llc Technique for repairing a reflective photo-mask
NL2008957A (en) 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and systems for pattern design with tailored response to wavefront aberration.
US8653454B2 (en) 2011-07-13 2014-02-18 Luminescent Technologies, Inc. Electron-beam image reconstruction
NL2009056A (en) * 2011-08-09 2013-02-12 Asml Netherlands Bv A lithographic model for 3d topographic wafers.
JP5920610B2 (ja) * 2012-09-11 2016-05-18 株式会社ニコン 瞳強度分布の設定方法、照明光学系およびその調整方法、露光装置、並びにデバイス製造方法
US8612904B1 (en) * 2012-11-21 2013-12-17 Global Foundries Inc. Use of polarization and composite illumination source for advanced optical lithography
US9091935B2 (en) 2013-03-11 2015-07-28 Kla-Tencor Corporation Multistage extreme ultra-violet mask qualification
US9494854B2 (en) 2013-03-14 2016-11-15 Kla-Tencor Corporation Technique for repairing an EUV photo-mask
JP6337453B2 (ja) * 2013-12-11 2018-06-06 富士通セミコンダクター株式会社 近似光源の設計方法
NL2014071A (en) * 2014-02-03 2015-08-06 Asml Netherlands Bv Metrology method and apparatus, substrate, lithographic system and device manufacturing method.
US9529268B2 (en) * 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
KR102006321B1 (ko) * 2014-04-14 2019-08-01 에이에스엠엘 네델란즈 비.브이. 리소그래피 공정들에 대한 최적화의 흐름들
TWI620980B (zh) * 2015-02-13 2018-04-11 Asml荷蘭公司 影像對數斜率(ils)最佳化
CN111443576B (zh) 2015-04-07 2023-04-07 联华电子股份有限公司 照明***以及使用其形成鳍状结构的方法
US10216096B2 (en) 2015-08-14 2019-02-26 Kla-Tencor Corporation Process-sensitive metrology systems and methods
WO2017102321A1 (en) 2015-12-14 2017-06-22 Cymer, Llc Optimization of source and bandwidth for new and existing patterning devices
US10270947B2 (en) 2016-09-15 2019-04-23 Microsoft Technology Licensing, Llc Flat digital image sensor
EP3382606A1 (de) * 2017-03-27 2018-10-03 ASML Netherlands B.V. Optimierung einer vorrichtung für mehrstufige verarbeitung von produkteinheiten
WO2019079010A1 (en) 2017-10-19 2019-04-25 Cymer, Llc FORMATION OF MULTIPLE AERIAL IMAGES IN ONE LITHOGRAPHIC EXPOSURE PASSAGE
US10867112B2 (en) * 2018-06-28 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of making mask using transmission cross coefficient (TCC) matrix of lithography process optical system

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4631416A (en) * 1983-12-19 1986-12-23 Hewlett-Packard Company Wafer/mask alignment system using diffraction gratings
EP0502679B1 (de) 1991-03-04 2001-03-07 AT&T Corp. Herstellungsverfahren von integrierten Halbleiterschaltungen unter Anwendung von latenten Bildern
JP3200894B2 (ja) * 1991-03-05 2001-08-20 株式会社日立製作所 露光方法及びその装置
JP3243818B2 (ja) * 1992-02-14 2002-01-07 株式会社ニコン 投影露光装置及び方法、並びに素子製造方法
US5512760A (en) * 1993-05-06 1996-04-30 U.S. Philips Corporation Optical height detector with coaxial irradiation and image axes and plural detectors spaced along the image axis
JPH0729813A (ja) * 1993-07-07 1995-01-31 Nippon Telegr & Teleph Corp <Ntt> 投影露光の最適化方法
JP2715895B2 (ja) * 1994-01-31 1998-02-18 日本電気株式会社 光強度分布シミュレーション方法
KR960002536A (de) * 1994-06-29 1996-01-26
JP3973231B2 (ja) * 1995-03-16 2007-09-12 エフ イー アイ カンパニ 粒子−光学機器内における粒子波の再構築方法
US5680588A (en) 1995-06-06 1997-10-21 International Business Machines Corporation Method and system for optimizing illumination in an optical photolithography projection imaging system
JPH0955349A (ja) 1995-08-14 1997-02-25 Sony Corp パターン形成方法および露光装置
KR0171947B1 (ko) * 1995-12-08 1999-03-20 김주용 반도체소자 제조를 위한 노광 방법 및 그를 이용한 노광장치
JPH09230603A (ja) * 1996-02-26 1997-09-05 Toppan Printing Co Ltd 露光方法
US6259513B1 (en) * 1996-11-25 2001-07-10 Svg Lithography Systems, Inc. Illumination system with spatially controllable partial coherence
JP2910716B2 (ja) * 1997-01-16 1999-06-23 日本電気株式会社 光強度計算のパラメトリック解析方法
US5821014A (en) * 1997-02-28 1998-10-13 Microunity Systems Engineering, Inc. Optical proximity correction method for intermediate-pitch features using sub-resolution scattering bars on a mask
JP3570728B2 (ja) * 1997-03-07 2004-09-29 アーエスエム リソグラフィ ベスローテン フェンノートシャップ 離軸整列ユニットを持つリトグラフ投射装置
US6223129B1 (en) * 1998-05-13 2001-04-24 Diverseylever, Inc. Apparatus and method for conductivity measurement including probe contamination compensation
US6373553B1 (en) * 1999-09-20 2002-04-16 Intel Corp. Photo-lithographic method to print a line-space pattern with a pitch equal to half the pitch of the mask
US7304775B2 (en) * 2000-03-03 2007-12-04 Coho Holdings, Llc Actively stabilized, single input beam, interference lithography system and method
US6335130B1 (en) * 2000-05-01 2002-01-01 Asml Masktools Netherlands B.V. System and method of providing optical proximity correction for features using phase-shifted halftone transparent/semi-transparent features
US6338922B1 (en) * 2000-05-08 2002-01-15 International Business Machines Corporation Optimized alternating phase shifted mask design
TW552561B (en) * 2000-09-12 2003-09-11 Asml Masktools Bv Method and apparatus for fast aerial image simulation
US6519760B2 (en) * 2001-02-28 2003-02-11 Asml Masktools, B.V. Method and apparatus for minimizing optical proximity effects
US6792591B2 (en) * 2001-02-28 2004-09-14 Asml Masktools B.V. Method of identifying an extreme interaction pitch region, methods of designing mask patterns and manufacturing masks, device manufacturing methods and computer programs
US6620564B2 (en) * 2002-02-01 2003-09-16 Macronix International Co., Ltd. Method for patterning semiconductors through adjustment of image peak side lobes

Also Published As

Publication number Publication date
US6871337B2 (en) 2005-03-22
JP3867904B2 (ja) 2007-01-17
DE60210852D1 (de) 2006-06-01
EP1239331A3 (de) 2004-09-29
KR100579604B1 (ko) 2006-05-12
EP1239331A2 (de) 2002-09-11
KR20020070806A (ko) 2002-09-11
US20020152452A1 (en) 2002-10-17
TWI285295B (en) 2007-08-11
EP1239331B1 (de) 2006-04-26
JP2002334836A (ja) 2002-11-22

Similar Documents

Publication Publication Date Title
DE60210852T2 (de) Beleuchtungsoptimierung für spezifische Maskenmuster
DE60305584T2 (de) Richtungsabhängige Abschirmung zur Benutzung mit Dipolbelichtung
DE60209306T2 (de) Verfahren zur Identifizierung von Regionen extremer Wechselwirkung, Verfahren zum Entwerfen von Maskenmustern und zur Herstellung von Masken, Verfahren zur Herstellung von Elementen und Computerprogramme
DE102005005591B3 (de) Verfahren zur Optimierung der Geometrie von Strukturelementen eines Musters eines Schaltungsentwurfs für eine Verbesserung der optischen Abbildungseigenschaften und Verwendung des Verfahrens zur Herstellung einer Photomaske
DE60202230T2 (de) Naheffektkorrektur mittels nicht aufgelöster Hilfsstrukturen in Form von Leiterstäben
DE60110995T2 (de) Verfahren und Vorrichtung zur schnellen Simulation eines Luftbildes
DE60219562T2 (de) Lithographische Mustererzeugung unter Verwendung einer Maske mit gedämpften Phasenschiebern hoher Transmission und mehrfache Belichtung mit optimierter Kohärenz
DE602004002598T2 (de) Methode und Gerät zur Erstellung von optischen Näherungseffekt-Korrekturelementen für ein Maskenmuster in der optischen Lithographie
DE60214506T2 (de) Methode zur Kalibrierung und Optimierung einer 2-dimensionalen Modellierung von Mustern
DE602004011860T2 (de) Methode und Vorrichtung für modellgestützte Plazierung phasenbalancierter Hilfsstrukturen für optische Lithographie mit Auflösungsgrenzen unterhalb der Belichtungswellenlänge
DE3854211T2 (de) Lithographischer Prozess mit verbesserter Bildqualität.
KR100825454B1 (ko) 리소그래피 장치 및 디바이스 제조 방법
DE102005048380B4 (de) Vorrichtung zum Belichten eines Substrats, Photomaske und modifiziertes Beleuchtungssystem der Vorrichtung und Verfahren zum Bilden eines Musters an einem Substrat unter Verwendung der Vorrichtung
DE602005001994T2 (de) Verfahren zum Entwurf einer Beleuchtungsquelle, Verfahren zum Entwurf einer Maskenstruktur, Verfahren zur Herstellung einer Photomaske, Verfahren zur Herstellung eines Halbleiterbauelements und Computerprogrammprodukt
US8732625B2 (en) Methods for performing model-based lithography guided layout design
DE102010045135B4 (de) Verfahren zur Ermittlung eines Platzierungsfehlers eines Strukturelements auf einer Maske, Verfahren zur Simulation eines Luftbildes aus Struktur-Vorgaben einer Maske und Positionsmessvorrichtung
CN100410809C (zh) 用于评估光刻中的多次曝光工艺的结果的方法
DE69233134T2 (de) Reproduktionsverfahren mit hoher Auflösung unter Verwendung eines dem Verfahren angepassten Maskenmusters
DE102008019341A1 (de) Verfahren zur Analyse von Masken für die Photolithographie
DE102009038558A1 (de) Verfahren zur Emulation eines fotolithographischen Prozesses und Maskeninspektionsmikroskop zur Durchführung des Verfahrens
DE102006004230B4 (de) Verfahren zur Herstellung einer Maske für die lithografische Projektion eines Musters auf ein Substrat
DE102006054820A1 (de) Verfahren zur Korrektur von Platzierungsfehlern
DE60219544T2 (de) Methode zur Naheffekt-Korrektur mit teilweise strahlungsdurchlässigen, nicht aufgelösten Hilfsstrukturen
DE10333248B4 (de) Verwendung einer zweiten Belichtung zum Unterstützen einer PSM-Belichtung beim Drucken eines engen Bereichs angrenzend an eine grosse Struktur
DE112005000963B4 (de) Verfahren zum photolithographischen Herstellen einer integrierten Schaltung

Legal Events

Date Code Title Description
8364 No opposition during term of opposition