KR100579604B1 - 특정 마스크패턴을 위한 조명 최적화방법 및 장치 - Google Patents

특정 마스크패턴을 위한 조명 최적화방법 및 장치 Download PDF

Info

Publication number
KR100579604B1
KR100579604B1 KR1020020009490A KR20020009490A KR100579604B1 KR 100579604 B1 KR100579604 B1 KR 100579604B1 KR 1020020009490 A KR1020020009490 A KR 1020020009490A KR 20020009490 A KR20020009490 A KR 20020009490A KR 100579604 B1 KR100579604 B1 KR 100579604B1
Authority
KR
South Korea
Prior art keywords
illumination
pattern
determining
optimized
equation
Prior art date
Application number
KR1020020009490A
Other languages
English (en)
Other versions
KR20020070806A (ko
Inventor
소챠로버트존
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20020070806A publication Critical patent/KR20020070806A/ko
Application granted granted Critical
Publication of KR100579604B1 publication Critical patent/KR100579604B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

마이크로리소그래피를 위한 방법 및 장치로서, 상기 방법 및 장치는 특정 마스크 패턴의 특성에 의거한 최적의 조명모드를 구비한다. 조명은 레티클의 회절차수 및 투영광학기의 자동상관관계에 의거하여 적절한 조명모드를 결정함으로써 최적화된다. 조명 패턴 중 변조에 영향을 미치지 않는 부분을 제거함으로써 과잉의 DC 광을 줄일 수 있어 초점심도가 향상된다. 마스크패턴의 최적화는 피치를 감소시키고 공간폭의 확률밀도함수를 이산화하기 위해서 서브해상 피처의 첨가를 포함한다.

Description

특정 마스크패턴을 위한 조명 최적화방법 및 장치{ILLUMINATION OPTIMIZATION FOR SPECIFIC MASK PATTERNS}
도 1은 일반화된 이미지 형성시스템에 대한 투과교차계수함수의 다이어그램,
도 2는 브릭벽(brick wall) 아이솔레이션 패턴 마이크로리소그래피 마스크 피처의 예시도,
도 3은 도 2의 마스크 피처의 회절차수를 표현한 도,
도 4는 도 2의 마스크 피처에 대하여 계산된 최적화된 4차원 조명방식의 맵,
도 5는 도 2의 마스크 피처에 대하여 계산된 스타팅 그레이 스케일(starting gray scale) 조명방식(Jtot)을 나타낸 도,
도 6은 도 5의 조명방식의 바이너리 표현도,
도 7은 고리형 조명방식으로 인쇄된 도 2의 마스크 피처의 프린트 분석도,
도 8은 최적화된 타원형 조명방식으로 인쇄된 도 2의 마스크 피처의 프린트 분석도,
도 9는 110nm 디자인룰로 축척된 도 2의 마스크 피처에 대하여 계산된 최적화된 4차원 조명방식의 맵,
도 10은 110nm 디자인룰로 축척된 도 2의 마스크 피처에 대하여 계산된 스타 팅 그레이 스케일 조명방식을 나타낸 도,
도 11a 및 도 11b는 σ값을 다르게 한 도 10의 조명방식의 바이너리 표현도,
도 12는 지정된 임계 게이트 및 셀을 구비한 마스크 패턴의 예시도,
도 13은 패턴내 피치의 수를 줄이기 위해서 어시스트 피처가 첨가된 도 12의 마스크 패턴,
도 14는 도 12 및 도 13의 마스크 패턴의 공간폭의 확률밀도함수를 비교한 도,
도 15는 마이크로포토리소그래피용 장치의 개략적인 도.
본 발명은 일반적으로 마이크로리소그래피 이미징을 위한 방법 및 장치에 관한 것이다. 특히 본 발명은 이미징된 특정 패턴에 따라 조명방식(illumination configuration)을 최적화하는 장치 및 방법에 관한 것이다. 현재 광 리소그래피 시스템은 집적회로 및 기타 프로그램 가능한 게이트 어레이와 같은 미세 형상제품(fine featured products)의 제조에 사용된다. 가장 일반적인 논의로서, 리소그래피 장치는 방사선의 투영빔을 제공하는 조명시스템, 패터닝수단을 잡아주는 지지구조체, 기판을 잡아주는 기판테이블, 및 기판의 타겟부상으로 패터닝된 빔을 이미징하는 투영시스템(렌즈)을 구비한다.
패터닝수단(patterning means)이라는 용어는 기판의 타겟부에 형성되어야 할 패턴에 대응하는 패터닝된 단면을 입사하는 방사선 빔에 부여하도록 사용될 수 있는 장치 및 구조체를 의미하는 것으로서 폭넓게 해석되어야 하며, 본 명세서에서는 "광 밸브(light valve)"라는 용어로도 사용된다. 일반적으로, 상기 패턴은 집적회로 또는 기타 디바이스와 같이 타겟부에 형성될 디바이스 내의 특정 기능층에 해당할 것이다.
그러한 장치의 일례로서, 일반적으로 (가동) 마스크테이블에 의하여 잡혀있는 마스크가 있다. 마스크의 개념은 리소그래피 분야에서 이미 잘 알려져 있고, 바이너리(binary)형, 교번 위상반전(alternating phase-shift)형, 및 감쇠 위상반전형 마스크뿐만이 아닌 다양한 하이브리드 마스크 형식도 포함된다. 투영빔 내에 이러한 마스크가 놓이면, 마스크의 패턴에 따라 마스크에 입사되는 방사선의 선택적인 투과(투과 마스크의 경우) 또는 반사(반사 마스크의 경우)가 이루어진다. 마스크테이블은 입사되는 투영빔 내의 소정 위치에 마스크가 유지될 수 있게 하며, 필요한 경우에는 마스크가 상기 빔에 대하여 상대적으로 이동될 수 있게 한다.
그러한 장치의 다른 예로서, 점탄성 제어층(viscoelastic control layer) 및 반사면을 구비한 매트릭스-어드레서블면(matrix-addressable surface)이 있다. 이러한 장치의 기본원리는, (예를 들어) 반사면의 어드레스된 영역(addressed area)은 입사광을 회절광으로 반사하는 한편, 어드레스되지 않은 영역은 입사광을 비회절광으로 반사하는 것이다. 적절한 필터를 사용하면, 반사된 빔 중에서 상기 비회절광을 필터링하여 회절광만 남게 할 수 있다. 이러한 방식으로, 빔은 매트릭스-어드레서블면의 어드레싱 패턴에 따라 패터닝된다. 프로그램가능한 거울배열의 대 안적인 실시예는 적절히 국부화된 전계를 가하거나 압전작동수단(piezoelectric actuation mean)을 채용하여 축을 중심으로 각각의 거울이 개별적으로 기울어질 수 있는 작은 거울들의 매트릭스 구성을 채용하는 것이다. 마찬가지로, 상기 거울도 매트릭스-어드레서블으로서, 어드레스된 거울은 입사되는 방사선빔을 어드레스되지 않은 거울과는 다른 방향으로 반사한다. 이러한 방식으로, 반사된 빔은 매트릭스-어드레서블 거울의 어드레싱 패턴에 따라 패터닝된다. 이때 요구되는 매트릭스 어스레싱은 적절한 전자수단을 사용하여 수행될 수 있다. 상기에 서술된 두 가지 상황 모두에서, 패터닝수단은 1이상의 프로그램 가능한 거울배열을 포함할 수 있다. 여기에 언급된 바와 같은 거울배열에 관한 더 많은 정보는, 예를 들어 본 명세서에서 참고 자료로 채용되고 있는, 미국특허 US 5,296,891호, US 5,523,193호 및 PCT 특허출원 WO 98/38597호 및 WO 98/33096호로부터 얻을 수 있다. 프로그램가능한 거울배열의 경우, 상기 지지구조체는 예를 들어, 필요에 따라 고정되거나 움직일 수 있는 프레임 또는 테이블로 구현될 수 있다.
또 다른 예로서, 프로그램 가능한 LCD 배열이 있으며 이 경우에도 마찬가지로 지지구조체는 예를 들어, 프레임이나 테이블일 수 있다. 이러한 구조의 일례는 본 명세서에서 참고자료로 채용되고 있는 미국특허 US 5,229,872호에 개시되어 있다.
설명을 간단히 하기 위하여, 본 명세서의 나머지 부분 중 어느 곳에서는 그 자체가 마스크를 포함하는 예시적인 용어로서 지칭될 수도 있다. 하지만, 그러한 예시에서 논의되는 일반적인 원리는 상술한 바와 같은 패터닝수단의 광의의 개념으 로 이해되어야 한다.
투영시스템이라는 용어는 다양한 형식의 투영시스템을 포괄하는 것이다. 일반인들의 관념상 보통 "렌즈"는 굴절광학기만을 의미하지만, 여기에서는 광의로 사용되어 예를 들어, 커탑트릭(catoptric) 및 커타디옵트릭(catadioptric) 시스템을 포함한다. 조명시스템은 투영빔의 지향, 성형 및 제어를 위한 원리들 중 어느 것에 따라 작동하는 요소들을 포함할 수 있고, 이하에서 그러한 요소들을 집합적으로 또는 단독으로 "렌즈"라 칭할 수 있다.
덧붙여, "웨이퍼테이블"이라는 용어는 이미지를 받아들이는 기판이 실리콘 웨이퍼임을 의미한다기 보다는, 리소그래피장치에 의하여 처리될 어떤 기판을 지지하기에 알맞은 스테이지를 가리키는 것이다.
예컨대, 리소그래피 투영장치는 집적회로(IC)의 제조에 사용될 수 있다. 이러한 경우, 패터닝수단은 IC의 각각의 층에 대응하는 회로패턴을 생성할 수 있으며, 상기 패턴은 한 층의 방사선감응재(레지스트)로 코팅된 기판(실리콘 웨이퍼)상의 타겟부(1이상의 다이로 구성되는)에 이미징될 수 있다. 일반적으로 한 장의 웨이퍼에는 투영시스템을 통해 한번에 하나씩 연속적으로 조사되는 인접한 타겟부들의 네트워크가 있다. 현재 통용되는 장치에서, 마스크테이블상의 마스크에 의한 패터닝을 채택하는 데에는, 두 가지 서로 다른 형식의 기계로 구분될 수 있다. 특정 형태의 리소그래피 투영장치에서는 타겟부상에 있는 전체 마스크패턴을 한번에 노광함으로써 각 타겟부가 조사되는데, 이러한 장치를 통상 웨이퍼 스테퍼(wafer stepper)라고 한다. 통상, 스텝-앤드-스캔 장치(step-and-scan apparatus)라고 불리워지는 대체 장치에서는 투영빔 하에서 소정의 기준 방향("스캐닝" 방향)으로 레티클 패턴을 점진적으로 스캐닝하는 한편, 상기 스캐닝방향과 같은 방향 또는 반대 방향으로 기판을 동기화시켜 스캐닝함으로써 각 타겟부가 조사된다. 일반적으로 투영시스템은 배율 인자 M(일반적으로 <1)을 가지므로 기판테이블이 스캐닝되는 속도(V)는 마스크테이블이 스캐닝되는 속도의 인자 M배가 된다. 여기에 서술된 리소그래피 장치와 관련된 보다 상세한 정보는 예를 들어, US 6,046,792호로부터 얻을 수 있으며 본 명세서에서도 참조자료로 채용된다.
리소그래피 투영장치를 사용하는 제조공정에서, (예를 들어, 마스크)의 패턴은 한 층의 방사선감응재(레지스트)가 최소한의 부분에라도 도포된 기판상에 이미징된다. 이 이미징 단계에 앞서, 기판은 전처리(priming), 레지스트 도포 및 소프트 베이크와 같은 여러가지 과정을 거칠 수 있다. 노광 후에는, 노광후 베이크(PEB), 현상, 하드 베이크 및 이미징된 피처의 측정/검사와 같은 또 다른 과정을 거치게 된다. 이러한 일련의 과정은, 예를 들어 IC 디바이스의 각각의 층을 패터닝하는 기초로서 사용된다. 그 후, 이렇게 패터닝된 층은 에칭, 이온 주입(도핑), 금속화, 산화, 화학-기계적 폴리싱 등과 같은, 각각의 층을 마무르기 위한 여러 공정을 거친다. 여러 개의 층이 요구된다면, 새로운 층마다 전체 공정 또는 그것의 변형된 공정이 반복되어져야만 할 것이다. 그 결과로, 기판(웨이퍼)상에는 디바이스의 배열이 존재하게 될 것이다. 이들 디바이스는 다이싱 또는 소잉 등의 기술에 의하여 서로 분리되고, 이들 각각의 디바이스는 캐리어에 탑재되고 핀에 연결될 수 있다. 이와 같은 공정에 관한 추가 정보는, 예를 들어, 본 명세서에서 참고자료로 채용되고 있는 "Microchip Fabrication: A Practical Guide to Semiconductor Processing"(3판, Peter van Zant 저, McGraw Hill출판사, 1997, ISBN 0-07-067250-4)로부터 얻을 수 있다.
편의상, 이하에서 상기 투영시스템을 "렌즈"라고 칭할 수 있다. 하지만 이 용어는 예를 들어, 굴절광학, 반사광학 및 커타디옵트릭 시스템을 포함한 다양한 형식의 투영시스템을 내포하는 것으로서 폭넓게 해석되어야 한다. 또한 상기 방사선시스템은 방사선 투영빔의 지향, 성형 또는 제어를 위한 설계방식 중의 어느 것에 따라 동작하는 구성요소를 포함할 수 있고, 이하에서 이러한 구성 요소들을 집합적으로 또는 개별적으로 "렌즈"라고 칭할 수 있다. 나아가, 리소그래피 장치는 2이상의 기판테이블(및/또는 2이상의 마스크테이블)을 구비하는 형태가 될 수도 있다. 이러한 "복수 스테이지" 장치에서는 추가 테이블이 병행으로 사용될 수 있으며, 1이상의 테이블이 노광에서 사용되고 있는 동안 1이상의 다른 테이블에서는 준비작업 단계가 수행될 수 있다. 예를 들어 US 5,969,441호 및 WO 98/40791호에는 듀얼스테이지 리소그래피장치가 개시되어 있으며, 본 명세서에서도 인용 참조되고 있다.
조명시스템이 종래의 조명형태로부터 고리형 및 4중극 조명형태를 거쳐 더 복잡한 조명형태로 발전되어 옴과 동시에 제어 파라미터가 매우 많아졌다. 종래의 조명패턴에서는 광축을 포함하고 있는 원형 면적이 조명되고 패턴의 조절은 외측반경(σr)을 변경하는 것뿐이었다. 고리형 조명은 조명된 링을 정의하기 위해서 내반 경(σe)의 정의를 요구한다. 다중극 패턴의 경우에 제어될 수 있는 파라미터의 수는 계속 증가한다. 4중극 조명형태의 예를 들면, 2개의 반경외에도 극각(pole angle) α는 선택된 내측반경과 외측반경의 사이에서 각각의 극에 대응되는 각을 정의한다.
뿐만 아니라 마스크 기술도 계속 개발되어 왔다. 바이너리 세기 마스크(binary intensity mask)는 위상반전 마스크 및 기타 고도한 디자인으로 발전되었다. 바이너리 마스크는 이미징하는 방사선을 주어진 지점에서 단순히 투과, 반사 또는 차단하였지만, 위상반전 마스크는 일부 방사선을 감쇠시킬 수도 있고 위상반전을 시킨 후 빛을 투과 또는 반사하거나 투과 및 반사할 수도 있다. 위상반전 마스크는 이미징 방사선의 파장급이나 그보다 작은 피처를 이미징하기 위해서 사용되어 왔는데, 이는 그 해상도에서의 회절효과로 인하여 다른 문제들 중에서도 열악한 콘트라스트 및 엔드오브라인 에러(end-of-line error)를 유발할 수 있기 때문이다.
인쇄된 이미지의 해상도, 초점심도, 콘트라스트 및 기타 특성을 개선하기 위해서 다양한 형태의 조명방식이 사용될 수 있다. 하지만 각각의 조명방식은 불가피한 트레이드오프를 갖고 있다. 예를 들어, 콘트라스트를 향상시키면 초점심도가 희생되어야 한다. 뿐만 아니라, 각각의 마스크의 형태는 이미징될 패턴에 따라 달라지는 성능을 갖는다.
종래에는 웨이퍼상으로 이미징될 주어진 패턴을 위한 최적의 조명모드를 선정하기 위해서, 일련의 테스트 웨이퍼를 노광하고 무작위로 비교하였다. 상기한 바대로, 현대적 조명시스템은 조작할 수 있는 변수의 수가 계속 증가하고 있다. 변수 세팅의 각종 순열이 증가함에 따라, 조명방식의 시행착오적인 최적화에 드는 비용이 매우 증가하고 조명방식 선정의 정량적 방법이 요구된다.
상기 검증된 요구 및 기타 사항을 충족시키기 위해서, 본 발명은 선정된 패터닝수단 패턴을 위한 조명 프로파일을 최적화하는 방법으로서,
조명기 및 선정된 패터닝수단 패턴을 구비하는 광학시스템에 대한 투과교차계수함수(transmission cross coefficient function)을 정의하는 단계;
선정된 패턴에 기초한 회절차수의 이미징에 대한 상대적 관련성(relative relevance)을 결정하는 단계; 및
상기 회절차수의 이미징에 대한 상대적 관련성에 기초한 조명방식의 영역에 가중치를 주면서 상기 투과교차계수함수로부터 최적화된 조명방식을 계산하는 단계를 포함하는 방법을 제공한다.
본 발명의 또 다른 실시형태에 따르면,
(a) 적어도 부분적으로는 한 층의 방사선감응재로 도포된 기판을 제공하는 단계;
(b) 조명시스템을 사용하여 방사선의 투영빔을 제공하는 단계;
(c) 투영빔의 단면에 패턴을 부여하도록 패터닝수단을 사용하는 단계; 및
(d) 방사선감응재 층의 타겟부상으로 방사선의 패터닝된 빔을 투영하는 단계를 포함하며, (d) 단계 이전에 상술한 바와 같은 방법을 사용하여 (b) 단계에서 생성된 투영빔의 단면세기분포가 (c) 단계에서 채용된 패턴에 맞추어지는 것을 특징으로 하는 디바이스 제조방법이 제공된다.
본 발명의 또 다른 실시형태에 따르면,
- 방사선의 투영빔을 제공하는 조명시스템;
- 소정 패턴에 따라 투영빔을 패터닝하는 역할을 하는 패터닝수단을 지지하는 지지구조체;
- 기판을 잡아주는 기판테이블; 및
- 기판의 타겟부상으로 패터닝된 빔을 투영하는 투영시스템을 포함하는 리소그래피 투영장치로서,
- 조명기와 소정 패턴에 대한 투과교차계수함수를 정의하고, 상기 패터닝수단에 의하여 생성된 패턴에 기초한 회절차수의 이미징에 대한 상대적 관련성을 결정하며, 상기 회절차수의 이미징에 대한 상대적 관련성에 기초한 조명방식의 영역에 가중치를 주면서 상기 투과교차계수함수로부터 최적화된 조명방식을 계산하는 계산수단,
- 상기 계산수단에 의하여 계산된 조명방식에 따라 상기 조명시스템에서 나오는 투영빔의 단면세기분포를 선정하는 선정수단을 더욱 포함하는 것을 특징으로 하는 리소그래피 투영장치가 제공된다.
본 발명의 또 다른 실시형태에 따르면, 선정된 마스크 디자인을 최적화하는 방법으로서,
선정된 마스크 디자인의 임계 피처를 검증(identifying)하는 단계;
상기 임계 피처의 회절차수에 기초한 최적화된 조명 프로파일을 결정하는 단계; 및
선정된 마스크 디자인에 존재하는 피치의 수를 줄이도록 선정된 광근접성 교정법(optical proximity correction method)을 사용하여 선정된 마스크 디자인을 수정하는 단계를 포함하는 방법이 제공된다.
또한 본 발명은 상술한 방법을 실행하는 컴퓨터 프로그램을 제공한다.
본 발명에 따른 장치를 사용함에 있어 본 명세서에서는 IC의 제조에 대해서만 언급하였으나, 이러한 장치가 그 밖에도 다른 가능한 응용례를 가지고 있음은 명백히 이해되어야 한다. 예를 들어, 상기 장치는 집적광학시스템, 자기영역메모리용 유도 및 검출 패턴, 액정표시패널, 박막자기헤드 등의 제조에도 이용될 수 있다. 당업자라면, 전술한 기타 응용분야들을 고려할 때, 본 명세서에서 사용된 "레티클", "웨이퍼" 또는 "다이"와 같은 용어가 "마스크", "기판" 및 "타겟부" 등과 같은 좀 더 일반적인 용어로 각각 대체될 수 있음이 이해될 것이다.
이하, 첨부된 도면과 예시적인 실시예를 참고로 본 발명을 상세히 서술한다.
본 발명은 조명원 및 패턴 디테일을 산입하여 (마스크로부터) 기판상으로 패턴의 이미징을 우선 수학적으로 모델링하게 한다.
유한 조명원에 대한 에어리얼 이미지를 계산하는 데에는 두 가지 일차적인 방법이 있다. 그 두 가지 방법은 아베공식(Abbe's formulaion)과 홉킨스공식이다. 아베공식에서는 조명방식내 각 점원(point source)이 패턴상으로 입사하는 평면파를 만들고, 이들 점원의 각각이 웨이퍼상으로 이미징된다. 상기 점원은 공간적으로 인코히런트하므로, 웨이퍼에서의 총 세기는 그들 점원의 각각에 의하여 생성된 세기의 합이다. 따라서, 아베공식에서는 패턴에 대하여 적분한 후 조명방식에 대한 적분이 실행된다.
홉킨스공식에서는 적분의 순서가 바뀐다. 즉, 우선 점원에 대한 적분이 실행된다. 홉킨스공식에서는 4차원 투과교차계수(TCC)가 정의되고 이미지 세기는 그 TCC의 역푸리에변환이다. TCC의 유도는 예를 들어, Born and Wolf의 Principles of Optics(제6판, pp. 528-532)에 서술되어 있으며, 본 명세서에서 참고자료로 인용된다.
TCC는 조명 퓨필을 곱한 투영 퓨필의 자동상관관계(autocorrelation)이다. 도 1에서 TCC는 1세트의 3개의 중첩원으로 도시된다. 왼 쪽부터 오른 쪽으로, 첫 번째 원은 조명 퓨필 Js(α, β)을 나타내며, 여기에서 α 및 β는 조명방식의 좌표이다. 다음의 계산을 위해서, Js 의 반경은 예를 들어, 이미징에 사용될 리소그래피 장치에 대하여 최대 허용가능한 외측 σr 로 설정될 수 있다. 실행 가능성 검토를 실시하고 더 큰 σr 의 이득을 결정하기 위해서 σr 을 1.0 이상 크게 설정할 수도 있다.
중앙의 원은 (-mλ/PxNA, -nλ/PyNA)에 중심을 둔 투영 퓨필 K(α, β)이다. 상기 좌표계는 λ/NA 의 인자로 정규화되어 K의 반경은 1.0 이다. 시계방향 오른 쪽에 있는 원은 투영퓨필을 나타내며, 그 중심은 (pλ/PxNA, qλ/PyNA)에 있다. 나중에 있는 이들 두 표현식에서, m, n, p, 및 q 는 이산 회절차수(discrete diffraction order)에 대응하며 TCC는 상술한 4차원(4-D)식임이 명확해진다. x-방향에서의 회절차수는 m 및 p 로 표현되며, y-방향에서의 회절차수는 n 및 q 로 표현된다. 여기에서는 서술 목적상 x 및 y 좌표가 사용되었지만, 당업자라면 다음의 수학식들에서 좌표계의 적절한 변화를 통하여 대안적인 좌표계가 사용될 수 있음을 알 수 있다.
4-D 이산점(m,n,p,q)에 대한 TCC는 3개의 원이 모두 중첩된, 음영처리된 면적의 적분이다. 상기 구조는 주기적일 것으로 가정되므로, 상기 패턴의 푸리에변환은 이산하며 TCC는 이산한다. 연속적 패턴 이미지의 경우에, 인접한 피처가 대상이 되는 패턴의 푸리에변환에 영향을 미치지 않을 때까지 피치가 증가될 수 있다. 도 1에서의 TCC는 수학식 1에서 수학적으로 기술된다.
Figure 112002005306921-pat00001
상기 TCC는 회절차수교차계수(DOCC)를 정의함으로써 패턴의 영향을 포함하도록 확장될 수 있다. DOCC는 TCC를 패턴의 푸리에변환계수로 곱하여 유도된 수학식 2에서 정의된다.
Figure 112002005306921-pat00002
또한, 웨이퍼에서의 방사선 세기는 수학식 3에서와 같이 DOCC의 역푸리에변환으로 계산될 수 있다.
Figure 112002005306921-pat00003
부분적으로 투영 광학시스템은 회절차수를 줄여 몇 개의 회절차수만이 계산된 이미지 세기에 중요한, 저통과필터로서 작용한다. 그 결과 TCC는 대역제한함수이다. 최대의 필요 x 및 y 차수는 각각 수학식 4 및 수학식 5에 따라 계산될 수 있다. 각각의 경우에, 음의 차수 및 양의 차수는 모두 예를 들어, m 이 음의 mmax 로부터 양의 mmax 에 이를 것(-mmax ≤m ≤+mmax)을 필요로 한다. 음의 차수와 양의 차수 모두가 필요하므로, TCC의 크기는 (2mmax + 1)×(2nmax+ 1)×(2pmax+ 1)×(2qmax+1) 이다. 하지만, 다행히도 TCC는 대역제한이기 때문에 모든 패턴 회절차수를 계산할 필요는 없다. TCC에서와 마찬가지로, x 방향에서는 -mmax ≤m ≤+mmax 의 회절차수만이 필요하고 y 방향에서는 -nmax ≤n ≤+nmax 의 회절차수만이 필요하다.
Figure 112002005306921-pat00004
Figure 112002005306921-pat00005
수학식 3에 수학식 1 및 2를 대입하면 웨이퍼에서의 방사선 세기에 대한 수학식 6이 주어진다. 수학식 7에 보인 바와 같이 적분의 순서를 바꾸면 즉, 홉킨스공식이 아닌 아베공식을 사용하면, 이미징에 가장 영향을 미치는 조명 퓨필의 부분이 결정될 수 있다. 수학식 6 및 수학식 7은 각각 두 줄에 걸쳐 있다.
Figure 112002005306921-pat00006
Figure 112002005306921-pat00007
α및 β는 조명 퓨필 좌표를 표현하므로, 새로운 함수 Jopt 가 정의될 수 있 다. 새로운 함수 Jopt 는 조명방식(α,β)의 부분이 주어진 회절차수(m,n,p,q)에 대하여 사용되고 있음을 가리키며 수학식 8로 표현된다. 수학식 8로부터, 그것을 역푸리에계수(eikx )로 곱하고 수학식 9에서와 같이 모든 6개의 변수(m,n,p,q,α,β)에 대하여 더함으로써 이미지 세기가 계산될 수 있다.
Figure 112002005306921-pat00008
Figure 112002005306921-pat00009
이제 알 수 있듯이, Jopt 는 6차원함수이며 따라서 그것을 조명방식에 적용시키기는 어렵다. 조명방식의 어느 부분에 이미지 형식에 유효한지에 대한 최선의 결정을 위해서는 6개의 변수 중 몇 개를 소거해야 한다.
에어리얼 이미지 세기 I(x,y)는 m+p 및 n+q 에 대한 역변환을 취함으로써 알아낸다. m+p=n+q=0 이면, 에어리얼 이미지 세기에서의 변조(modulation)는 없다. 조명 최적화의 목표 중 하나는 조명방식 중 변조에 영향을 미치지 않거나 거의 미치지 않는 부분을 제거하는 데 있으므로, m+p = n+q = 0 인 경우에 대한 조명방식의 이들 부분들은 제거될 수 있다. 이들 부분을 제거하고 이미지 형식에 유효한 조명방식 부분을 더 가시화하기 위해서, 변수들의 변환은 6차원 Jopt 함수(4개의 회 절차수)에서 2개의 변수를 소거하고 그것을 4차원함수(2개의 회절차수)로 바꾼다. 4차원함수를 Jopt-2D 라고 한다. I(x,y)에 대하여, 수학식 9에 수학식 10 및 11을 대입하면, 수학식 12가 도출된다.
Figure 112002005306921-pat00010
Figure 112002005306921-pat00011
Figure 112002005306921-pat00012
수학식 12에서, Jopt-2D 는 수학식 10 및 11에 따른 변수의 변환 후 m 및 n 에 대한 Jopt 의 합인 것을 알 수 있다. 또한 수학식 12에 수학식 8을 대입하면, Jopt-2D 는 수학식 13으로 표현될 수 있고, 세기 I(x,y)는 수학식 14에서와 같이 Jopt-2D 의 함수로 나타낼 수 있다.
Figure 112002005306921-pat00013
Figure 112002005306921-pat00014
수치를 구할 때 함수 Jopt-2D 는 조명방식 중 각 회절차수에 대하여 중요한 부부분을 나타낸다. Jopt-2D 는 각 회절차수 T(m,n)에 의한 가중치를 두고 있으므로, 회절차수가 크면 에어리얼 이미지에 미치는 영향이 커진다.
특정 패턴에 대한 최선의 조명방식을 위한 시작점은 Jtot 로 표기될 수 있고 수학식 15에서와 같이 η 및 ξ에 대하여 Jopt-2D 를 합하고 Jopt-2D(α,β,η= 0, ξ= 0)를 빼줌으로써 구해진다. 수학식 15에서 η= 0, ξ= 0 이면 에어리얼 이미지에서의 변조는 없으며, Jopt-2D(α,β,η= 0, ξ= 0) 성분은 0차 또는 DC 광(DC light)을 나타낸다. 이미징에 기여하지 않는 조명내의 점들은 DC 광의 총합을 증가시킨다. 증가된 DC 광은 변조를 유발하지 않아 큰 이득은 없고 더욱이 그것은 초점심도의 감소를 초래할 수 있다.
따라서, Jtot 에 따른 조명방식은 DC 광의 양을 최소화하고 향상된 프로세스 윈도우를 가져온다. 식 Jtot는 조명의 어느 부분이 이미지 형성에 더 유효한지(또는 덜 유효한지)를 보여주는 데 사용될 수 있다.
Figure 112002005306921-pat00015
조명방식과 패턴은 밀접하므로, 광근접성교정(OPC)의 변화는 회절차수에 영향을 주고 따라서 Jtot 에 영향을 준다. 결과적으로, 시작 조명방식 Jtot 및 패턴에 대한 수정은 OPC 엔진 및 조명 엔진을 사용한 프로세싱의 반복을 통하여 수회에 걸쳐 실행되어야 하며, 이는 당업자라면 이해할 수 있다. 나아가, 패턴과 조명방식도 최적화 소프트웨어로 실행될 수 있는 특별한 이미징기준(초점심도(DOF), 엔드오브라인(EOL), 수차감응도, 등)을 최적화하도록 맞춰질 것이 필요하다. 하지만, OPC 피처보다는 전체로서의 패턴이 최적의 조명방식에 가장 큰 영향력을 가지므로, Jtot은 조명방식과 패턴에 대한 반복을 최적화하는 가장 빠른 수렴을 이끌기에 가장 좋은 처음의 조명방식이다.
시작 조명방식 Jtot 는 0 내지 1 범위에 대한 세기의 연속값을 갖는 그레이 스케일 조명방식으로 표현될 수 있다. 회절 광학요소(DOE) 또는, 디더링된 크롬(dithered choromium)으로 도금된 쿼츠판을 사용함으로써 그러한 그레이 스케일 조명방식을 만들어낼 수 있다. 그레이 스케일 조명방식이 불가능하거나 바람직하지 않다면, 그레이 스케일에 스레스홀드를 적용하여 조명 프로파일이 0 또는 1 만 되도록 강제될 수 있으며 그보다 큰 값에서 스레스홀드는 1로 절상되고 그 보다 작은 값에서 스레스홀드는 0으로 절하된다. 임의의 스레스홀드가 적용될 수도 있 고, 프로세스 윈도우를 시뮬레이션하거나 반복된 테스트 시행을 통하여 최적의 스레스홀드를 알아낼 수도 있다.
실험례 1: 상기 약술된 Jtot 를 계산하는 기법을 브릭벽 아이솔레이션 패턴에 적용하였다. 150nm 패턴이 130nm 및 110nm 디자인룰(design rule)로 축소되었고 0.8의 개구수(NA)를 갖는 스텝앤드스캔 리소그래피 시스템으로 이미징되었다. 130nm 디자인룰을 위한 아이솔레이션 패턴은 도 2에 도시되어 있다.
상기 마스크 피처의 회절차수의 크기는 도 3에 도해되어 있다. 도 3에서 가장 큰 차수는 (0,0)차 또는 DC 배경광(DC background light)이다. 이미징에 가장 크게 기여하는 차수는 (±2, 0)차수이며 브릭벽 패턴내의 수직 브릭을 표현한다. 다른 유효한 차수는 밝은 영역을 표현하며 아이솔레이션 패턴의 끝을 정의하는 (±1, ±1)이다. 더 높은 차수도 각 라인의 끝과 같은 2차원 구조를 정의하는 데 도움을 준다. 회절차수는 일정하지 않으므로 차수는 DOCC 내의 가중치 계수를 변경시키고, 이것은 마스크 패턴이 조명전략에 영향을 준다는 것을 의미한다.
도 3에서 회절차수계수 T(m,n)을 수학식 13에 대입하여 Jopt-2D 를 계산할 수 있고 도 4에 도해되어 있다. 도 4로부터 알 수 있듯이, Jopt-2D 에 가장 크게 기여하는 것은 (η= 0, ξ= 0)차수이다. (0,0)차는 이미징에 기여하지 않으며 DOF를 감소시킨다. 수학식 15에 보인 바와 같이, 상기 (0,0)차는 총 조명 Jtot 로부터 차감될 수 있다. (0,0)차를 고려하지 않으면, 가장 큰 기여는 x 방향을 따른 아이솔레이션 라인의 형성을 표현하는 (η= ±2, ξ= 0) 회절차수이다. 크고 아이솔레이션 라인의 끝을 정의하는 또 다른 성분은 (η= ±1, ξ= ±1) 회절차수이다. (0, ±2) 회절차수는 작은 편이지만 더 높은 차수들은 렌즈의 η= 0 및 ξ= ±2 영역에서 결합(combine)한다. 이들 영역도 라인의 끝을 정의하는 데 도움을 준다. DOCC 접근은 조명 퓨필이 향상된 이미지 형성을 위하여 어떻게 샘플링되는지를 보여주며 브릭벽 아이솔레이션 패턴의 이미징을 이해하는 데 효과적인 방법이다.
수학식 15를 사용하면, 130nm 디자인룰용 브릭벽 패턴의 조명 퓨필이 계산될 수 있으며 도 5에 도시된다. 도 5는 이미지 형성에 가장 유효한 면적은 x 축을 따른 조명방식의 외측부임을 보여준다. 이들 외측부는 타원형 쌍극을 형성한다. 이들 타원형 쌍극 요소와 더불어 조명 퓨필의 중심은 이미지 포뮬레이션에 큰 기여를 한다. 상기 주지하는 바와 같이, 조명 퓨필은 그레이 스케일이나 바이너리 조명 프로파일에서 구현될 수 있다.
사용되는 장치에 따라서 크레이 스케일 조명이 가능할 수 있다. 그레이 스케일 조명에 의하여 제어 가능한 조명세기는 적어도 주어진 부분의 조명방식에 대하여 0 내지 1로 그 정규화된 레벨을 선택할 수 있다. 예를 들어, 조명세기에 대한 그러한 제어는 조명시스템내의 회절광학요소(DOE)의 사용으로 생성될 수 있다. 이 경우에, 예를 들어 조명방식은 도 5에 도시된 것처럼 구현될 수 있다. 하지만 이론상 계산되는, 도 5에 보인 일부 로컬 스파이크(local spike)는 상기 논의된 바와 같이 투영광학기의 결과로서 조명 정보의 저통과 필터링후 제거될 것이다. 따라서, 조명방식을 디자인할 때, 필터링될 스파이크는 무시되어야 한다.
바이너리 조명방식이 사용되는 경우, 즉 조명기의 세기에 2진값만 허용되는(0 또는 1) 경우, 스레스홀드값은 조명방식상의 각 점에 0 또는 1의 값을 할당하는 근거로서 채택되어야 한다. 예를 들어, 0.8의 스레스홀드가 채택되면, 0.8 보다 큰 조명기 세기는 1로 절상되고 0.8 보다 작은 값은 0 으로 절하된다. 그 밖의 스레스홀드 값은 원하는 바대로 적용될 수 있다.
실험례 2: 바이너리 접근에 그레이 스케일을 사용하여 동일한 브릭벽 아이솔레이션 패턴에 대한 바이너리 조명방식이 0.88 의 최대 외측 σ로 가정하여 디자인되었고 도 6에 도시되어 있다.
그런 다음, 도 6에서 최적화된 조명방식의 성능은 NA = 0.8 이고 λ= 248nm 를 갖는 스텝앤드스캔 마이크로리소그래피장치상의 바이너리 마스크에 대하여 시뮬레이션되었고 고리형 조명의 성능을 시뮬레이션한 것과 비교하였다. 시뮬레이션에서, 개구수가 0.7 보다 컸으므로 벡터(박막) 이미징 레지스트 모델이 사용되었다. 이 모델에서 레지스트는 굴절율 n = 1.577 - j3.588 을 갖는 폴리실리콘 재료의 상부에 n = 1.45 - j0.3 을 갖는 두께 66nm 의 재료 위에 400nm 두께의 굴절율 n = 1.76 - j0.0116 을 갖는 다른 종류의 재료로 되어 있다. 고리형 조명(σin = 0.58 이고 σout = 0.88) 및 최적화된 조명(σout = 0.88)의 결과가 도 7 및 도 8에 각각 도시된다. 도 7 및 도 8 모두에서 아이솔레이션 영역의 중간에서의 단면 결과 및 상하 시뮬레이션 결과가 도시된다. 상기 도면에서, 레지스트를 거치는 세기를 평균하여 에어리얼 이미지 스레스홀드로부터 보쑹도(Bossung plot) B 가 계산되고, 그 합성 선폭 lw 가 스레스홀드 세기에 대한 포커스 f 에 대하여 도해된다. 이 기 법은 두께 손실 및 레지스트 프로파일 슬로프를 고려해 넣지 않아서 DOF 를 과장되게 예상하기 쉽다. 적어도 두께 손실을 계산하는 레지스트 모델이 필요할 것이다. 각각의 도면에서, 상하(top down) 결과는 보쑹도에서 계산된 최선의 스레스홀드(최적 도즈)에서 실선의 곡선으로 도해되어 있다. 이들 시뮬레이션된 스레스홀드 이미지는 점선의 직선으로 나타낸 실제의 마스크 데이터와 비교된다.
130nm 디자인룰 브릭벽 아이솔레이션 패턴에 대한 시뮬레이션 결과는 도 7에서 고리형 조명(σin = 0.58 이고 σout = 0.88)을 사용한 0.8 의 NA를 가진 바이너리 마스크 피처에 대하여 도해되어 있다. 이 고리형 세팅은 -0.4㎛ 부터 0.0㎛ 까지의 포커스로 대략 0.4㎛ 의 DOF를 갖는다. 레지스트의 콘트라스트는 포커스 전체에서 낮고, 낮은 콘트라스트 레지스트를 사용하여 이미징될 수 있다. 하지만, 이 낮은 세기 콘트라스트에서 마스크에러 강화인자(mask error enhancement factor; MEEF)는 크고 노광관용도(EL)는 작다. 또한 도 7에서의 상하 이미지는 라인의 끝(EOL)이 대략 20nm 단축됨을 보이며, 이것은 130nm 디자인룰에 대하여 라인을 약간 연장함으로써 바로잡을 수 있다. 하지만 디자인룰이 계속 축소됨에 따라, 연장된 라인이 다른 피처와 충돌할 수 있기 때문에 라인을 연장하는 것은 더이상 실현 불가능하다. 따라서, EOL을 조명을 이용하여 바로잡는 것이 바람직하다.
도 8에는 130nm 디자인룰 브릭벽 아이솔레이션 패턴에 대한 시뮬레이션 결과가 도 6의 최적화된 바이너리 조명방식을 사용하여 0.8의 개구수를 가진 바이너리 마스크 피처에 대하여 도해되어 있다. 최적의 조명방식은 -0.45㎛ 부터 +0.15㎛ 까지의 포커스로 대략 0.6㎛ DOF를 갖는다. 도 8의 단면 이미지와 도 7의 그것을 비교할 때, 최적화된 조명방식은 고리형 조명에 비하여 포커스 전체에서 더 큰 콘트라스트를 갖는다. 상기 더 큰 콘트라스트는 최적화된 조명방식에 대한 MEEF가 고리형 조명에 비하여 더 낮다는 것과 최적화된 조명방식의 노광관용도가 더 크다는 것을 의미한다. 최적화된 조명방식의 또 다른 이득은 고리형 조명에 비하여 향상된 라인엔드 성능에 있다. 도 8에서의 상하 이미지는 패턴상의 라인을 연장하지 않고도 최적화된 조명방식이 EOL을 유지할 수 있음을 보이며, 이것은 더 적극적인 디자인룰 축소에 유리하다.
실험예 3: 바이너리 마스크(BIM)에 대한 도 7 및 도 8의 결과를 무크롬 마스크(CLM)에 대한 시뮬레이션 결과와 비교하였다. 무크롬 브릭벽 아이솔레이션 패턴은 당업자에게 알려져 있는 방식으로 소프트웨어 시뮬레이션의 실험적 결과로부터 디자인되었다. 무크롬 기술은 오프액시스 조명(off-axis illumination)으로 얻어진 DOF 향상으로부터의 충분한 이득을 얻기 위하여 (0,0)차 광을 필요로 한다. 시뮬레이션으로부터의 실험적 결과는 아이솔레이션 층이 디더링(dithered)되거나 하프톤(half toned)되어야 하는 목적을 위하여 (0,0)차 광이 필요함을 입증한다. 하프톤 피치는 디더링된 방향으로의 제1차수가 투영 퓨필에 들어가지 않도록 선택될 수 있다. 본 실험에서, 라인은 수직방향에서 λ/[NA(1+σout)] 보다 작은 피치로 디더링되었다. 하지만 디더링 듀티사이클은 최선의 DOF 및 패턴 충실도(pattern fidelity)를 위하여 (0,0)차 광의 양을 최적화하도록 튜닝되어야 한다. CLM에 대 한 시뮬레이션 결과에서 하프톤 피치는 50% 듀티사이클로 155nm(77.5nm 크롬 섬)이었다. 상기 피치는 실질적으로 (0,±1)차가 투영 퓨필로 들어오지 못하게 한다. 하지만, 상기 듀티사이클은 컴퓨터지원설계(CAD) 도구를 사용하여 최대 DOF로 튜닝되어야 한다.
실험예 4: 130nm 디자인룰 층에 대한 시뮬레이션 결과가 155nm 하프톤 및 50% 듀티사이클을 가진 CLM에 대하여 도해되어 있다. CLM은 0.8의 NA를 가진 λ= 248nm 장치 위에서 고리형 조명(σin = 0.58 이고 σout = 0.88)으로 노광된다. 상기 고리형 세팅으로 CLM은 0.5㎛ DOF (-0.4㎛ 포커스 내지 +0.1㎛ 포거스)를 가졌다. 고리형 조명에 의한 CLM은 고리형 조명에 의한 BIM에 비하여 더 큰 DOF 및 포커스 전체에서 더 우수한 콘트라스트를 가졌다. 이것은 BIM 마스크보다는 CLM이 더 우수하게 실행되었음을 가리킨다. 상하 시뮬레이션 결과는 CLM에 의한 EOL 성능이 이론적으로 BIM에 의한 EOL 성능보다 우수하다는 것과 CLM이 BIM에 비하여 콘택홀 랜딩면적을 더 잘 정의할 수 있다는 것을 알려주었다.
실험예 5: 130nm 브릭벽 아이솔레이션 패턴 아이솔레이션 층에 대한 시뮬레이션 결과가 0.8의 NA와 도 6에 도시된 최적화된 타원형 쌍극을 가진 λ= 248nm 장치에 대하여 도해되어 있다. 이들 결과는 이전 실험예에서 사용된 CLM 레티클과 동일한, 155nm 하프톤 피치 및 50% 듀티사이클을 가진 레티클로 시뮬레이션되었다. 최적화된 조명방식으로 노광된 CLM은 0.7㎛ DOF (-0.5㎛ 내지 +0.2㎛)를 가져 40%의 향상을 보였다. 보쑹도는 아이소포컬 세기(isofocal intensity)가 대략 0.21 임을 가리켰다. 레티클을 교정 선폭의 크기로 튜닝하여 성능을 더욱 향상시키기 위해서 OPC 접근에 기초한 모델이 추가로 적용될 수 있었다. 선폭은 예를 들어, 하프톤 듀티사이클을 바이어스하고 수정함으로써 교정될 수 있다. 상하 시뮬레이션 결과는 CLM이 콘택트 랜딩영역을 정의할 수 있고 CD 균일성을 유지할 수 있음을 나타내었다. 네킹(necking) 및 기타 선폭 비일관성은 상기 타원형 조명방식에 의하여 감소되었다. 또한, CLM 레티클은 DOF를 향상시키도록 바이어스될 수 있었고 결과적으로 EOL 성능은 향상되었다. 또한, OPC에 기초한 모델은 EOL을 더욱 교정할 수 있었다.
실험예 6: 110nm 디자인룰 아이솔레이션 층에 대한 도 2의 마스크 패턴을 사용하여, 수학식 13 및 15에 의하여 최적화된 조명방식이 생성되었다. 조명 퓨필의 샘플링을 가시화하기 위해서, Jopt-2D 가 도 9에 도해되어 있으며 수평으로는 x 차수 (η= m + p)를 나타내고 수직으로는 y 차수(ξ= n + q)를 나타낸다. 도 4의 130nm 디자인룰의 경우에서처럼 도 11의 110nm 디자인룰에 가장 크게 기여하는 것은 (η= 0, ξ= 0)차수이다. 상기 (0, 0)차 광은 DOF에 해로운 영향을 미치며 수학식 15에 표시된 바와 같이 Jtot 에서 제거된다. 또한 도 9는 (±1, ±1)차수가 (±2, 0)차수보다는 조명방식 최적화에 가장 크게 기여함을 보여준다. 이것은 110nm 디자인룰이 NA = 0.8 을 갖는 248nm 장치에 대하여 너무 적극적이라는 사실에 기인하며 이는 그 해상도를 이루기 위해서는 약간 더 큰 NA가 바람직하기 때문이다. 아이솔레이션 선폭을 정의하는 데 가장 크게 기여하는 차수는 (±2, 0)차수이다. 하지만, (±2, 0)차수는 조명방식의 먼 가장자리(0.8 < σ< 1.0)에 있으며 이것은 1의 σ가 그 파장에서 110nm 디자인룰의 구현에 향상을 가져온다는 것을 나타낸다.
수학식 15 및 도 9의 결과를 이용한, 110nm 브릭벽 아이솔레이션 층에 대한 최적화된 조명방식이 도 10에 도시되어 있다. 도 10은 이미지 형성에 가장 크게 기여하는 조명방식 면적이 중심의 작은 부분 및 조명방식의 먼 가장자리임을 보인다. 이 조명방식의 한 가지 가능한 구현방법이 도 11a에 도해되어 있다. 더 적극적인 디자인룰을 인쇄하고 투영 개구수의 한계를 넓히도록 248nm 장치를 사용하기 위해서, 도 11b에 도시된 바와 같이 1.0의 σ를 갖고 작은 섹터(0.2의 σ링폭)을 갖는 조명방식이 사용될 수 있다.
본 발명의 구현방법에는 임계적 셀 또는 특정 게이트의 선택이 포함된다. 이들 임계 피처는 그 후 상기 서술된 Jtot 을 결정하도록 처리된다. 섹션 1에서, 조명방식은 패턴 의존적임을 보였다. 따라서, 피치가 임계 피처의 경우와 확연히 다르지 않다면 모든 임계 피처에 대한 프로세스 윈도우를 최적화하는 단일 조명방식을 만들어낼 수 있다. 도 12에는 임계적 게이트(g1, g2, g3) 및 임계적 셀(cc)을 구비한 회로의 예가 도시되어 있다. 이들 태그된 임계 피처의 회절차수는 계산될 수 있으며, 이미 서술된 이론을 이용하여 최적화된 조명방식이 계산될 수 있다. 최적화된 조명방식을 계산한 후에는 프로세스 윈도우가 계산될 수 있고 다른 조명방식에 의한 프로세스 윈도우와 비교할 수 있다.
조명/패턴 상호작용을 최적화하는 또 다른 방법은 스캐터링 바(scattering bar)로 패턴디자인을 수정하는 것이다. 스캐터링 바는 ASIC 또는 로직 디자인에 대한 준연속함수(semicontinuous function)로부터 피치를 이산시킨다. 스캐터링 바를 배치하면 피치의 수가 적어진다. 이것은 0.61λ/NA 의 에지 대 에지 분리로 스캐터링 바를 배치함으로써 시뮬레이션 소프트웨어에서 입증될 수 있다. 도 13에서, 도 12의 디자인은 복수의 스캐터링 바를 부가함으로써 수정되었다. 그러면 조명방식은 수정된 디자인에 대하여 최적화될 수 있다. 그러면 스캐터링 바를 갖는 디자인에 대하여 최적화된 조명방식의 프로세스 윈도우 성능은 스캐터링 바가 없이 최적화된 조명방식의 프로세스 윈도우와 비교될 수 있다. 스캐터링 바를 갖는 디자인은 피치를 이산시키므로, 최적화된 오프액시스 조명(OAI)과 스캐터링 바의 결합은 가능성이 가장 큰 DOF 프로세스 윈도우를 가질 것이다.
조명방식 최적화를 구현하는 또 다른 개념은 공간폭(SW) 고려에 기초한 스캐터링 바의 배치를 통한 것이다. 스캐터링 바는 공간 폭으로 규칙이 정의될 수 있는 OPC계 규칙을 따라 배치된다. 시뮬레이션 소프트웨어로 스캐터링 바가 없는 공간폭과 스캐터링 바를 가진 공간폭의 확률밀도함수(pdf)를 계산할 수 있다. 그러면 조명은 상기 pdf를 고려하여 수학식 16에 보인 Jopt-2D 를 수정함으로써 최적화될 수 있다. 수직 라인과 수평 라인이 유한하다고 가정하면 회절차수 T(m,n)을 계산하는 것도 가능하다. 수학식 17에서, w를 선폭이라 하고, τ를 레티클의 세기 투과율이라 하고, Px = SWx + w 및 Py = SWy + w 가 각각 x 및 y 방향으로의 피치라고 할 때, 회절차수는 m 및 n 의 함수로 계산된다.
Figure 112002005306921-pat00016
수학식 17은 표시된 순서대로 m=n=0; m=0, n≠0; m≠0, n=0; 및 m≠0, n≠0 인 경우에 대한 4개의 식의 행렬이다.
Figure 112002005306921-pat00017
pdf로 최적의 조명방식을 계산하는 데에는 몇 가지 문제가 제기될 수 있는데, 그것은 일부 피치는 다른 것들만큼 중요하지 않다는 것을 의미한다. pdf내의 모든 게이트를 임계적인 것으로 간주하면 pdf는 가중치인자(weighting factor)로 수정되어야 한다. 이 가중치인자는 wf(Px)라고 하는 피치의 함수이다. 이 가중치인자를 가지고, 모든 임계 피치는 wf(Px)ㆍpdf(Px) = 1 과 같도록 취급되어야 한다. 이 가중치인자는 수학식 16에서 pdf(Px)을 wf(Px)ㆍpdf(Px)로 치환하여 수학식 16에 첨가되어야 한다. 모든 피치가 임계적인 경우에 가중치인자는 최적화를 해결하는 데 도움이 안되며 (패턴)디자인을 수정하지 않고서 최적화된 조명방식을 만들어내기는 어렵다.
이 문제에 대한 한 가지 해결책은 상기 논의된 바와 같이 스캐터링 바를 첨가하여 디자인을 수정하는 것이다. 스캐터링 바는 아이솔레이션된 피처의 피치를 감소시키는 데 도움을 준다. 일단 스캐터링 바가 디자인에 첨가되면, 이전에 아이솔레이션된 피처는 밀집 피처(dense feature)처럼 작용하려는 경향이 있다. 따라서, 스캐터링 바는 연속적인 pdf로부터 더 이산한 pdf로 피치를 이산시킨다. 도 14는 스캐터링 바가 적용된 경우와 적용되지 않은 경우에 y 방향(즉, "수직" 방향)으로 방향을 잡은 피처를 구비한 로직 패턴에 대한 pdf의 예이다. 도 14는 x(수평)축상의 수직 게이트 공간폭(㎛)을 보여준다. 스캐터링 바가 없는, 수정되지 않은 디자인(D)의 경우에는 0.2, 0.6 및 1.5㎛의 공간폭에서 pdf에 3개의 이산 봉우리가 있다. 스캐터링 바(D+SB)를 배치한 후에는 대부분의 공간폭이 0.2㎛의 밀집 피치에 있도록 피치의 수가 감소되었다. pdf에 대한 이러한 변화로 더 쉽게 조명방식이 최적화될 수 있다.
수평(x-방향) 및 수직 피처를 모두 구비한 디자인에 대한 전체 조명방식은 수평 및 수직 조명방식의 합이다. 조명방식이 수직 피처에 대하여 σcx 에 집중되어 있고 수평 피처에 대하여 σcy 에 집중되어 있다면, 최적의 조명방식은
Figure 112002005306921-pat00018
이고
Figure 112002005306921-pat00019
로 주어진 "종래의" 4중극 조명방식이 될 것이다. 그러지 않으면, 이 형태의 분석은 45°회전된 4극 조명방식으로 귀결된다.
여기에 제시된 조명기법은 수차를 설명하기 위해서 확장될 수 있다. 수차가 포함되면 작업자가 조명방식의 어느 부분이 그 수차에 커플링되는지를 판단할 수 있게 한다. 커플링의 양은 수차에 대한 이미지 세기의 감응도에 직접 관련된다. 상기 커플링을 이해하면 디자인의 수차 감응도를 최소화하도록 조명방식을 수정할 수 있다.
스칼라 이미징을 위한 투영 퓨필 K(α,β)은 경사율(obliquity factor), 디포커스, 및 제르니크 다항식으로 표현되는 파면의 지수(exponential)를 담고있다. 상기 스칼라 이미징 퓨필은 수학식 18에 나타난다. 상기 퓨필은 또한 두 부분 즉, 비수차 퓨필(unaberrated pupil) K0(α,β) 및 수차 퓨필(파면의 지수)로 나뉠 수 있다. 이들 두 부분은 수학식 19에 보이는 바와 같이 함께 곱해진다.
Figure 112002005306921-pat00020
Figure 112002005306921-pat00021
여기서,
Figure 112002005306921-pat00022
Figure 112002005306921-pat00023
Figure 112002005306921-pat00024
수학식 22로부터, 파면은 수학식 23에 보인 선형근사(linear approximation) 로 쓸 수 있다. 수학식 22에 수학식 23을 대입하면 수학식 24에 의하여 투영 퓨필 K(α,β)에 대한 선형근사를 계산할 수 있다.
Figure 112002005306921-pat00025
Figure 112002005306921-pat00026
TCC는 투영 퓨필 K(α,β)의 함수이므로, 수학식 24에서의 퓨필에 대한 선형근사는 TCC가 선형근사로 표현될 수 있음을 의미한다. 이것은 수학식 1에 수학식 24를 대입하여 이룰 수 있고 그 결과는 수학식 25이다. 다시 한번 2차항 이상을 무시하면 수학식 25에서의 TCC는 수학식 26에서와 같이 간단해질 수 있다.
파면 W(α,β)는 대체로 수학식 21에 나타낸 바와 같은 제르니크프린지다항식의 합으로 표기될 수 있다. 수차의 선형 이론을 이용하면, 지수 ex 는 테일러급수전개식으로 표현될 수 있다. 테일러급수전개식은 작은 x 에 대하여 유효하며, 이전의 작업은 Zν 가 0.04λ보다 작을 때 에어리얼 이미지와 일치됨을 보였다. ex 에 대한 테일러급수전개식은 수학식 22에 나타난다. 수학식 22에서 2차이상의 항은 버려지며, 단 Zν 가 0.04(0.042 = 0.0016 으로 무시가능)보다 작을 때에만 유효하다.
Figure 112002005306921-pat00027
Figure 112002005306921-pat00028
수학식 27 및 28에서 각각 비수차 TCC, TCC0(m,n,p,q), 및 수차 TCC, TCCν(m,n,p,q)를 정의하면, TCC는 수학식 29에서와 같이 TCC0 및 TCCν의 선형함수로 표현될 수 있다.
Figure 112002005306921-pat00029
Figure 112002005306921-pat00030
Figure 112002005306921-pat00031
TCC는 수학식 29에 보인 바와 같이 선형근사로 조성될 수 있으므로 Jopt 도 선형근사로 나타낼 수 있다. Jopt 에 대한 선형근사는, Jopt 에 대한 수학식 8을 이용하여 수학식 18 내지 29에 약술된 바와 같이 TCC의 선형근사를 위한 방법에 따라 수학식 30에서 도출된다.
Figure 112002005306921-pat00032
그러면 Jopt 에 대한 수학식 30은 수학식 33에서와 같이 비수차 Jopt0 과 수차 Joptν 의 합으로 분리될 수 있다. Jopt0 및 Joptν의 정의는 각각 수학식 31 및 32에 보인다.
Figure 112002005306921-pat00033
Figure 112002005306921-pat00034
수학식 32는 특정 수차에 커플링하는 조명방식의 부분을 설명한다. 커플링의 양은 이미지 세기에 영향을 미치며 조명의 수차 감응도를 이해하는 데 도움을 준다. 수학식 31과 수학식 32를 결합하면 Jopt 를 선형근사로 나타낼 수 있다.
Figure 112002005306921-pat00035
본 발명에 또 다른 실시형태에서는 예를 들어, 초점심도(DOF), 이미지 로그슬로프(ILS), 이미지 슬로프(IS) 또는 수차 감응도를 포함하는 특정 미터요소(metric)에 대한 응답을 최대화하거나 최소화하기 위해서 가중치인자가 도 입될 수 있다. 수학식 15의 최적의 Jtot 는 수학식 34에 나타낸 바와 같이 이들 가중치인자를 포함하도록 수정될 수 있다.
Figure 112002005306921-pat00036
일반적으로 포토레지스트는 그 위로 들어오는 광의 세기의 로가리즘에 비례하여 반응한다. 따라서 세기 즉, 세기의 로가리즘이 증가할수록, 피처는 더 우수한 충실도(즉, 향상된 레지스트 프로파일과 향상된 프로세스 윈도우)로 레지스트에 인쇄될 것이다. 따라서, 세기에서의 로그변화(ILS)를 최대화하는 것이 바람직하다. ILS는 수학식 35에 정의되어 있다.
Figure 112002005306921-pat00037
세기의 도함수는 세기의 역수보다 더 빠르게 변하므로 수학식 35는 세기의 도함수를 증가시킴에 따라 더 크게 증가할 것이다. 상기 세기는 수학식 3으로부터 계산될 수 있고 x 에 대한 세기의 도함수는 수학식 36에 정의되어 있다. x 에 대한 도함수는 수학식 37에 나타낸 바와 같이 가중치함수 Wx 로 귀결된다. 마찬가지로, 가중치함수 Wy 는 수학식 38에서와 같이 y 에 대하여 정의될 수 있다.
Figure 112002005306921-pat00038
Figure 112002005306921-pat00039
Figure 112002005306921-pat00040
패턴 피처 및 세기 피처는 2차원이므로, 위치에 대한 세기의 변화를 나타내기 위해서 그레디언트의 노옴을 이용할 수 있다. 세기 그레디언트의 노옴은 수학식 39에 정의된다. 이것은 수학식 34에서 가중치함수를 정의하여 Jtot 를 계산할 수 있게 한다. 이미지 로그슬로프를 최대화하는 가중치함수는 수학식 40으로 정의된다.
Figure 112002005306921-pat00041
Figure 112002005306921-pat00042
수학식 40은 m+p=0 이고 n+q=0 일 때 가중치함수는 0이 됨을 보여준다. m+p=0 이고 n+q=0 일 때, 이들 차수는 이미지 변조에 기여하지 않으며 이미지에 대한 DC 기여도를 반영한다. 나아가, wILS 는 m+p 와 n+q 가 증가함에 따라 증가한다. 이것은 더 높은 차수의 회절차수항이 더 높게 가중되고 ILS에 더욱 기여한다는 것을 의미한다.
ILS를 최대화함과 더불어, 포커스에 대한 세기 응답이 최소화되도록 ILS가 향상되면 프로세스의 초점심도도 증가할 것이다. 포커스는 퓨필 K(α,β)에 의하여 설명된다. 퓨필 K(α,β)는 수학식 41에 보이며, 여기에서 포커스는 z 로 표기된다. 수학식 41은 수학식 42에 나타낸 바와 같이 두 개의 항 즉, z 의 종속항(디포커스항)과 z 에 독립한 항(비-디포커스항)으로 구분할 수 있다.
Figure 112002005306921-pat00043
Figure 112002005306921-pat00044
포커스 z 로 인한 세기의 변동은 z 에 대한 세기의 도함수를 0으로 설정함으로써 최소화될 수 있다. 수학식 1 내지 3에 수학식 42를 대입하면, 수학식 43에서서와 같이 비용함수 f(α,β,z)가 정의될 수 있고 이것은 z 에 종속하는 세기 이미 지항의 비용함수이다.
Figure 112002005306921-pat00045
그 다음으로 비용함수 f(α,β,z)는 g(α,β,m,n,p,q)가 0일 때 최소화된다(아래 수학식 44 참조). 수학식 44에서 위상항은 크기항이 0일 때에만 z 에 대한 도함수가 0이 되므로 제거되었다. g(α,β,m,n,p,q)가 0일 때, 주어진 차수(m,n,p,q)에 대한 퓨필의 면적(α,β)은 포커스에 최소로 민감하다. 이것은 조명방식을 조성하기 위한 퓨필의 가장 바람직한 면적이다. 가중치함수 wfocus(α,β,m,n,p,q)는 수학식 45에 정의된다. 이 가중치함수는 포커스에 가장 민감하지 않은 면적에 대하여는 1 이고 포커스에 가장 민감한 면적에 대하여는 0 이다. 그러면 포커스 전체의 ILS를 최소화하는 새로운 가중치함수가 수학식 46에 의하여 정의될 수 있고 조명방식을 수정하는 데 사용될 수 있다.
Figure 112002005306921-pat00046
Figure 112002005306921-pat00047
Figure 112002005306921-pat00048
상기 방법은 포커스의 영향, 즉 수차에 대한 세기의 감응도가 최소화되게 한다. 세기에 미치는 포커스의 영향이 최소화될 수 있으므로 특정 수차에 대하여 세기의 영향이 최소화될 수 있다. 이것은 특정 수차에 큰 감응도를 보이는 소정 패턴을 위하여 바람직하다. 수학식 19에서의 투영퓨필은 수학식 47에 나타낸 바와 같이 비수차항 K0(α,β)과 수차항 Ka(α,β)의 곱으로 쓸 수 있다.
Figure 112002005306921-pat00049
특정 수차 Zi 에 대한 세기의 감응도는 Zi 에 대한 세기의 도함수를 0 으로 설정함으로써 최소화될 수 있다. 수학식 1 내지 3에 수학식 47을 대입하고 세기의 도함수를 취하면, 수학식 48에서의 h(α,β,m,n,p,q)가 0 일 때 수차 감응도가 최소화된다.
Figure 112002005306921-pat00050
Figure 112002005306921-pat00051
수학식 48을 간략화하여 수학식 49와 같이 쓸 수 있다. 가중치함수 wab(α,β,m,n,p,q)는 수학식 50에서 정의되며 Zi 에 가장 민감하지 않은 퓨필의 면적 (α,β)의 경우에 1 이고 Zi 에 가장 민감한 면적의 경우에 0 이다.
Figure 112002005306921-pat00052
그러면, 특정 수차 Zi 에 대한 ILS 감응도를 최소화하기 위한 가중치함수가 수학식 51에서 정의될 수 있다. 나아가, 특정 수차 Zi 에 대한 ILS 감응도를 최소화하고 포커스 전체에서 ILS를 최대화하기 위한 가중치함수도 수학식 52에서 정의될 수 있다. 주어진 미터요소에 최적의 응답을 갖는 조명기를 계산하기 위해서 이들 식 중 하나를 수학식 34에 대입할 수 있다.
Figure 112002005306921-pat00053
Figure 112002005306921-pat00054
도 15는 본 발명에 따른 용도의 리소그래피장치의 예를 개략적으로 나타낸 다. 상기 장치는 방사선시스템을 구비한다. 방사선시스템은 램프(LA)(예를 들어, 엑시머레이저일 수 있음) 및 예를 들어, 빔성형광학기(EX), 인티그레이터(IN), 및 콘덴서렌즈(C0)를 포함할 수 있는 조명시스템으로 이루어진다. 방사선시스템은 방사선의 투영빔(PB)을 공급한다. 예를 들어, 상기 방사선시스템은 자외선, 딥 자외선 또는 극자외선을 제공할 수 있다. 일반적으로, 방사선시스템은 소프트 x-레이 또는 다른 형태의 방사선을 제공할 수도 있다.
제1대물테이블 즉, 마스크테이블(MT)는 마스크(MA)를 잡아준다. 마스크(MA)는 이미징될 마스크패턴을 담고있는 패턴영역(C)을 구비한다. 마스크테이블(MT)은 마스크의 다른 부분이 조사될 수 있게 투영빔(PB)에 대하여 이동 가능하다. 마스크가 기판 즉, 웨이퍼(W)와 적절히 얼라인되었는지 판단하기 위해서 얼라인먼트 마크 M1 및 M2 가 사용된다.
투영시스템(PL)은 웨이퍼(W)상으로 투영빔(PB)를 투영한다. 웨이퍼(W)는 이미징 시작전에 마크 M1 및 M2 와 얼라인되는 2개의 얼라인먼트 마크 P1 및 P2 를 구비한다. 웨이퍼(W)는 웨이퍼(W)의 다른 부분을 노광하기 위해서 투영빔에 대하여 이동 가능한 기판테이블(WT)에 의하여 지지된다. 이러한 방식으로 마스크 패턴(C)은 웨이퍼(W)의 서로 다른 타겟부(c)로 이미징될 수 있다. 웨이퍼테이블(WT)이 마스크테이블(MT)의 위치에 대하여 올바른 위치에 있음을 확실히 하기 위해서 간섭계 위치모니터(IF)가 사용된다.
이상, 본 발명을 특정 실시예와 관련하여 서술하였지만, 본 발명이 개시된 실시예에만 국한되는 것이 아니라 다음의 청구범위에 포함되는 다양한 변형 및 균등한 구성을 모두 포괄하는 것임을 이해할 수 있다.
본 발명에 따르면, 선정된 패터닝수단 패턴을 위한 조명 프로파일을 최적화하는 방법을 제공할 수 있다.

Claims (17)

  1. 선정된 패터닝수단 패턴을 위한 조명 프로파일을 최적화하는 방법에 있어서,
    조명기 및 선정된 패터닝수단 패턴을 구비하는 광학시스템에 대한 투과교차계수함수을 정의하는 단계;
    선정된 패턴에 기초한 회절차수의 이미징에 대한 상대적 관련성을 결정하는 단계; 및
    상기 회절차수의 이미징에 대한 상대적 관련성에 기초한 조명방식의 영역에 가중치를 주면서 상기 투과교차계수함수로부터 최적화된 조명방식을 계산하는 단계를 포함하는 것을 특징으로 하는 조명 프로파일을 최적화하는 방법.
  2. 제1항에 있어서,
    상기 회절차수의 이미징에 대한 상대적 관련성을 결정하는 단계는 선정된 마스크 패턴의 특성 피치를 결정하는 단계를 더 포함하는 것을 특징으로 하는 조명 프로파일을 최적화하는 방법.
  3. 제2항에 있어서,
    상기 특성 피치를 결정하는 단계 이전에, 선정된 패턴의 임계 영역을 검증하는 단계를 더욱 포함하며,
    선정된 패턴에 대한 특성 피치를 결정하는 단계는 상기 임계 영역에 대한 특성 피치를 결정함으로써 실행되는 것을 특징으로 하는 조명 프로파일을 최적화하는 방법.
  4. 제3항에 있어서,
    상기 임계 영역을 검증하는 단계는 복수의 임계 영역을 검증하는 단계를 더욱 포함하고, 상기 임계 영역에 대한 특성 피치를 결정하는 단계는,
    각각의 검증된 임계 영역의 피치를 비교하는 단계; 및
    상기 각각의 검증된 임계 영역의 피치가 실질적으로 동일하면, 상기 임계 영역의 특성 피치를 검증된 영역 중 하나의 특성 피치와 동일하게 결정하는 단계를 더 포함하는 것을 특징으로 하는 조명 프로파일을 최적화하는 방법.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서,
    초점심도, 엔드오브라인, 이미지 로그슬로프(ILS), 이미지슬로프(IS), 및 수차감응도를 포함하는 그룹에서 선정한, 선택된 최적화된 미터요소에 기초하여 조명방식의 영역에 가중치를 주는 단계를 더 포함하는 것을 특징으로 하는 조명 프로파일을 최적화하는 방법.
  6. 제1항 또는 제2항에 있어서,
    복수의 임계 영역을 검증하는 단계;
    각각의 검증된 임계 영역의 피치를 결정하는 단계; 및
    각각의 임계 영역의 회절차수의 이미징에 대한 관련성에 기초한 차수에 가중치를 주어, 투과교차계수함수로부터 최적화된 조명방식을 계산하는 단계를 더욱 포함하고,
    상기 최적화된 조명방식을 계산하는 단계는 각각의 임계 영역에 대하여 계산된 최적화된 조명방식에 기초한 최적화된 합성 조명방식을 계산하는 단계를 더욱 포함하는 것을 특징으로 하는 조명 프로파일을 최적화하는 방법.
  7. 제1항 내지 제4항 중 어느 한 항에 있어서,
    마스크패턴에서 서로 다른 피치의 총수를 감소시키기 위해서 광근접성교정기술에 의하여 선정된 패턴을 수정하는 단계를 더욱 포함하는 것을 특징으로 하는 조명 프로파일을 최적화하는 방법.
  8. 제7항에 있어서,
    광근접성교정기술에 의하여 선정된 패턴을 수정하는 단계는 선정된 마스크 패턴에 서브해상 피처(subresolution feature)를 첨가하는 단계를 더 포함하는 것을 특징으로 하는 조명 프로파일을 최적화하는 방법.
  9. 제7항에 있어서,
    상기 선정된 패턴을 수정하는 단계 및 상기 최적화된 조명방식을 계산하는 단계는 반복되는 것을 특징으로 하는 조명 프로파일을 최적화하는 방법.
  10. 컴퓨터 프로그램상에 실행될 때 컴퓨터 시스템이 제1항 내지 제4항 중 어느 한 항의 방법의 단계를 수행하도록 명령을 내리는 프로그램 코드 수단을 포함하는 것을 특징으로 하는 조명 프로파일을 최적화하는 컴퓨터 프로그램을 기록한 기록매체.
  11. (a) 적어도 부분적으로는 한 층의 방사선감응재로 도포된 기판을 제공하는 단계;
    (b) 조명시스템을 사용하여 방사선의 투영빔을 제공하는 단계;
    (c) 패터닝수단을 사용하여 투영빔의 단면에 패턴을 부여하는 단계; 및
    (d) 방사선 감응재의 층의 타겟부상으로 방사선의 패터닝된 빔을 투영하는 단계를 포함하며, (d) 단계 이전에, 제1항 내지 제4항 중 어느 한 항에 따른 방법을 사용하여 (b) 단계에서 생성된 투영빔내의 단면 세기분포가 (c) 단계에서 채용되는 패턴에 맞추어지는 것을 특징으로 하는 디바이스 제조방법.
  12. - 방사선의 투영빔을 제공하는 조명시스템;
    - 소정 패턴에 따라 투영빔을 패터닝하는 역할을 하는 패터닝수단을 지지하는 지지구조체;
    - 기판을 잡아주는 기판테이블; 및
    - 기판의 타겟부상으로 패터닝된 빔을 투영하는 투영시스템을 포함하는 리소그래피 투영장치에 있어서,
    - 조명기와 소정 패턴에 대한 투과교차계수함수를 정의하고, 상기 패터닝수단에 의하여 생성된 패턴에 기초한 회절차수의 이미징에 대한 상대적 관련성을 결정하며, 상기 회절차수의 이미징에 대한 상대적 관련성에 기초한 조명방식의 영역에 가중치를 주면서 상기 투과교차계수함수로부터 최적화된 조명방식을 계산하는 계산수단,
    - 상기 계산수단에 의하여 계산된 조명방식에 따라 상기 조명시스템에서 나오는 투영빔의 단면세기분포를 선정하는 선정수단을 더욱 포함하는 것을 특징으로 하는 리소그래피 투영장치.
  13. 선정된 마스크 디자인을 최적화하는 방법에 있어서,
    선정된 마스크 디자인의 임계 피처를 검증하는 단계;
    상기 임계 피처의 회절차수에 기초한 최적화된 조명 프로파일을 결정하는 단계; 및
    선정된 마스크 디자인에 존재하는 피치의 수를 줄이도록 선정된 광근접성교정기술을 사용하여 선정된 마스크 디자인을 수정하는 단계를 포함하는 것을 특징으로 하는 선정된 마스크 디자인을 최적화하는 방법.
  14. 제11항에 있어서,
    상기 광근접성교정은 선정된 마스크 디자인의 공간폭의 연속적인 확률밀도함수를 수정하여 수정된 확률밀도함수가 증가된 이산화(discretization)를 갖도록 선정된 서브해상 피처를 첨가하는 것을 더 포함하는 것을 특징으로 하는 디바이스 제조방법.
  15. 제13항에 있어서,
    상기 최적화된 조명 프로파일을 결정하는 단계는 제1항 내지 제4항 중 어느 한 항의 방법의 단계를 포함하는 것을 특징으로 하는 방법.
  16. 컴퓨터상에 실행될 때, 컴퓨터가 제13항의 방법을 수행하도록 명령을 내리는 프로그램 코드 수단을 포함하는 것을 특징으로 하는 선정된 마스크 디자인을 최적화하는 컴퓨터 프로그램을 기록한 기록매체.
  17. 제14항에 있어서,
    상기 최적화된 조명 프로파일을 결정하는 단계는 제1항 내지 제4항 중 어느 한 항의 방법의 단계를 포함하는 것을 특징으로 하는 방법.
KR1020020009490A 2001-02-23 2002-02-22 특정 마스크패턴을 위한 조명 최적화방법 및 장치 KR100579604B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US27130501P 2001-02-23 2001-02-23
US60/271,305 2001-02-23

Publications (2)

Publication Number Publication Date
KR20020070806A KR20020070806A (ko) 2002-09-11
KR100579604B1 true KR100579604B1 (ko) 2006-05-12

Family

ID=23035020

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020020009490A KR100579604B1 (ko) 2001-02-23 2002-02-22 특정 마스크패턴을 위한 조명 최적화방법 및 장치

Country Status (6)

Country Link
US (1) US6871337B2 (ko)
EP (1) EP1239331B1 (ko)
JP (1) JP3867904B2 (ko)
KR (1) KR100579604B1 (ko)
DE (1) DE60210852T2 (ko)
TW (1) TWI285295B (ko)

Families Citing this family (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6792591B2 (en) * 2001-02-28 2004-09-14 Asml Masktools B.V. Method of identifying an extreme interaction pitch region, methods of designing mask patterns and manufacturing masks, device manufacturing methods and computer programs
US7735052B2 (en) * 2001-04-24 2010-06-08 Asml Masktools Netherlands B.V. Method of identifying an extreme interaction pitch region, methods of designing mask patterns and manufacturing masks, device manufacturing methods and computer programs
TW530336B (en) * 2001-08-21 2003-05-01 Asml Masktools Bv Lithographic method and lithographic apparatus
US7293249B2 (en) * 2002-01-31 2007-11-06 Juan Andres Torres Robles Contrast based resolution enhancement for photolithographic processing
TWI315027B (en) 2002-04-23 2009-09-21 Canon Kabushiki Kaish Mask designing method, and exposure method for illuminatiing a mask and exposing an object
JP2004128108A (ja) * 2002-10-01 2004-04-22 Oki Electric Ind Co Ltd 投影露光装置のアパーチャ形状の最適化方法
SG116510A1 (ko) * 2002-11-12 2005-11-28
TWI257524B (en) * 2002-12-09 2006-07-01 Asml Netherlands Bv A method for determining parameters for lithographic projection, a computer system and computer program therefor, a method of manufacturing a device and a device manufactured thereby
EP1429191B1 (en) * 2002-12-09 2008-11-26 ASML Netherlands B.V. Method for determining parameters for lithographic projection
KR100719154B1 (ko) * 2003-01-14 2007-05-17 에이에스엠엘 마스크툴즈 비.브이. 콘택홀 마스크를 위한 광근접성보정설계 방법
DE602004002598T2 (de) * 2003-01-14 2007-10-18 Asml Masktools B.V. Methode und Gerät zur Erstellung von optischen Näherungseffekt-Korrekturelementen für ein Maskenmuster in der optischen Lithographie
US7471375B2 (en) * 2003-02-11 2008-12-30 Asml Netherlands B.V. Correction of optical proximity effects by intensity modulation of an illumination arrangement
US7030966B2 (en) * 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
US7180576B2 (en) * 2003-02-11 2007-02-20 Asml Netherlands B.V. Exposure with intensity balancing to mimic complex illuminator shape
US6839125B2 (en) * 2003-02-11 2005-01-04 Asml Netherlands B.V. Method for optimizing an illumination source using full resist simulation and process window response metric
US7245356B2 (en) 2003-02-11 2007-07-17 Asml Netherlands B.V. Lithographic apparatus and method for optimizing illumination using a photolithographic simulation
US6964032B2 (en) * 2003-02-28 2005-11-08 International Business Machines Corporation Pitch-based subresolution assist feature design
TWI334511B (en) 2003-03-31 2010-12-11 Asml Masktools Bv Source and mask optimization
WO2004090952A1 (ja) 2003-04-09 2004-10-21 Nikon Corporation 露光方法及び装置、並びにデバイス製造方法
US6842223B2 (en) 2003-04-11 2005-01-11 Nikon Precision Inc. Enhanced illuminator for use in photolithographic systems
US7355673B2 (en) * 2003-06-30 2008-04-08 Asml Masktools B.V. Method, program product and apparatus of simultaneous optimization for NA-Sigma exposure settings and scattering bars OPC using a device layout
SG144723A1 (en) * 2003-06-30 2008-08-28 Asml Masktools Bv A method, program product and apparatus for generating assist features utilizing an image field map
US20050015233A1 (en) * 2003-07-17 2005-01-20 International Business Machines Corporation Method for computing partially coherent aerial imagery
US7550235B2 (en) * 2003-09-05 2009-06-23 Asml Masktools B.V. Method and apparatus for performing model based placement of phase-balanced scattering bars for sub-wavelength optical lithography
US7451068B2 (en) * 2003-10-10 2008-11-11 Synopsys, Inc. Method and apparatus for generating an OPC segmentation based on modeled intensity gradients
US6973636B2 (en) * 2003-10-17 2005-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of defining forbidden pitches for a lithography exposure tool
TWI573175B (zh) 2003-10-28 2017-03-01 尼康股份有限公司 照明光學裝置、曝光裝置、曝光方法以及元件製造 方法
KR100927454B1 (ko) * 2003-10-31 2009-11-19 에이에스엠엘 마스크툴즈 비.브이. 개선된 간섭 매핑 리소그래피를 이용하는 피처 최적화
TWI612338B (zh) 2003-11-20 2018-01-21 尼康股份有限公司 光學照明裝置、曝光裝置、曝光方法、以及元件製造方法
US7057709B2 (en) * 2003-12-04 2006-06-06 International Business Machines Corporation Printing a mask with maximum possible process window through adjustment of the source distribution
SG125970A1 (en) * 2003-12-19 2006-10-30 Asml Masktools Bv Feature optimization using interference mapping lithography
US7292315B2 (en) 2003-12-19 2007-11-06 Asml Masktools B.V. Optimized polarization illumination
US20070019179A1 (en) 2004-01-16 2007-01-25 Damian Fiolka Polarization-modulating optical element
US8270077B2 (en) 2004-01-16 2012-09-18 Carl Zeiss Smt Gmbh Polarization-modulating optical element
CN101799587B (zh) 2004-01-16 2012-05-30 卡尔蔡司Smt有限责任公司 光学***、投影***及微结构半导体部件的制造方法
TWI395068B (zh) 2004-01-27 2013-05-01 尼康股份有限公司 光學系統、曝光裝置以及曝光方法
WO2005078528A2 (en) * 2004-02-03 2005-08-25 Mentor Graphics Corporation Source optimization for image fidelity and throughput
TWI511182B (zh) * 2004-02-06 2015-12-01 尼康股份有限公司 光學照明裝置、曝光裝置、曝光方法以及元件製造方法
US20050225740A1 (en) * 2004-03-31 2005-10-13 Padlyar Sushil D Light source for photolithography
US7384725B2 (en) * 2004-04-02 2008-06-10 Advanced Micro Devices, Inc. System and method for fabricating contact holes
US7324280B2 (en) * 2004-05-25 2008-01-29 Asml Holding N.V. Apparatus for providing a pattern of polarization
JP4639120B2 (ja) * 2004-07-13 2011-02-23 エーエスエムエル ネザーランズ ビー.ブイ. マスク・パターンの光転送を最適化するための方法、リソグラフィ投影装置、および機械可読媒体
US7500218B2 (en) * 2004-08-17 2009-03-03 Asml Netherlands B.V. Lithographic apparatus, method, and computer program product for generating a mask pattern and device manufacturing method using same
JP4528580B2 (ja) * 2004-08-24 2010-08-18 株式会社東芝 照明光源の設計方法、マスクパターン設計方法、フォトマスクの製造方法、半導体装置の製造方法、及びプログラム
US7620930B2 (en) * 2004-08-24 2009-11-17 Asml Masktools B.V. Method, program product and apparatus for model based scattering bar placement for enhanced depth of focus in quarter-wavelength lithography
US7372540B2 (en) * 2004-10-12 2008-05-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7713667B2 (en) * 2004-11-30 2010-05-11 Asml Holding N.V. System and method for generating pattern data used to control a pattern generator
EP1696273B1 (en) * 2005-02-23 2008-08-06 ASML MaskTools B.V. Method and apparatus for optimising illumination for full-chip layer
US7317506B2 (en) * 2005-03-29 2008-01-08 Asml Netherlands B.V. Variable illumination source
US7548302B2 (en) * 2005-03-29 2009-06-16 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
DE102005017516B3 (de) * 2005-04-15 2007-01-25 Infineon Technologies Ag Fotolithografische Abbildungseinrichtung und Vorrichtung zum Erzeugen einer Beleuchtungsverteilung
KR101452145B1 (ko) 2005-05-12 2014-10-16 가부시키가이샤 니콘 투영 광학계, 노광 장치 및 노광 방법
DE102005023714A1 (de) * 2005-05-19 2006-11-23 Carl Zeiss Smt Ag Projektionsmikrolithographieanlage und Verfahren zur Erzielung eines verbesserten Auflösungsvermögens in einer Projektionsmikrolithographieanlage
WO2007018464A2 (en) * 2005-08-08 2007-02-15 Micronic Laser Systems Ab Method and apparatus for projection printing
US7934172B2 (en) 2005-08-08 2011-04-26 Micronic Laser Systems Ab SLM lithography: printing to below K1=.30 without previous OPC processing
US7370313B2 (en) 2005-08-09 2008-05-06 Infineon Technologies Ag Method for optimizing a photolithographic mask
US7560199B2 (en) * 2005-10-20 2009-07-14 Chartered Semiconductor Manufacturing Ltd. Polarizing photolithography system
US7443413B2 (en) * 2005-10-21 2008-10-28 Hewlett-Packard Development Company, L.P. Laser diode modulator and method of controlling laser diode modulator
US7788628B1 (en) * 2006-01-11 2010-08-31 Olambda, Inc. Computational efficiency in photolithographic process simulation
JP4957058B2 (ja) * 2006-04-12 2012-06-20 大日本印刷株式会社 回折光学素子、および該素子を備えた露光装置
US7444196B2 (en) * 2006-04-21 2008-10-28 Timbre Technologies, Inc. Optimized characterization of wafers structures for optical metrology
US7454739B2 (en) * 2006-05-31 2008-11-18 Synopsys, Inc. Method and apparatus for determining an accurate photolithography process model
US8644588B2 (en) * 2006-09-20 2014-02-04 Luminescent Technologies, Inc. Photo-mask and wafer image reconstruction
JP4804294B2 (ja) 2006-09-20 2011-11-02 キヤノン株式会社 原版データ作成プログラム、原版データ作成方法、原版作成方法、露光方法及びデバイスの製造方法
JP2008076683A (ja) 2006-09-20 2008-04-03 Canon Inc 原版データ作成プログラム、原版データ作成方法、原版作成方法、露光方法及びデバイスの製造方法
US8576377B2 (en) * 2006-12-28 2013-11-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20080158529A1 (en) * 2006-12-28 2008-07-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7873204B2 (en) * 2007-01-11 2011-01-18 Kla-Tencor Corporation Method for detecting lithographically significant defects on reticles
US8103086B2 (en) 2007-01-11 2012-01-24 Kla-Tencor Corporation Reticle defect inspection with model-based thin line approaches
US8611637B2 (en) 2007-01-11 2013-12-17 Kla-Tencor Corporation Wafer plane detection of lithographically significant contamination photomask defects
US8237913B2 (en) 2007-05-08 2012-08-07 Asml Netherlands B.V. Lithographic apparatus and method
US20080278698A1 (en) * 2007-05-08 2008-11-13 Asml Netherlands B.V. Lithographic apparatus and method
JP4402145B2 (ja) * 2007-10-03 2010-01-20 キヤノン株式会社 算出方法、生成方法、プログラム、露光方法及び原版作成方法
JP5267029B2 (ja) 2007-10-12 2013-08-21 株式会社ニコン 照明光学装置、露光装置及びデバイスの製造方法
US8379187B2 (en) 2007-10-24 2013-02-19 Nikon Corporation Optical unit, illumination optical apparatus, exposure apparatus, and device manufacturing method
US9116346B2 (en) 2007-11-06 2015-08-25 Nikon Corporation Illumination apparatus, illumination method, exposure apparatus, and device manufacturing method
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
US20090253079A1 (en) * 2008-04-07 2009-10-08 Zhang Fenghong Forming reverse illumination patterns
JP5106220B2 (ja) * 2008-04-10 2012-12-26 キヤノン株式会社 原版データ生成プログラム、原版データ生成方法、照明条件決定プログラム、照明条件決定方法およびデバイス製造方法
US10025198B2 (en) * 2008-07-07 2018-07-17 Asml Netherlands B.V. Smart selection and/or weighting of parameters for lithographic process simulation
JP5086926B2 (ja) * 2008-07-15 2012-11-28 キヤノン株式会社 算出方法、プログラム及び露光方法
JP5176876B2 (ja) * 2008-10-31 2013-04-03 富士通セミコンダクター株式会社 シミュレーション方法、シミュレーション装置、及びシミュレーションプログラム
US7954071B2 (en) * 2008-10-31 2011-05-31 Synopsys, Inc. Assist feature placement based on a focus-sensitive cost-covariance field
NL2003719A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Delta tcc for fast sensitivity model computation.
NL2003716A (en) * 2008-11-24 2010-05-26 Brion Tech Inc Harmonic resist model for use in a lithographic apparatus and a device manufacturing method.
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
EP2207064A1 (en) * 2009-01-09 2010-07-14 Takumi Technology Corporation Method of selecting a set of illumination conditions of a lithographic apparatus for optimizing an integrated circuit physical layout
WO2010117626A2 (en) * 2009-03-31 2010-10-14 Christophe Pierrat Lithography modelling and applications
JP5662762B2 (ja) * 2009-11-20 2015-02-04 キヤノン株式会社 有効光源を算出する方法及びプログラム、露光方法並びにデバイス製造方法
US8463016B2 (en) * 2010-02-05 2013-06-11 Luminescent Technologies, Inc. Extending the field of view of a mask-inspection image
NL2006091A (en) * 2010-03-05 2011-09-06 Asml Netherlands Bv Design rule optimization in lithographic imaging based on correlation of functions representing mask and predefined optical conditions.
US8555214B2 (en) 2010-09-14 2013-10-08 Luminescent Technologies, Inc. Technique for analyzing a reflective photo-mask
US8612903B2 (en) 2010-09-14 2013-12-17 Luminescent Technologies, Inc. Technique for repairing a reflective photo-mask
NL2007477A (en) * 2010-10-22 2012-04-24 Asml Netherlands Bv Method of optimizing a lithographic process, device manufacturing method, lithographic apparatus, computer program product and simulation apparatus.
US8458622B2 (en) * 2010-11-29 2013-06-04 Luminescent Technologies, Inc. Photo-mask acceptance technique
US9588439B1 (en) * 2010-12-21 2017-03-07 Asml Netherlands B.V. Information matrix creation and calibration test pattern selection based on computational lithography model parameters
US9005852B2 (en) 2012-09-10 2015-04-14 Dino Technology Acquisition Llc Technique for repairing a reflective photo-mask
NL2008957A (en) 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and systems for pattern design with tailored response to wavefront aberration.
US8653454B2 (en) 2011-07-13 2014-02-18 Luminescent Technologies, Inc. Electron-beam image reconstruction
NL2009056A (en) * 2011-08-09 2013-02-12 Asml Netherlands Bv A lithographic model for 3d topographic wafers.
WO2014042044A1 (ja) * 2012-09-11 2014-03-20 株式会社ニコン 瞳輝度分布の設定方法
US8612904B1 (en) * 2012-11-21 2013-12-17 Global Foundries Inc. Use of polarization and composite illumination source for advanced optical lithography
US9091935B2 (en) 2013-03-11 2015-07-28 Kla-Tencor Corporation Multistage extreme ultra-violet mask qualification
US9494854B2 (en) 2013-03-14 2016-11-15 Kla-Tencor Corporation Technique for repairing an EUV photo-mask
JP6337453B2 (ja) * 2013-12-11 2018-06-06 富士通セミコンダクター株式会社 近似光源の設計方法
NL2014071A (en) * 2014-02-03 2015-08-06 Asml Netherlands Bv Metrology method and apparatus, substrate, lithographic system and device manufacturing method.
US9529268B2 (en) * 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US10025201B2 (en) * 2014-04-14 2018-07-17 Asml Netherlands B.V. Flows of optimization for lithographic processes
TWI620980B (zh) * 2015-02-13 2018-04-11 Asml荷蘭公司 影像對數斜率(ils)最佳化
CN111443576B (zh) 2015-04-07 2023-04-07 联华电子股份有限公司 照明***以及使用其形成鳍状结构的方法
US10216096B2 (en) 2015-08-14 2019-02-26 Kla-Tencor Corporation Process-sensitive metrology systems and methods
US10416566B2 (en) 2015-12-14 2019-09-17 Asml Netherlands B.V. Optimization of source and bandwidth for new and existing patterning devices
US10270947B2 (en) 2016-09-15 2019-04-23 Microsoft Technology Licensing, Llc Flat digital image sensor
EP3382606A1 (en) * 2017-03-27 2018-10-03 ASML Netherlands B.V. Optimizing an apparatus for multi-stage processing of product units
US11526082B2 (en) 2017-10-19 2022-12-13 Cymer, Llc Forming multiple aerial images in a single lithography exposure pass
US10867112B2 (en) * 2018-06-28 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of making mask using transmission cross coefficient (TCC) matrix of lithography process optical system

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05226217A (ja) * 1992-02-14 1993-09-03 Nikon Corp 投影型露光装置
JPH0729813A (ja) * 1993-07-07 1995-01-31 Nippon Telegr & Teleph Corp <Ntt> 投影露光の最適化方法
US5644390A (en) * 1994-01-31 1997-07-01 Nec Corporation Intensity distribution simulating method
JPH09230603A (ja) * 1996-02-26 1997-09-05 Toppan Printing Co Ltd 露光方法
US5680588A (en) * 1995-06-06 1997-10-21 International Business Machines Corporation Method and system for optimizing illumination in an optical photolithography projection imaging system
JPH10233362A (ja) * 1996-11-25 1998-09-02 Svg Lithography Syst Inc 光学エレメント
US5821014A (en) * 1997-02-28 1998-10-13 Microunity Systems Engineering, Inc. Optical proximity correction method for intermediate-pitch features using sub-resolution scattering bars on a mask
US5895741A (en) * 1994-06-29 1999-04-20 Hitachi, Ltd. Photomask, manufacture of photomask, formation of pattern, manufacture of semiconductor device, and mask pattern design system
US6052626A (en) * 1997-01-16 2000-04-18 Nec Corporation Parametric analyzing method for calculating light intensity

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4631416A (en) * 1983-12-19 1986-12-23 Hewlett-Packard Company Wafer/mask alignment system using diffraction gratings
DE69231715D1 (de) 1991-03-04 2001-04-12 At & T Corp Herstellungsverfahren von integrierten Halbleiterschaltungen unter Anwendung von latenten Bildern
JP3200894B2 (ja) * 1991-03-05 2001-08-20 株式会社日立製作所 露光方法及びその装置
US5512760A (en) * 1993-05-06 1996-04-30 U.S. Philips Corporation Optical height detector with coaxial irradiation and image axes and plural detectors spaced along the image axis
JP3973231B2 (ja) * 1995-03-16 2007-09-12 エフ イー アイ カンパニ 粒子−光学機器内における粒子波の再構築方法
JPH0955349A (ja) 1995-08-14 1997-02-25 Sony Corp パターン形成方法および露光装置
KR0171947B1 (ko) * 1995-12-08 1999-03-20 김주용 반도체소자 제조를 위한 노광 방법 및 그를 이용한 노광장치
JP3570728B2 (ja) * 1997-03-07 2004-09-29 アーエスエム リソグラフィ ベスローテン フェンノートシャップ 離軸整列ユニットを持つリトグラフ投射装置
US6223129B1 (en) * 1998-05-13 2001-04-24 Diverseylever, Inc. Apparatus and method for conductivity measurement including probe contamination compensation
US6373553B1 (en) * 1999-09-20 2002-04-16 Intel Corp. Photo-lithographic method to print a line-space pattern with a pitch equal to half the pitch of the mask
US7304775B2 (en) * 2000-03-03 2007-12-04 Coho Holdings, Llc Actively stabilized, single input beam, interference lithography system and method
US6335130B1 (en) * 2000-05-01 2002-01-01 Asml Masktools Netherlands B.V. System and method of providing optical proximity correction for features using phase-shifted halftone transparent/semi-transparent features
US6338922B1 (en) * 2000-05-08 2002-01-15 International Business Machines Corporation Optimized alternating phase shifted mask design
TW552561B (en) * 2000-09-12 2003-09-11 Asml Masktools Bv Method and apparatus for fast aerial image simulation
US6792591B2 (en) * 2001-02-28 2004-09-14 Asml Masktools B.V. Method of identifying an extreme interaction pitch region, methods of designing mask patterns and manufacturing masks, device manufacturing methods and computer programs
US6519760B2 (en) * 2001-02-28 2003-02-11 Asml Masktools, B.V. Method and apparatus for minimizing optical proximity effects
US6620564B2 (en) * 2002-02-01 2003-09-16 Macronix International Co., Ltd. Method for patterning semiconductors through adjustment of image peak side lobes

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05226217A (ja) * 1992-02-14 1993-09-03 Nikon Corp 投影型露光装置
JPH0729813A (ja) * 1993-07-07 1995-01-31 Nippon Telegr & Teleph Corp <Ntt> 投影露光の最適化方法
US5644390A (en) * 1994-01-31 1997-07-01 Nec Corporation Intensity distribution simulating method
US5895741A (en) * 1994-06-29 1999-04-20 Hitachi, Ltd. Photomask, manufacture of photomask, formation of pattern, manufacture of semiconductor device, and mask pattern design system
US5680588A (en) * 1995-06-06 1997-10-21 International Business Machines Corporation Method and system for optimizing illumination in an optical photolithography projection imaging system
JPH09230603A (ja) * 1996-02-26 1997-09-05 Toppan Printing Co Ltd 露光方法
JPH10233362A (ja) * 1996-11-25 1998-09-02 Svg Lithography Syst Inc 光学エレメント
US6052626A (en) * 1997-01-16 2000-04-18 Nec Corporation Parametric analyzing method for calculating light intensity
US5821014A (en) * 1997-02-28 1998-10-13 Microunity Systems Engineering, Inc. Optical proximity correction method for intermediate-pitch features using sub-resolution scattering bars on a mask

Also Published As

Publication number Publication date
DE60210852D1 (de) 2006-06-01
TWI285295B (en) 2007-08-11
US6871337B2 (en) 2005-03-22
JP2002334836A (ja) 2002-11-22
EP1239331A3 (en) 2004-09-29
EP1239331B1 (en) 2006-04-26
JP3867904B2 (ja) 2007-01-17
KR20020070806A (ko) 2002-09-11
US20020152452A1 (en) 2002-10-17
EP1239331A2 (en) 2002-09-11
DE60210852T2 (de) 2006-10-05

Similar Documents

Publication Publication Date Title
KR100579604B1 (ko) 특정 마스크패턴을 위한 조명 최적화방법 및 장치
KR100719154B1 (ko) 콘택홀 마스크를 위한 광근접성보정설계 방법
KR100839972B1 (ko) 리소그래피 장치 및 디바이스 제조 방법
JP4267245B2 (ja) 解像度以下の補助フィーチャとして罫線ラダー・バーを利用した光近接補正方法
KR100760037B1 (ko) 소스 및 마스크 최적화 방법
KR100576752B1 (ko) 2차원 피처모델 캘리브레이션 및 최적화 방법
KR100459090B1 (ko) 극단상호작용피치영역을 확인하는 방법, 마스크패턴을설계하고 마스크를 제조하는 방법, 디바이스제조방법 및컴퓨터프로그램
JP4199975B2 (ja) 多重可干渉性最適化露出および高透過率減衰psmを利用する、改良したリソグラフィパターニングのための方法
EP1473596A2 (en) Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
KR100606491B1 (ko) 리소그래피를 위한 파라미터를 판정하는 방법,컴퓨터시스템 및 이를 위한 컴퓨터 프로그램, 디바이스제조방법 및 그에 따라 제조된 디바이스
US8043797B2 (en) Lithographic apparatus and device manufacturing method
JP2004133426A (ja) 自動光近接補正(opc)ルール作成
JP2005183981A (ja) インターフェレンス・マッピング・リソグラフィを使用した画像構造の最適化
JP5159501B2 (ja) 原版データ作成プログラム、原版データ作成方法、原版作成方法、露光方法及びデバイス製造方法
KR100585469B1 (ko) 그레이바를 서브-해상도 어시스트 피처로 활용하는광근접성교정방법
JP5607308B2 (ja) 原版データ生成プログラムおよび方法
JP5491272B2 (ja) 決定方法、露光方法及びプログラム
EP1612606A2 (en) Illumination optimization for specific mask patterns
EP1429191B1 (en) Method for determining parameters for lithographic projection

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130426

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140425

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150506

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20180427

Year of fee payment: 13