DE19719909A1 - Zweifaches Damaszierverfahren - Google Patents

Zweifaches Damaszierverfahren

Info

Publication number
DE19719909A1
DE19719909A1 DE19719909A DE19719909A DE19719909A1 DE 19719909 A1 DE19719909 A1 DE 19719909A1 DE 19719909 A DE19719909 A DE 19719909A DE 19719909 A DE19719909 A DE 19719909A DE 19719909 A1 DE19719909 A1 DE 19719909A1
Authority
DE
Germany
Prior art keywords
openings
layer
level
etch stop
stop layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE19719909A
Other languages
English (en)
Inventor
Tri-Rung Yew
Mong-Chung Liu
Water Lur
Shih-Wei Sun
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to GB9709431A priority Critical patent/GB2325083B/en
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to DE19719909A priority patent/DE19719909A1/de
Priority to FR9705992A priority patent/FR2763424B1/fr
Priority to JP9140353A priority patent/JPH10335456A/ja
Priority to NL1006162A priority patent/NL1006162C2/nl
Priority to US08/873,500 priority patent/US5801094A/en
Priority claimed from US08/873,500 external-priority patent/US5801094A/en
Publication of DE19719909A1 publication Critical patent/DE19719909A1/de
Ceased legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

Die Erfindung betrifft die Herstellung von Verdrahtungsstrukturen in integrierten Schaltungen. Insbesondere betrifft die Erfindung die Herstellung von Durchgangs-, Verdrahtungsmetallisierungs- und Verdrahtungsleitungen unter Verwendung eines zweifachen Damaszierverfahrens.
Viele hoch integrierte Halbleiterschaltungen verwenden Verdrahtungsleitungs­ strukturen mit mehreren Ebenen, um Bereiche innerhalb von Schaltungen zu verdrahten und um eine oder mehrere Schaltungen innerhalb der integrierten Schaltungen zu verdrahten. Bei der Herstellung solcher Strukturen bildet man konventionell Ver­ drahtungsleitungen oder Verdrahtungsstrukturen einer ersten oder unteren Ebene und da nach Verdrahtungsleitungen einer zweiten Ebene, die mit den Verdrahtungsleitungen oder Verdrahtungsstrukturen der ersten Ebene in Kontakt stehen. Eine erste Verdrahtungsebene kann im Kontakt mit einem dotierten Bereich innerhalb des Substrats einer integrierten Schaltung gebildet werden. Alternativ kann eine erste Verdrahtungsebene nach Polysilizium- oder Metall-Verdrahtungsleitungen gebildet werden, die mit einer oder mehreren Schaltungsstrukturen in oder auf dem Substrat der Integrierten Schaltung in Kontakt stehen. Zwischen den Verdrahtungsleitungen oder der Verdrahtung der ersten Ebene und anderen Teilen der integrierten Schaltung oder nach Strukturen außerhalb der integrierten Schaltung werden typisch eine oder mehrere Verdrahtungen hergestellt. Dies geschieht zum Teil durch die zweite Ebene von Verdrahtungsleitungen.
Eine konventionelle Strategie zur Herstellung einer Verdrahtungsstruktur mit zwei Ebenen ist in Fig. 1-7 dargestellt. Wie in Fig. 1 gezeigt, wird auf einem Substrat 10, in dem die Schaltungsstrukturen einer integrierten Schaltung gebildet worden sind, eine Verdrahtungsstruktur mit zwei Ebenen hergestellt. Konventionell enthält das Substrat 10 Strukturen wie MOSFETs oder Bipolartransistoren und dotierte Kontaktbereiche, die mit anderen Teilen der integrierten Schaltung oder mit Ein-/Ausgabe-Anschlüssen für die integrierte Schaltung zu verbinden sind. Die Oberfläche des Substrats 10 kann die Oberfläche einer Silizium-Schaltungsstruktur sein, die einen oder mehrere dotierte Bereiche enthält, oder die Oberfläche des Substrats 10 kann eine isolierende Schicht sein. Wenn die Oberfläche des Substrats 10 eine isolierende Schicht ist, ist die Schicht typisch mehr als 100 nm (1000) dick und enthält vertikale Verdrahtungen, die mit Leitern gefüllt sind, die mit Schaltungen im Substrat verbunden sind. Als ein erster Verfahrensschritt zur Herstellung der Verdrahtungsstruktur mit zwei Ebenen wird auf dem Substrat 10 typisch durch ein CVD-Verfahren (Chemical-Vapour-Deposition- Verfahren, chemische Gasphasenabscheidung) aus einem TEOS-Quellengas eine Oxidschicht 12 mit einer Dicke von 400 bis 600 nm (4000 bis 6000) oder mehr abgeschieden.
Die Positionen der Verdrahtungsstrukturen der ersten Ebene werden durch einen konventionellen Fotolithografieprozeß festgelegt, der dort, wo die Verdrahtungen der ersten Ebene gebildet werden, Öffnungen 14 durch die Oxidschicht 12 bildet (Fig. 2). Im allgemeinen legen die Öffnungen 14 alle Leiter oder dotierten Bereiche im Substrat, nach denen Verdrahtungen gebildet werden, oder Teile davon bloß. Die Öffnungen 14 werden mit einer Metallverdrahtung 16 gefüllt, die zum Beispiel aus einer dünnen "Klebe-" oder Haftschicht auf der Innenseite der Kontaktöffnung 14 und auf der bloßgelegten Fläche des Substrats 10 bestehen kann. Geeignete Haftschichten umfassen Titannitrid und andere leitende Materialien einschließlich hochschmelzender Metalle. Der Rest der Öffnung 14 wird mit einem Metall wie Wolfram gefüllt, um die Verdrahtung 16 zu bilden. Der Wolframteil der Verdrahtung kann durch CVD oder selektives CVD gebildet werden, gefolgt von einem Ätz- oder Schleißprozeß. Die resultierende Struktur ist in Fig. 3 gezeigt.
Wie in Fig. 4 gezeigt, wird auf der Oberfläche der Oxidschicht 12 und auf dem Metallstopfen 16 eine Metallschicht 18 mit einer für die Verdrahtungsleitungen der zweiten Ebene geeigneten Dicke abgeschieden. Die Metallschicht 18 wird in die Verdrahtungsleitungen der zweiten Ebene hinein gemustert und kann eine Einzelschicht aus Aluminium sein, oder die Schicht 18 kann eine mehrschichtige Verdrahtungsstruktur sein, die hochschmelzende Metalle oder Verbindungen hochschmelzender Metalle mit anderen, preisgünstigeren Metallen enthält. Die Verdrahtungsleitungen 20 der zweiten Ebene werden in einem konventionellen Fotolithografieprozeß gebildet, indem auf der Metallschicht 18 eine Schicht Fotoresist vorgesehen wird, das Fotoresist durch eine Maske hindurch belichtet wird und Teile der bloßgelegten Fotoresist-Schicht entfernt werden, um eine Fotoresist-Ätzmaske herzustellen. Die durch Öffnungen in der Fotoresist-Maske bloßliegenden Teile der Metallschicht 18 werden dann durch Ätzen entfernt, und die Fotoresist-Maske wird durch Schwabbeln entfernt, um die in Fig. 5 gezeigte Struktur zu bilden. Nachdem die in Fig. 5 gezeigte Verdrahtungsstruktur mit zwei Ebenen gebildet ist, muß für die weitere Bearbeitung der integrierten Schaltung zwischen den Verdrahtungsleitungen der zweiten Ebene und diese bedeckend eine intermetallische dielektrische Schicht (IMD-Schicht) vorgesehen werden. Die intermetallische dielektrische Schicht kann aus einer oder mehreren Oxidschichten bestehen, die durch plasmaverstärktes CVD (PECVD) oder andere CVD-Verfahren abgeschieden werden. Die auf diese Weise gebildete intermetallische dielektrische Schicht 22 hat im allgemeinen eine unebene Oberflächentopografie, wie in Fig. 6 dargestellt. Es ist daher notwendig, die intermetallische dielektrische Schicht 22 zu glätten, wobei zum Beispiel chemisch-mechanisches Schleifen (CMP) verwendet wird, um eine geglättete intermetallische dielektrische Schicht 24 zu bilden, wie in Fig. 7 gezeigt.
Das zur Herstellung der Verdrahtungsstruktur mit zwei Ebenen von Fig. 7 verwendete Verfahren hat einige Nachteile. Für zukünftige Anwendungen, die Kupfer in den Leitern oder Verdrahtungsleitungen verwenden, ist das Ätzen des Kupfermetalls sehr schwierig, da man noch keine geeigneten Ätzchemikalien und -techniken gefunden hat. Es ist daher wünschenswert, ein Verfahren zur Herstellung von Verdrahtungsleitungen zu verwenden, das ohne Mustern einer Metallschicht in einem chemischen Ätzverfahren auskommt. Verringerte Schaltungsabmessungen machen dem beschriebenen Verfahren zur Herstellung von Verdrahtungsleitungen ebenfalls Schwierigkeiten. Das Abscheiden von Metallen in Öffnungen in dielektrischen Schichten und das Abscheiden von dielektrischen Materialien in relativ schmalen Öffnungen zwischen Metalleitungen sind schwierige Prozesse, die Fehlstellenbildung und dem Einfang von Fremdatomen ausgesetzt sind. Dies gilt besondere wenn Verdrahtungen und Verdrahtungsleitungen kleiner gemacht werden und der Zwischenraum zwischen Verdrahtungsleitungen schmaler gemacht wird. So hat das Verfahren zur Herstellung der Struktur von Fig. 7 eine ziemlich hohe Fehlstellenerzeugungsquote, die für kleinere Auslegungsregeln voraussichtlich noch größer wird. Da das Verfahren von Fig. 1-7 erfordert, daß Zwischenräume zwischen Verdrahtungsleitungen durch Abscheidungsverfahren gefüllt werden, ist das Verfahren von Fig. 1-7 für weitere Verkleinerungen der bei der Schaltungsfertigung benutzten Auslegungsregeln ungeeignet. Die Erzeugung der notwendigen ebenen Oberfläche auf der intermetallischen dielektrischen Schicht nach Vollendung der Verdrahtungsstruktur mit zwei Ebenen erfordert zusätzliche Bearbeitungsschritte. Es ist wünschenswert, die Zahl der zur Herstellung einer Schaltung erforderlichen Bearbeitungsschritte wenn irgend möglich zu verringern, da die Verringerung der Zahl der Bearbeitungsschritte die zur Herstellung der Schaltung benötigte Zeit verkürzt und da weniger Bearbeitungsschritte die Ausbeute verbessern und somit die Kosten verringern. Aufgrund dieser Umstände hat man andere Verfahren zur Herstellung von Verdrahtungsstrukturen mit mehreren Ebenen untersucht.
Eine Alternative zu dem konventionellen Verfahren zur Herstellung von Verdrahtungen ist das sogenannte zweifache Damaszierverfahren. Zweifache Damaszierverfahren sind direkter auf kleinere Auslegungsregeln skalierbar, und die meisten Damaszierverfahren erzeugen von Natur aus eine geglättete Endfläche auf der Verdrahtungsstruktur. Daher kann mit dem zweifachen Damaszierverfahren in weniger Verfahrensschritten als mit dem in Fig. 1-7 dargestellten Verfahren eine Oberfläche erhalten werden, die für weitere Verfahrensschritte geeignet ist. Abschnitte eines zweifachen Damaszierverfahren sind in Fig. 8-14 dargestellt. Wie bei dem in Fig. 1-7 dargestellten eher konventionellen Verdrahtungsverfahren beginnt das zweifache Damaszierverfahren mit Abscheidung einer Oxidschicht 12 auf dem Substrat 10, wie in Fig. 8 dargestellt. Auf der Oxidschicht 12 wird eine relativ dünne Ätzstoppschicht 30 aus Siliziumoxid abgeschieden (Fig. 9), zur Verwendung in einem nachfolgenden Ätzschritt. Wie in Fig. 10 gezeigt, wird auf der Ätzstoppschicht 30 eine intermetallische dielektrische Schicht 32 abgeschieden. Als das intermetallische dielektrische Material wird typisch Siliziumoxid gewählt, so daß die darunterliegende Siliziumnitridschicht 30 eine wirksame Ätzstoppschicht ist, wenn in der intermetallischen Oxidschicht 32 Öffnungen für Verdrahtungen einer zweiten Ebene gebildet werden. Die Dicke der intermetallischen Oxidschicht 32 wird so gewählt, daß sie für die metallischen Verdrahtungsleitungen der zweiten Ebene geeignet ist, typisch 400 bis 600 nm (4000 bis 6000) oder mehr.
Es werden eine Reihe von fotolithografischen Verfahrensschritten durchgeführt, um zuerst das Muster der Verdrahtungsleitungen der zweiten Ebene abzugrenzen und dann das Muster der Verdrahtungen innerhalb der ersten Ebene der Verdrahtungsstruktur abzugrenzen. Auf der intermetallischen Oxidschicht 32 wird eine Maske gebildet, die ein Muster von Öffnungen enthält, die dem Muster der für die zweite Ebene benötigten Verdrahtungsleitungen entspricht. Danach werden in der inter­ metallischen Oxidschicht 32 durch Ätzen durch die Öffnungen in der Fotoresist-Maske hindurch Öffnungen 34 gebildet. Das Ätzen vollzieht sich zuerst durch die intermetallische Oxidschicht 32 hindurch, um zwischen den Öffnungen 34 Teile 36 der intermetallischen Oxidschicht 32 übrigzulassen. Dieser erste Ätzschritt stoppt auf der Siliziumnitridschicht 30, und danach wird auf die Öffnungen 34 ausgerichtetes Ätzen durchgeführt, um durch die Siliziumnitridschicht 30 hindurch zu ätzen, wobei Teile der Siliziumnitridschicht 38 auf beiden Seiten der Öffnungen 34 übrigbleiben. Danach wird die Fotoresist-Maske durch Schwabbeln entfernt, und es entsteht die in Fig. 11 dargestellte Struktur. Die Breite der Öffnungen 34 in der gemusterten intermetallischen Oxidschicht 36 muß im allgemeinen größer als die lithografische Auflösungsgrenze sein, da weitere fotolithografische Verfahrensschritte notwendig sind, um die Verdrahtungen der ersten Ebene zu bilden. Die Öffnungen 34 breiter als die Auflösungsgrenze zu machen gibt mehr Spielraum für die Verfahrensschritte zur Bildung der Verdrahtungen der ersten Ebene.
Wie in Fig. 12 gezeigt, wird auf der Struktur von Fig. 11 durch konventionelle Fotolithografie eine Fotoresist-Maske 40 gebildet. In der Maske 40 sind Öffnungen 42 vorgesehen, die ausgewählte Teile der ersten Oxidschicht 12 bloßlegen, die innerhalb der Öffnungen 34 liegen. Auf der innerhalb der Öffnungen 42 in der Fotoresist-Maske 40 bloßliegenden ersten Oxidschicht 12 wird Ätzen durchgeführt, um das Muster von Verdrahtungen abzugrenzen, welche die erste Ebene der Verdrahtungsstruktur bilden. Danach wird die Fotoresist-Maske 40 durch Schwabbeln entfernt. Als nächstes wird eine Schicht Metall 44 auf der Struktur abgeschieden, um die Öffnungen in der intermetallischen Oxidschicht 36 zu füllen und die Öffnungen in der ersten Oxidschicht 12 zu füllen. Wie in Fig. 13 dargestellt, werden die Öffnungen 34 in der intermetallischen Oxidschicht 36 konventionell überfüllt, um sicherzustellen, daß die Öffnungen in der intermetallischen Oxidschicht 36 und der ersten Oxidschicht 12 alle vollständig gefüllt werden. Das überschüssige Metall wird dann typisch in einem CMP-Verfahren entfernt um die metallischen Verdrahtungsleitungen 46 der zweiten Ebene und die Verdrahtungen 48 der ersten Ebene der in Fig. 14 gezeigten Verdrahtungsstruktur mit zwei Ebenen zu bilden. Wie in Fig. 14 dargestellt, ergibt der abschließende CMP-Schritt eine geglättete Oberfläche, die für weitere Bearbeitungsschritte gut geeignet ist.
Das in Fig. 8-14 dargestellte zweifache Damaszierverfahren hat gegenüber dem in Fig. 1-7 dargestellten Verfahren mehrere Vorteile. Das in Fig. 8-14 dargestellte Verfahren ist verfahrenstechnologisch jedoch sehr anspruchsvoll. Es ist daher wün­ schenswert, ein zweifaches Damaszierverfahren zu schaffen, das größere Verfahrensspielräume hat und das sich leichter an ein Mengenfertigungsverfahren anpassen läßt.
Gemäß der Erfindung wird eine integrierte Schaltung, die Leiterstrukturen einer ersten Ebene und einer zweiten Ebene enthält, auf einem Substrat gebildet, das eine oder mehrere integrierte Schaltungen enthält. Auf dem Substrat werden zuerst eine dielektrische Zwischenschicht und dann eine Ätzstoppschicht vorgesehen. Die Ätzstoppschicht wird gemustert, um in der gemusterten Ätzstoppschicht Öffnungen abzugrenzen, die Positionen entsprechen, an denen Leiterstrukturen der ersten Ebene zu bilden sind. Danach wird eine intermetallische dielektrische Schicht auf der gemusterten Ätzstoppschicht vorgesehen. Auf der intermetallischen dielektrischen Schicht wird eine Maske für die zweite Ebene gebildet, die Öffnungen aufweist, die Positionen entsprechen, an denen Leiterstrukturen der zweiten Ebene zu bilden sind. Das Verfahren geht damit weiter, daß durch die Öffnungen in der Maske für die zweite Ebene hindurch geätzt wird, um in der intermetallischen dielektrischen Schicht Leiteröffnungen der zweiten Ebene zu bilden, und durch die Öffnungen in der gemusterten Ätzstoppschicht hindurch geätzt wird, um in der dielektrischen Zwischenschicht Leiterstrukturen der ersten Ebene zu bilden. In die Leiteröffnungen der zweiten Ebene und in die Leiterstrukturen der ersten Ebene hinein wird Metall abgeschieden.
Im folgenden werden Ausführungsbeispiele der Erfindung anhand der Zeichnung erläutert. Darin zeigen:
Fig. 1-7 ein konventionelles Verfahren zur Herstellung einer Verdrahtungsstruktur mit zwei Ebenen,
Fig. 8-14 Abschnitte eines zweifachen Damaszierverfahrens zur Herstellung einer Verdrahtungsstruktur mit zwei Ebenen, und
Fig. 15-21 Abschnitte eines zweifachen Damaszierverfahrens gemäß bevorzugter Ausführungsformen der Erfindung.
Das in Fig. 8-14 dargestellte zweifache Damaszierverfahren erfordert die Bildung einer dicken Fotoresist-Schicht 40 auf der unebenen Topografie der Struktur von Fig. 11. Dementsprechend benötigt man zum Bloßlegen der gesamten Dicke der Fotoresist-Maske 40 eine große Tiefenschärfe, um genau abgegrenzte Öffnungen 42 in der Fotoresist-Maske zu erzeugen. Hochauflösende Schrittvorrichtungen (Stepper) vom in modernen Fertigungsverfahren bevorzugten Typ haben große Schwierigkeiten, die zur Herstellung der in Fig. 12 dargestellten Fotoresist-Maske benötigte Tiefenschärfe zu erzeugen. Dieser Verfahrensschritt ist noch schwieriger, wenn er auf der unebenen Oberflächentopografie durchgeführt wird, die auf einer integrierten Schaltung typisch vorhanden ist. Bevorzugte Ausführungsformen der Erfindung kommen ohne so eine dicke Fotoresist-Maske und die damit verbundene Notwendigkeit eines Fotolithografieverfahrens mit großer Tiefenschärfe aus, indem die Ätzstoppschicht des konventionellen zweifachen Damaszierverfahrens vor dem Abscheiden der intermetallischen Oxidschicht gemustert wird. Daher bilden die bevorzugten Ausführungsformen der Erfindung Fotoresist-Masken auf viel ebeneren Strukturen als die in Fig. 1 dargestellten Strukturen des konventionellen zweifachen Damaszierverfahrens. Es können Fotoresist-Masken mit einer gleichförmigeren Dicke vorgesehen werden, und die Maskenbelichtung kann mit einer geringeren Tiefenschärfe durchgeführt werden, so daß Schrittvorrichtungen mit höchster Auflösung verwendet werden können.
In einer besonders bevorzugten Ausführungsform der Erfindung wird eine Verdrahtungsstruktur mit zwei Ebenen hergestellt, indem eine erste Oxidschicht auf dem Substrat gebildet wird und die erste Oxidschicht mit einer Ätzstoppschicht bedeckt wird. Die Ätzstoppschicht wird gemustert, um Öffnungen zu bilden, die dem Ver­ drahtungsmuster entsprechen, das später in der ersten Ebene der Verdrahtungsstruktur mit zwei Ebenen zu bilden ist. Nachdem die Ätzstoppschicht gemustert ist, wird auf der Ätzstoppschicht eine intermetallische Oxidschicht vorgesehen, innerhalb derer die Verdrahtungsleitungen der zweiten Ebene zu bilden sind. Da die Ätzstoppschicht relativ dünn ist, ist die durch das Mustern der Verdrahtung innerhalb der Ätzstoppschicht auf der Oberfläche der intermetallischen Oxidschicht gebildete Topografie relativ klein. Danach wird auf der intermetallischen Oxidschicht eine Maske vorgesehen, wobei Öffnungen in der Maske Teile der intermetallischen Oxidschicht in dem Muster der in der zweiten Ebene der Verdrahtungsstruktur zu schaffenden Verdrahtungsleitungen bloßlegen. Die intermetallische Oxidschicht wird geätzt, und der Ätzprozeß setzt sich in die erste Oxidschicht hinein fort, wobei die erste Oxidschicht durch die Öffnungen in der Ätzstoppschicht hindurch bloßgelegt wird, um Öffnungen in der ersten Oxidschicht zu bilden, die den Öffnungen in der Ätzstoppschicht entsprechen. Die Ätzstoppschicht wirkt praktisch als eine harte Maske für den Prozeß des Ätzens des Verdrahtungsmusters in die erste Oxidschicht hinein. Daher werden in einem einzigen Ätzschritt die Öffnungen sowohl für die zweite Ebene Verdrahtungsleitungen als auch die erste Ebene Verdrahtungen abgegrenzt. Danach wird Metall auf der Struktur abgeschieden, und überschüssiges Metall wird zum Beispiel durch Schleifen entfernt, um die fertige Verdrahtungsstruktur mit zwei Ebenen abzugrenzen.
Unter Bezugnahme insbesondere auf Fig. 15-21 werden nun bevorzugte Ausführungsformen der Erfindung beschrieben. Die folgende Beschreibung spricht zwar von Verdrahtungen einer ersten Ebene und Verdrahtungsleitungen einer zweiten Ebene, die Erfindung kann aber natürlich auch zur Herstellung von Kontakten zwischen zwei Schichten Verdrahtungsleitungen und zwischen nicht benachbarten Leiterschichten Anwendung finden. Dementsprechend ist es möglich, die Erfindung bei der Herstellung von Verdrahtungen zwischen einer ersten Ebene und einer dritten oder weiteren Ebene einer Verdrahtungsstruktur zu verwenden. Das Verfahren zur Herstellung von Verdrahtungen der Erfindung wird bevorzugt nach Herstellung einer integrierten Schaltung innerhalb eines Substrats 50 begonnen. Das Verfahren zur Herstellung von Verdrahtungen beginnt damit, daß auf der Oberfläche des Substrats 50 eine dielektrische Zwischenschicht 52 gebildet wird (Fig. 15). Die dielektrische Zwischenschicht 52 kann eine Oxidschicht sein, die durch ein PECVD-Verfahren oder ein LPCVD-Verfahren (chemische Gasphasenabscheidung mit niedrigem Druck) oder ein anderes Abscheidungsverfahren für Dielektrika auf eine Dicke von einigen hundert Nanometern (tausend Angström) oder mehr abgeschieden wird. Jedes dieser Verfahren kann zum Beispiel ein TEOS-Quellengas verwenden. Die Oberfläche des Substrats 50 wird häufig eine den Schaltungsstrukturen innerhalb der integrierten Schaltung entsprechende unebene Topografie haben. Dementsprechend wird bevorzugt, die Oberfläche der dielektrischen Zwischenschicht 52 zu glätten, bevor die Verdrahtungsstruktur mit zwei Ebenen gebildet wird. Die Glättung kann in einem Rückätzverfahren durchgeführt werden, wird aber bevorzugt unter Verwendung von CMP durchgeführt. Die Enddicke der dielektrischen Zwischenschicht 52 wird durch die Topografie der darunterliegenden integrierten Schaltung diktiert und ändert sich daher von Schaltungskonstruktion zu Schaltungskonstruktion. Die Höhe der in der Schicht 52 gebildeten Verdrahtung der ersten Ebene wird durch die für die Schaltungskonstruktion vorgesehene Höhe diktiert.
Auf der geglätteten Oberfläche der dielektrischen Zwischenschicht 52 wird eine Ätzstoppschicht 54 abgeschieden (Fig. 16). Es wird bevorzugt, für die Ätzstopp­ schicht 54 ein anderes Material als für die dielektrische Zwischenschicht 52 unterhalb der Ätzstoppschicht und die auf der Ätzstoppschicht gebildete intermetallische dielektrische Schicht zu verwenden. Die dielektrische Zwischenschicht 52 und die intermetallische dielektrische Schicht sind typisch und vorzugsweise beide Oxide, wobei eine geeignete Wahl für die Ätzstoppschicht 54 Siliziumnitrid ist. Siliziumnitrid unterscheidet sich nicht nur genug von Siliziumoxid, um als Ätzstoppschicht zu dienen, sondern hat auch den weiteren Vorteil, daß es ein Isolator ist, der vorteilhaft ist, da die Ätzstoppschicht in der fertigen Verdrahtungsstruktur im allgemeinen an Ort und Stelle gelassen wird und sich zwischen verschiedenen Verdrahtungsleitungen erstreckt. Die Ätzstoppschicht 54 wird vorzugsweise dünn gemacht, um den Einfluß der Ätzstoppschicht auf die Ober­ flächentopografie der Schaltung in späteren Bearbeitungsschritten möglichst klein zu machen. Andererseits sollte die Ätzstoppschicht 54 so dick sein, daß sie sowohl während des Ätzens der intermetallischen dielektrischen Schicht als auch der dielektrischen Zwi­ schenschicht 52 als Ätzstoppschicht wirkt. Außerdem sollte die Ätzstoppschicht so dick sein, daß sie beim Ätzen der Verdrahtungsöffnungen in der dielektrischen Zwischenschicht 52 als, eine harte Maske wirkt. Eine geeignete Ätzstoppschicht 54 aus Siliziumnitrid kann eine Dicke von zwischen 20 und 150 nm (200 bis 1500) haben.
Danach wird die Ätzstoppschicht 54 gemustert, um Öffnungen in der Ätzstoppschicht 54 zu erzeugen, die den Positionen entsprechen, an denen Verdrahtungen der ersten Ebene innerhalb der dielektrischen Zwischenschicht 52 zu bilden sind. Dementsprechend wird auf der Ätzstoppschicht 54 aus Siliziumnitrid eine Maske gebildet, die geeignete Öffnungen aufweist, die Teile der Ätzstoppschicht 54 aus Siliziumnitrid bloßlegen, an denen Verdrahtungen zu bilden sind, und danach wird die Ätzstoppschicht 54 aus Siliziumnitrid geätzt, um Öffnungen 56 zu erzeugen, die Teile der dielektrischen Zwischenschicht 52 bloßlegen. Um den Einfluß der Öffnungen 56 in der Ätzstoppschicht 54 auf die Oberflächentopografie der noch nicht gebildeten intermetallischen dielektrischen Schicht möglichst klein zu machen, wird bevorzugt, daß der Ätzprozeß, der die Öffnungen 56 durch die Ätzstoppschicht 54 aus Siliziumnitrid bildet, auf der darunterliegenden Oberfläche der Zwischenoxidschicht oder dielektrischen Zwischenschicht 52 stoppt. Vorzugsweise werden in dem Prozeß zur Bildung der Öffnungen 56 keine Vertiefungen auf der Oberfläche der dielektrischen Zwischenschicht 52 gebildet. Diese und weitere Ätzschritte, die an den dielektrischen Schichten und Ätzstopschichten der Erfindung durchgeführt werden, können vorteilhaft mit einem Ätzsystem wie dem Lam-Research-Rainbow-System durchgeführt werden. Das Lam- Research-Rainbow-System verwendet Ätzmittel, die auf einem oder mehreren Quellengasen wie SF6 oder C2F6 gemischt mit verschiedenen Mengen anderer Gase wie HBr und He beruhen, um das Selektionsvermögen des Ätzprozesses einzustellen. In so einem System kann das Selektionsvermögen des Ätzprozesses zwischen Siliziumoxid und Siliziumnitrid automatisch über einen weiten Bereich von Selektionsvermögen eingestellt werden. In dem zum Ätzen der Ätzstoppschicht 54 aus Siliziumnitrid verwendeten Ätzprozeß wird das Selektionsvermögen daher so weit wie nur möglich eingestellt, Siliziumnitrid zu ätzen, aber nicht Siliziumoxid zu ätzen. Änderungen sind möglich, gegenwärtig aber ungünstig, da das Ätzen der dielektrischen Zwischenschicht 52 in diesem Zeitpunkt eine höhere Tiefenschärfe in nachfolgenden lithografischen Prozessen erforderlich macht. Die zum Mustern der Ätzstoppschicht 54 aus Siliziumnitrid verwendete Maske wird dann entfernt, wobei die in Fig. 17 dargestellte Struktur gebildet wird.
Auf der gemusterten Ätzstoppschicht 54 wird dann eine intermetallische dielektrische Schicht 58 abgeschieden (Fig. 18). Wie oben erörtert, wird die intermetalli­ sche dielektrische Schicht 58 bevorzugt aus dem gleichen Material wie die dielektrische Zwischenschicht 52 und aus einem anderen Material wie die Ätzstoppschicht 54 gebildet. So ist die intermetallische dielektrische Schicht 58 vorzugsweise eine Schicht Siliziumoxid. Die intermetallische Oxidschicht 58 kann durch ein CVD-Verfahren aus einem TEOS-Vorläufer oder SiH4-Quellengas auf eine für die Verdrahtungsleitungen der zweiten Ebene passende Dicke abgeschieden werden, da die Dicke der Verdrahtungsleitungen der zweiten Ebene durch die Dicke der intermetallischen - Oxidschicht bestimmt wird. Für gegenwärtige Schaltungsstrukturen können die Verdrahtungsleitungen der zweiten Ebene in der Größenordnung von 400 bis 800 nm (4000 bis 8000) dick sein, so daß die intermetallische Oxidschicht 58 auf eine Dicke in der Größenordnung von 400 bis 800 nm (4000 bis 8000) abgeschieden wird. Entsprechend dem Vorhandensein der Öffnungen 56 in der Ätzstoppschicht 54 werden auf der Oberfläche der intermetallischen Oxidschicht 58 relativ kleine Vertiefungen 60 gebildet. Da die Tiefe der Vertiefungen 60 viel kleiner ist als die im konventionellen zweifachen Damaszierverfahren resultierende Topografie, wie sie in Fig. 11 dargestellt ist, stellen die Vertiefungen 60 ein vergleichsweise geringes Problem dar, die Tiefenschärfe über eine Fotoresist-Schicht hinweg beizubehalten, die im Fotolithografieschritt zum Abgrenzen des Musters für die Verdrahtungsleitungen der zweiten Ebene auf der intermetallischen dielektrischen Schicht oder intermetallischen Oxidschicht 58 erzeugt wird.
Wie in Fig. 19 gezeigt, wird auf der intermetallischen Oxidschicht 58 eine Fotoresist-Maske 62 gebildet. Die Maske 62 weist ein Muster von Öffnungen auf, das dem Muster der Verdrahtungsleitungen der zweiten Ebene entspricht, die in der intermetallischen Oxidschicht 58 zu bilden sind. Einige der Öffnungen 64 in der Fotoresist-Maske 62 sind über den Öffnungen 56 in der Ätzstoppschicht 54 angeordnet, in denen Verdrahtungen der ersten Ebene unterhalb von Teilen der Verdrahtungsleitungen der zweiten Ebene zu bilden sind. Andere Öffnungen 66 in der Fotoresist-Maske 62 sind über Positionen gebildet, an denen Verdrahtungsleitungen der zweiten Ebene, aber keine Verdrahtungen der ersten Ebene zu bilden sind. Es kann wünschenswert sein, über den Öffnungen 56 in der Ätzstoppschicht 54 etwas breitere Öffnungen 64 in der Fotoresist-Maske 62 zu bilden. Solche breiteren Öffnungen 64 in der Fotoresist-Maske 62 ergeben breitere Öffnungen in der intermetallischen Oxidschicht 58, was im Fertigungsprozeß mehrere Vorteile haben kann. Zunächst wird die Ausrichtung der Verdrahtungsleitungen der zweiten Ebene in bezug auf die Öffnungen 56 in der Ätzstoppschicht 54 und somit der Verdrahtungen der ersten Ebene erleichtert. Außerdem verringern die resultierenden breiteren Öffnungen in der intermetallischen Oxidschicht 58 das Querschnittsverhältnis der im Metallabscheidungsprozeß zu füllenden Löcher, wodurch das Füllen der Löcher im Prozeß zur Bildung der Verdrahtungen der ersten Ebene erleichtert wird.
Die intermetallische Oxidschicht 58 wird dann durch die Öffnungen 64, 66 in der Fotoresist-Maske 62 hindurch geätzt, wobei ein für Oxid stark selektiver Prozeß verwendet wird, das heißt, das Ätzverfahren sollte so weit wie möglich Oxid leicht ätzen, nicht aber das Material der Ätzstoppschicht 54 (Siliziumnitrid) ätzen. Ein geeignetes selektives Ätzverfahren kann zum Beispiel unter Verwendung eines Ätzmittels durchgeführt werden, das auf einer Mischung von Quellengasen, die C4F8/CO oder CF4 enthalten, mit CHF3, Ar oder N2 beruht. Das Ätzverfahren entfernt daher Teile der intermetallischen Oxidschicht 58 überall dort, wo sie durch die Fotoresist-Maske hindurch bloßliegt, um Öffnungen innerhalb der intermetallischen dielektrischen Schicht oder intermetallischen Oxidschicht 58 abzugrenzen, in denen die Verdrahtungsleitungen der zweiten Ebene zu bilden sind. Der Ätzprozeß stoppt in denjenigen Teilen der Öffnungen 66 der Fotoresist-Maske, die über einer massiven Ätzstoppschicht 54 liegen, an der Ätzstoppschicht 54 aus Siliziumnitrid. Innerhalb derjenigen Öffnungen 64 der Fotoresist- Maske, die über Öffnungen 56 in der Ätzstoppschicht 54 aus Siliziumnitrid liegen, setzt sich der Ätzprozeß in die dielektrische Zwischenschicht oder Zwischenoxidschicht 52 hinein fort, um Öffnungen 68 zu bilden, die auf die Öffnungen 56 der Ätzstoppschicht ausgerichtet sind, wobei die Ätzstoppschicht 54 teilweise als eine harte Maske für diesen Prozeß wirkt. Die Öffnungen in der dielektrischen Zwischenoxidschicht 52 werden später mit Metall gefüllt, um die erste Ebene Verdrahtungen für die Schaltung herzustellen.
Der zur Herstellung der zweiten Ebene Verdrahtungsleitungen und der ersten Ebene Verdrahtungen verwendete Ätzprozeß ist für Oxide hoch selektiv, während er die Ätzstoppschicht 54 aus Siliziumnitrid im wesentlichen nicht ätzt. Trotz des hohen Niveaus des Selektionsvermögens ätzt der zur Bildung der Öffnungen in der Zwischenoxidschicht 52 verwendete Ätzprozeß noch in geringem Maße bloßliegende Oberflächen der Ätzstoppschicht 54 aus Siliziumnitrid. Die bloßliegende Oberfläche der Ätzstoppschicht 54 kann daher geätzt werden, um leichte Vertiefungen 70 innerhalb der Öffnungen 66 in der Fotoresist-Maske zu bilden, die nicht über Öffnungen 56 in der Ätzstoppschicht 54 liegen. Die Ränder der Öffnungen 56 in der Ätzstoppschicht 54 werden in diesem Prozeß ebenfalls leicht geätzt, wodurch ein schräger Rand 72 an den Öffnungen in der Ätzstoppschicht 54 entsteht. Die Bildung so eines schrägen Randes 72 an den Öffnungen in der Ätzstoppschicht 54 ist günstig, da so ein schräger Rand die Fähigkeit verbessert, die Öffnungen 68 innerhalb der dielektrischen Zwischenschicht 52 zu füllen. Das Vorhandensein eines schrägen Randes 72 verringert die Neigung zur Bildung eines Überhangs über die Öffnung 68 in der dielektrischen Zwischenschicht 52. Wenn daher der zum Ätzen der intermetallischen Oxidschicht 58 und der Zwischenoxidschicht 52 verwendete Prozeß keine Schräge 72 entlang des Randes der Öffnungen 56 in der Ätzstoppschicht 54 bildet, kann es vorteilhaft sein, nach dem Ätzen der intermetallischen dielektrischen Schicht 52 ein isotropisches Ätzverfahren auf die Ränder der Öffnungen in der Ätzstoppschicht 54 anzuwenden, um eine schräge Seiten­ wand an den Öffnungen in der Ätzstoppschicht 54 zu bilden.
Nachdem die Struktur von Fig. 19 vollendet ist, wird die Fotoresist-Maske 62 durch Schwabbeln entfernt, und die Struktur ist fertig für das Abscheiden einer Metallschicht 74, um die Öffnungen in der intermetallischen dielektrischen Schicht 58 und der dielektrischen Zwischenschicht 52 zu füllen, wie in Fig. 20 dargestellt. Die Metallschicht 74 kann ein Einzelmetall, etwa durch Sputtern abgeschiedenes Aluminium, oder ein anderes preiswertes Metall sein. Hoch integrierte Schaltungen erfordern jedoch komplizierte Verdrahtungsstrukturen, und es ist eher typisch, eine mehrschichtige Verdrahtungsstruktur zu verwenden, um die Öffnungen in der Struktur von Fig. 19 zu füllen. Beispielsweise kann es wünschenswert sein, eine dünne "Klebe-" oder Haftschicht auf den Innenseiten der innerhalb der Öffnungen 64, 66 bloßliegenden Öffnungen vorzusehen. Diese Klebeschicht kann die nachfolgende Abscheidung bestimmter Arten von Stopfenmetallen verbessern. Alternativ kann die Klebeschicht primär als Barriere gegen Diffusion zwischen dem Metall der Verdrahtungsstrukturen und dem Substrat wirken. Geeignete Klebeschichten umfassen Titan, Wolfram, eine feste Lösung aus Titan und Wolfram oder andere Zusammensetzungen, viele davon hochschmelzende Metalle wie Titannitrid. Abhängig von der Natur des als Klebeschicht verwendeten Materials können diese Klebeschichtmetalle durch CVD oder physikalische Gasphasenabscheidung abgeschieden werden. Nach Bildung der dünnen Klebe- oder Haftschicht auf den Innenseiten der Öffnungen in den dielektrischen Schichten werden die restlichen Teile d,er Öffnungen gefüllt, typisch mit einem Stopfenmetall, das ein anderes Metall als das für die Klebeschicht verwendete Metall ist. Das Stopfenmetall kann zum Beispiel Wolfram, Aluminium, Legierungen mit Aluminium, Kupfer, Legierun­ gen mit Kupfer und eine Vielzahl anderer Metalle sein, abhängig von der bestimmten Schaltung, die hergestellt wird, und den Einschränkungen des zur Herstellung der Schaltung verwendeten Verfahrens. Wie in der Technik bekannt, können diese Metalle allgemein durch physikalische Gasphasenabscheidungsverfahren wie Sputtern abgeschieden werden, manche Metalle werden aber besser durch CVD abgeschieden. Die auf der Struktur vorgesehene Metallschicht 74 wird vorzugsweise überfüllt, wie in Fig. 20 gezeigt.
Die Bildung der Verdrahtungsstruktur mit zwei Ebenen endet mit der Entfernung überschüssiger Teile der Metallschicht 74, vorzugsweise in einem CMP-Pro­ zeß. Das Endergebnis so eines Schleifprozesses ist eine ebene Fläche, die sich über die Verdrahtungsleitungen 74 der zweiten Ebene und der intermetallischen dielektrischen Schicht 58 hinweg erstreckt. Auf diese Weise werden die Verdrahtungsstrukturen 78 der ersten Ebene und die Verdrahtungsleitungen 76 der zweiten Ebene beide unter Verwendung eines einzigen Oxidätzschrittes und ohne zwischen metallischen Leitungen dielektrisches Material ablagern zu müssen erzeugt. Außerdem ermöglichen es die ebeneren Oberflächen, auf denen in dem Verfahren von Fig. 15-21 zur Herstellung der Verdrahtungsstruktur mit zwei Ebenen die Fotoresist-Masken gebildet werden, die Fotolithografie mit höherer Genauigkeit durchzuführen. Schließlich liefert das Verfahren der Erfindung von Natur aus eine geglättete Oberfläche, wie in Fig. 21 gezeigt, auf der weitere Bearbeitungsschritte durchgeführt werden können. Die Prozesse gemäß den Verfahren der Erfindung können daher Verdrahtungsstrukturen mit zwei Ebenen mit größerer Zuverlässigkeit bilden, und die Fertigung wird erleichtert. Eine nachfolgende Bearbeitung umfaßt normalerweise das Abscheiden einer weiteren Ebene Verdrahtungsleitungen auf der Oberfläche der in Fig. 21 dargestellten Struktur. Auf der Oberfläche der Schaltung wird typisch eine Schicht Klebemetall abgeschieden, wird zusätzliches Metall als Deckschicht abgeschieden und wird dann die Metallschicht gemustert, um die Verdrahtungsleitungen der dritten Ebene abzugrenzen.
Die Erfindung wurde zwar unter Bezugnahme auf ihre bevorzugten Ausführungsformen beschrieben, diese Ausführungsformen sind aber natürlich nur als Beispiele vorgesehen. Der Fachmann erkennt leicht, daß an diesen Ausführungsformen Änderungen und Modifizierungen vorgenommen werden können, ohne von den grundlegenden Lehren der Erfindung abzuweichen. Dementsprechend ist der Schutzbereich der Erfindung nicht auf die beschriebenen bevorzugten Ausführungs­ formen beschränkt.

Claims (12)

1. Verfahren zur Herstellung einer integrierten Schaltung, die Leiterstrukturen einer ersten Ebene und Leiterstrukturen einer zweiten Ebene enthält, wobei das Verfahren folgendes umfaßt:
Vorsehen eines Substrats, das eine oder mehrere integrierte Schaltungen enthält,
Vorsehen einer dielektrischen Zwischenschicht auf dem Substrat,
Vorsehen einer Ätzstoppschicht auf der dielektrischen Zwischenschicht,
Mustern der Ätzstoppschicht, um in der gemusterten Ätzstoppschicht Öffnungen abzugrenzen, die Positionen entsprechen, an denen Leiterstrukturen der ersten Ebene zu bilden sind,
Vorsehen einer intermetallischen dielektrischen Schicht auf der gemusterten Ätzstoppschicht,
Bilden einer Maske für die zweite Ebene auf der intermetallischen dielektrischen Schicht, wobei die Maske für die zweite Ebene Öffnungen aufweist, die Positionen entsprechen, an denen Leiterstrukturen der zweiten Ebene zu bilden sind,
Ätzen durch die Öffnungen in der Maske für die zweite Ebene hindurch, um in der intermetallischen dielektrischen Schicht Leiteröffnungen der zweiten Ebene zu bilden, und Ätzen durch die Öffnungen in der gemusterten Ätzstoppschicht hindurch, um in der dielektrischen Zwischenschicht Leiteröffnungen der ersten Ebene zu bilden, und
Abscheiden von Metall in die Leiteröffnungen der zweiten Ebene und in die Leiteröffnungen der ersten Ebene hinein.
2. Verfahren nach Anspruch 1, das weiterhin umfaßt, von der Oberseite der intermetallischen dielektrischen Schicht her Metall zu entfernen, wobei innerhalb der Leiteröffnungen der zweiten Ebene und der Leiteröffnungen der ersten Ebene Metall übriggelassen wird.
3. Verfahren nach Anspruch 2, wobei das Entfernen von Metall Metallstopfen in den Leiteröffnungen der zweiten Ebene übrigläßt, die Oberflächen haben, die mit umgebenden Teilen der intermetallischen dielektrischen Schicht koplanar sind.
4. Verfahren nach Anspruch 3, wobei das Entfernen von Metall eine geglättete Oberfläche auf den Metallstopfen und der intermetallischen dielektrischen Schicht übrigläßt.
5. Verfahren nach Anspruch 4, wobei das Entfernen von Metall chemisch­ mechanisches Schleifen oder Ätzen umfaßt.
6. Verfahren nach Anspruch 4, wobei das Entfernen von Metall durch chemisch-mechanisches Schleifen durchgeführt wird.
7. Verfahren nach Anspruch 1, wobei die dielektrische Zwischenschicht und die intermetallische dielektrische Schicht Siliziumoxid aufweisen.
8. Verfahren nach Anspruch 7, wobei die Ätzstoppschicht Siliziumnitrid aufweist.
9. Verfahren nach Anspruch 1, wobei die Öffnungen in der Maske für die zweite Ebene im Querschnitt größer als die Öffnungen in der gemusterten Ätzstopp­ schicht sind.
10. Verfahren nach Anspruch 1, wobei die gemusterte Ätzstoppschicht als eine harte Maske zum Ätzen der dielektrischen Zwischenschicht wirkt.
11. Verfahren nach Anspruch 1, wobei die Öffnungen in der gemusterten Ätz­ stoppschicht schräg sind, so daß ein oberer Teil der Öffnungen in der gemusterten Ätzstoppschicht im Querschnitt breiter als ein unterer Teil der Öffnungen in der gemusterten Ätzstoppschicht ist.
12. Verfahren nach Anspruch 1, wobei die dielektrische Zwischenschicht und die intermetallische dielektrische Schicht Siliziumoxid aufweisen, die Ätzstoppschicht Siliziumnitrid aufweist und die Ätzstoppschicht sowohl mit der dielektrischen Zwischenschicht als auch der intermetallischen dielektrischen Schicht in Kontakt steht.
DE19719909A 1997-02-28 1997-05-13 Zweifaches Damaszierverfahren Ceased DE19719909A1 (de)

Priority Applications (6)

Application Number Priority Date Filing Date Title
GB9709431A GB2325083B (en) 1997-05-09 1997-05-09 A dual damascene process
DE19719909A DE19719909A1 (de) 1997-05-09 1997-05-13 Zweifaches Damaszierverfahren
FR9705992A FR2763424B1 (fr) 1997-05-09 1997-05-15 Processus de damasquinage double
JP9140353A JPH10335456A (ja) 1997-05-09 1997-05-29 集積回路の製造方法
NL1006162A NL1006162C2 (nl) 1997-05-09 1997-05-29 Werkwijze voor het vervaardigen van een geïntegreerde keten met geleiderstructuren.
US08/873,500 US5801094A (en) 1997-02-28 1997-06-12 Dual damascene process

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
GB9709431A GB2325083B (en) 1997-05-09 1997-05-09 A dual damascene process
DE19719909A DE19719909A1 (de) 1997-05-09 1997-05-13 Zweifaches Damaszierverfahren
FR9705992A FR2763424B1 (fr) 1997-05-09 1997-05-15 Processus de damasquinage double
JP9140353A JPH10335456A (ja) 1997-05-09 1997-05-29 集積回路の製造方法
NL1006162A NL1006162C2 (nl) 1997-05-09 1997-05-29 Werkwijze voor het vervaardigen van een geïntegreerde keten met geleiderstructuren.
US08/873,500 US5801094A (en) 1997-02-28 1997-06-12 Dual damascene process

Publications (1)

Publication Number Publication Date
DE19719909A1 true DE19719909A1 (de) 1998-11-19

Family

ID=27545067

Family Applications (1)

Application Number Title Priority Date Filing Date
DE19719909A Ceased DE19719909A1 (de) 1997-02-28 1997-05-13 Zweifaches Damaszierverfahren

Country Status (5)

Country Link
JP (1) JPH10335456A (de)
DE (1) DE19719909A1 (de)
FR (1) FR2763424B1 (de)
GB (1) GB2325083B (de)
NL (1) NL1006162C2 (de)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6346454B1 (en) * 1999-01-12 2002-02-12 Agere Systems Guardian Corp. Method of making dual damascene interconnect structure and metal electrode capacitor
JP2000216247A (ja) * 1999-01-22 2000-08-04 Nec Corp 半導体装置及びその製造方法
JP3502288B2 (ja) * 1999-03-19 2004-03-02 富士通株式会社 半導体装置およびその製造方法
US6313025B1 (en) * 1999-08-30 2001-11-06 Agere Systems Guardian Corp. Process for manufacturing an integrated circuit including a dual-damascene structure and an integrated circuit
JP4858895B2 (ja) * 2000-07-21 2012-01-18 富士通セミコンダクター株式会社 半導体装置の製造方法
KR100368320B1 (ko) * 2000-12-28 2003-01-24 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
JP2011077468A (ja) * 2009-10-02 2011-04-14 Panasonic Corp 半導体装置の製造方法および半導体装置
JP5104924B2 (ja) * 2010-08-23 2012-12-19 富士通セミコンダクター株式会社 半導体装置
JP5891846B2 (ja) * 2012-02-24 2016-03-23 富士通セミコンダクター株式会社 半導体装置の製造方法
JP6853663B2 (ja) * 2015-12-28 2021-03-31 株式会社半導体エネルギー研究所 半導体装置

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4789648A (en) * 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
JPH03198327A (ja) * 1989-12-26 1991-08-29 Fujitsu Ltd 半導体装置の製造方法
US5246883A (en) * 1992-02-06 1993-09-21 Sgs-Thomson Microelectronics, Inc. Semiconductor contact via structure and method
US5466639A (en) * 1994-10-06 1995-11-14 Micron Semiconductor, Inc. Double mask process for forming trenches and contacts during the formation of a semiconductor memory device
US5635423A (en) * 1994-10-11 1997-06-03 Advanced Micro Devices, Inc. Simplified dual damascene process for multi-level metallization and interconnection structure
US5801094A (en) * 1997-02-28 1998-09-01 United Microelectronics Corporation Dual damascene process

Also Published As

Publication number Publication date
JPH10335456A (ja) 1998-12-18
FR2763424A1 (fr) 1998-11-20
GB9709431D0 (en) 1997-07-02
GB2325083A (en) 1998-11-11
GB2325083B (en) 1999-04-14
FR2763424B1 (fr) 2003-06-27
NL1006162C2 (nl) 1998-12-01

Similar Documents

Publication Publication Date Title
DE102016100766B4 (de) Strukturierung von durchkontaktierungen durch mehrfachfotolithografie und mehrfachätzung
DE10256346B4 (de) Halbleiterbauelement mit MIM-Kondensator und Zwischenverbindung und Herstellungsverfahren dafür
DE69531244T2 (de) Vereinfachter doppel-damaszenen prozess für die herstellung einer mehrlagen-metallisierung und einer verbindungsstruktur
DE19958904C2 (de) Verfahren zur Herstellung einer Hartmaske auf einem Substrat
DE4138842C2 (de) Gateelektrode und Verfahren zu deren Herstellung
DE102008048651B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit zwei Kondensatoren
DE102005020060B4 (de) Verfahren zum Strukturieren eines Dielektrikums mit kleinem ε unter Anwendung einer Hartmaske
DE102017128235A1 (de) Strukturierungsverfahren für ein halbleiterbauelement und daraus resultierende strukturen
DE19834917A1 (de) Verfahren zum Bilden von selbstausrichtenden Durchgängen in integrierten Schaltungen mit mehreren Metallebenen
DE10161285A1 (de) Integriertes Halbleiterprodukt mit Metall-Isolator-Metall-Kondensator
DE4139462C2 (de) Verfahren zur Verbindung von Schichten in einer Halbleitervorrichtung
DE3414781A1 (de) Vielschicht-verbindungsstruktur einer halbleitereinrichtung
DE102004028026B4 (de) Zweischichtige Metallhartmasken zur Verwendung in Dual-Damascene-Ätzschemata und Verfahren zur Bereitstellung der Metallhartmasken
DE102004001853B3 (de) Verfahren zum Herstellen von Kontaktierungsanschlüssen
DE19719909A1 (de) Zweifaches Damaszierverfahren
DE2740757A1 (de) Halbleiter mit mehrschichtiger metallisierung und verfahren zu dessen herstellung
DE69930027T2 (de) Metallisierungsverfahren für Halbleiter
DE19531602C2 (de) Verbindungsstruktur einer Halbleitereinrichtung und ihr Herstellungsverfahren
DE10012198B4 (de) Zylindrisches Kondensatorbauelement mit innenseitigem HSG-Silicium und Verfahren zu seiner Herstellung
DE10334406B4 (de) Verfahren zur Ausbildung eines Kontaktes in einem Halbleiterprozeß
DE10228344A1 (de) Verfahren zur Herstellung von Mikrostrukturen sowie Anordnung von Mikrostrukturen
DE10031881A1 (de) Halbleitereinrichtung und Verfahren zur Herstellung der Halbleitereinrichtung
DE102004036753B4 (de) Verfahren zur Herstellung einer stickstofffreien ARC-Deckschicht
DE19716791B4 (de) Verfahren zum Herstellen von Kontaktöffnungen in einer mehrschichtigen Halbleiterstruktur
DE102018206438B4 (de) Verfahren zur Herstellung von Kontaktstrukturen

Legal Events

Date Code Title Description
8110 Request for examination paragraph 44
8131 Rejection