DE102004037089A1 - Technik zur Herstellung einer Passivierungsschicht vor dem Abscheiden einer Barrierenschicht in einer Kupfermetallisierungsschicht - Google Patents

Technik zur Herstellung einer Passivierungsschicht vor dem Abscheiden einer Barrierenschicht in einer Kupfermetallisierungsschicht Download PDF

Info

Publication number
DE102004037089A1
DE102004037089A1 DE102004037089A DE102004037089A DE102004037089A1 DE 102004037089 A1 DE102004037089 A1 DE 102004037089A1 DE 102004037089 A DE102004037089 A DE 102004037089A DE 102004037089 A DE102004037089 A DE 102004037089A DE 102004037089 A1 DE102004037089 A1 DE 102004037089A1
Authority
DE
Germany
Prior art keywords
layer
contact
metal
surface area
wet
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE102004037089A
Other languages
English (en)
Inventor
Holger Schührer
Carsten Hartig
Christin Bartsch
Kai Frohberg
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to DE102004037089A priority Critical patent/DE102004037089A1/de
Priority to US11/112,509 priority patent/US7259091B2/en
Publication of DE102004037089A1 publication Critical patent/DE102004037089A1/de
Ceased legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Durch Ausführen eines nasschemischen Prozesses nach dem Ätzen einer Kontaktdurchführung können Kontaminationsstoffe entfernt und eine dünne Passivierungsschicht gebildet werden, die dann in einfacher Weise in einem nachfolgenden Sputter-Ätzprozess zur Herstellung einer Barrieren/Haft-Schicht entfernt werden kann. In einer speziellen Ausführungsform wird der nasschemische Prozess auf der Grundlage von Flusssäure und Triazol oder einer Verbindung davon ausgeführt.

Description

  • Im Allgemeinen betrifft die vorliegende Erfindung die Herstellung integrierter Schaltungen und betrifft insbesondere die Herstellung von Metallisierungsschichten, die äußerst leitfähige Metalle enthalten, etwa Kupfer, die in einem dielektrischen Material mit kleinem ε eingebettet sind, um die Bauteilleistungsfähigkeit zu verbessern.
  • In einer integrierten Schaltung sind eine große Anzahl von Schaltungselementen, etwa Transistoren, Kondensatoren, Widerstände und dergleichen auf einem geeigneten Substrat in einer im Wesentlichen ebenen Anordnung ausgebildet. Auf Grund der großen Anzahl an Schaltungselementen und der erforderlichen komplexen Schaltungsanordnung der integrierten Schaltungen können in der Regel die elektrischen Verbindungen der einzelnen Schaltungselemente nicht in der gleichen Ebene eingerichtet werden, auf der die Schaltungselemente ausgebildet sind, sondern es sind eine oder mehrere zusätzliche „Verdrahtungs-„Schichten erforderlich, die auch als Metallisierungsschichten bezeichnet werden. Diese Metallisierungsschichten enthalten im Allgemeinen metallenthaltende Leitungen, die die elektrische Verbindung innerhalb der Schicht herstellen, und enthalten ebenso mehrere Zwischenschichtverbindungen, die auch als Kontaktdurchführungen bezeichnet werden, die mit einem geeigneten Metall aufgefüllt sind und die elektrische Verbindung zwischen zwei benachbarten gestapelten Metallisierungsschichten herstellen, wobei die metallenthaltenden Leitungen und Kontaktdurchführungen auch gemeinsam als Zwischenverbindungen bzw. Verbindungen bezeichnet werden.
  • Auf Grund der voranschreitenden Reduzierung der Strukturgrößen von Schaltungselementen in modernen integrierten Schaltungen steigt auch die Anzahl der Schaltungselemente für eine gegebene Chipfläche, d. h. die Packungsdichte, ebenso an, wodurch eine noch größere Anzahl an elektrischen Verbindungen erforderlich ist, um die gewünschte Schaltungsfunktion zu erreichen. Daher steigt die Anzahl der gestapelten Metallisierungsschichten typischerweise an, wenn die Anzahl der Schaltungselemente pro Chip größer wird. Die Herstellung mehrerer Metallisierungsschichten beinhaltet äußerst herausfordernde Aufgabe, die es zu lösen gilt, etwa die mechanische, thermische und elektrische Zuverlässigkeit von bis zu 12 gestapelten Metallisierungsschichten, die in modernsten Mikroprozessoren auf Aluminiumbasis verwendet werden. Jedoch gehen Halbleiterhersteller zunehmend dazu über, das gut bekannte Metallisierungsmetall Aluminium durch ein Metall zersetzen, das höhere Stromdichten ermöglicht und damit eine Verringerung der Abmessungen der Verbindungen und damit der Anzahl der gestapelten Metallisierungsschichten erlaubt. Beispielsweise ist Kupfer ein Metall, das im Allgemeinen als ein vielversprechender Kandidat als Ersatz für Aluminium auf Grund seiner überlegenen Eigenschaften im Hinblick auf die höhere Widerstandsfähigkeit gegen Elektromigration und den deutlich geringeren elektrischen Widerstand im Vergleich zu Aluminium betrachtet wird. Trotz dieser Vorteile zeigt Kupfer eine Reihe von Nachteilen hinsichtlich der Verarbeitung und der Handhabung in einer Halbleiterherstellungsstätte. Beispielsweise kann Kupfer nicht in effizienter Weise in größeren Mengen durch gut etablierte Abscheideverfahren, etwa die chemische Dampfabscheidung (CVD) auf ein Substrat aufgebracht werden und kann auch nicht sehr effizient durch typischerweise angewendete anisotrope Ätzprozeduren strukturiert werden. Daher wird bei der Herstellung von Metallisierungsschichten mit Kupfer die sogenannte Damaszener-Technik (Einzel- und Dualtechnik) vorzugsweise angewendet, wobei eine dielektrische Schicht zunächst aufgebracht und dann strukturiert wird, so dass diese Gräben und Kontaktdurchführungen aufweist, die nachfolgend mit Kupfer aufgefüllt werden. Ein weiterer großer Nachteil der Verwendung von Kupfer ist seine Fähigkeit, in vielen dielektrischen Materialien, etwa Siliziumdioxid, das ein gut etabliertes und bewährtes dielektrisches Material bei der Herstellung integrierter Schaltungen ist, zu diffundieren.
  • Es ist daher notwendig, ein sogenanntes Barrierenmaterial bei einer Metallisierung auf Kupferbasis einzusetzen, um im Wesentlichen eine Diffusion von Kupfer in das umgebende dielektrische Material zu vermeiden, da das Kupfer leicht zu empfindlichen Halbleiterbereichen vordringen kann, wodurch deren Eigenschaften deutlich beeinflusst werden. Das Barrierenmaterial, das zwischen dem Kupfer und dem dielektrischen Material vorgesehen ist, sollte jedoch zusätzlich zu den erforderlichen Barriereneigenschaften auch eine gute Haftung an dem dielektrischen Material sowie an dem Kupfer aufweisen, um der Verbindung eine hohe mechanische Stabilität zu verleihen, und ferner sollte das Material einen möglichst geringen elektrischen Widerstand haben, um nicht unnötig die elektrischen Eigenschaften der Verbindung zu beeinträchtigen.
  • Mit der zunehmenden Verkleinerung der Strukturgrößen von Schaltungselementen werden auch die Abmessungen der Verbindungen verringert, wodurch auch eine geringere Schichtdicke der Barrierenmaterialien in den Verbindungen erforderlich ist, um nicht unnötig wertvollen Platz für das eigentliche Metall zu verbrauchen, das eine deutlich höhere Leitfähigkeit im Vergleich zu dem Barrierenmaterial aufweist. Somit sind komplexe Barrierentechnologien erforderlich, um eine weitere Bauteilgrößenreduzierung zu ermöglichen, wobei die Anwendung dielektrischer Materialien mit reduzierter Permittivität noch weitere höhere Anforderungen an die Barrierenschicht stellt, wie dies auch mit Bezug zu den 1a bis 1c für einen typischen Prozessablauf für moderne integrierte Schaltungen auf Kupferbasis beschrieben ist.
  • 1a zeigt eine schematische Querschnittsansicht einer Halbleiterstruktur 100 mit einem Substrat 101, beispielsweise ein Halbleitersubstrat, das darauf mehrere einzelne Schaltungselemente (nicht gezeigt), etwa Transistoren, Widerstände, Kondensatoren und dergleichen aufweist. Das Substrat 101 repräsentiert ein beliebiges geeignetes Substrat mit oder ohne zusätzliche Schaltungselemente und kann insbesondere moderne integrierte Schaltungssubstrate repräsentieren, die darin Schaltungselemente mit kritischen Abmessungen im Bereich deutlich unter 1 μm aufweisen. Eine erste dielektrische Schicht 102 ist über dem Substrat 101 ausgebildet und enthält ein leitendes Gebiet 104, beispielsweise ein Verbindungsstruktureiement mit einer Metallleitung 103, etwa einer Kupferleitung, und einer ersten Barrierenschicht 106, die aus Tantal aufgebaut ist, und einer zweiten Banierenschicht 105, die Tantalnitrid aufweist. Die dielektrische Schicht 102 und das Verbindungsstrukturelement 104 repräsentieren eine erste Metallisierungsschicht. Eine Ätzstoppschicht 110 mit beispielsweise Siliziumnitrid, stickstoffangereichtem Siliziumkarbid und dergleichen ist über der dielektrischen Schicht 102 und teilweise über der Kupferleitung 103 ausgebildet. Eine zweite dielektrische Schicht 107, die ein dielektrisches Material mit zumindest einem Material mit geringer Permittivität aufweist, wie es typischerweise zum Erreichen einer geringeren parasitären Kapazität zwischen benachbarten Metallleitungen verwendet wird, ist über der Ätzstoppschicht 110 und der ersten dielektrischen Schicht 102 ausgebildet und besitzt darin einen ausgebildeten Graben 101 und eine Kontaktdurchführung 108, die mit der Metallleitung 103 in Verbindung steht, wodurch eine Kontaminationsschicht 111 freigelegt wird, die auf einem Oberflächenbereich 103a der Kupferleitung 103 angeordnet ist. Beispielsweise kann die dielektrische Schicht 107 fluordotiertes Siliziumdioxid, das aus TEOS abgeschieden wurde, aufweisen, das auch als FTEOS bezeichnet wird, und das eine geringere Permittivität im Vergleich zu reinem Siliziumdioxid aus TEOS aufweist. In anderen Lösungen kann die dielektrische Schicht 107 eine erste Unterschicht 107a mit FTEOS-Siliziumdioxid und eine zweite dielektrische Schicht 107b mit einem sogenannten Material mit kleinem ε aufweisen, da die parasitären Kapazitäten zwischen lateral benachbarten Metallleitungen kritischer sind als zwischen Kontaktdurchführungen und zwischen vertikal benachbarten Metallleitungen.
  • Ein typischer Prozessablauf zur Herstellung der Halbleiterstruktur 100, wie sie in 1a gezeigt ist, kann die folgenden Schritte enthalten, wobei der Einfachheit halber lediglich die Herstellung der zweiten Metallisierungsschicht, d. h. der zweiten dielektrischen Schicht 107 und des darin zu bildenden Metallverbindungsstrukturelements hierbei detailliert beschrieben wird, da die Prozesse zur Herstellung des Verbindungselements 104 in der ersten dielektrischen Schicht 102 im Wesentlichen die gleichen Prozessschritte enthalten kann. Somit wird nach dem Einebnen der dielektrischen Schicht 102, die das Verbindungselement 104 enthält, und nach dem Bilden der Ätzstoppschicht 110, wodurch das Verbindungselement 104 passiviert wird, da reines Kupfer eine äußerst reaktionsfreudige Oberfläche bildet, die dielektrische Schicht 107 durch gut bekannte Abscheideverfahren, etwa plasmaunterstützte CVD, Aufschleudertechniken, und dergleichen abgeschieden, wobei, wie zuvor erläutert ist, Siliziumdioxid mit Fluor, das von TEOS mittels CVD abgeschieden wird, häufig verwendet wird. Nachfolgend wird die dielektrische Schicht 107 durch gut bekannte Photolithographie- und anisotrope Ätztechniken strukturiert, wobei eine zwischenliegende Ätzstoppschicht (nicht gezeigt) bei der Strukturierung des Grabens 109 verwendet werden kann. Ferner sollte beachtet werden, dass unterschiedliche Wege bei der Herstellung des Grabens 109 und der Kontaktdurchführung 108 beschritten werden können, etwa ein sogenannter Lösungsweg mit der Bildung der Kontaktdurchführung vor dem Graben, oder einem Lösungsweg mit der Bildung des Grabens mit nachfolgender Bildung der Kontaktdurchführung, wobei in dem erstgenannten Lösungsweg die Kontaktdurchführung 108 vor der Herstellung des Grabens 109 mit Metall gefüllt wird. In dem vorliegenden Beispiel wird eine sogenannte duale Damaszener-Technik beschrieben, in der der Graben 109 und die Kontaktdurchführung 108 gleichzeitig mit Metall gefüllt werden. Unabhängig von dem angewendeten Ätzschema wird in dem letzten Ätzschritt die Ätzstoppschicht 110 geöffnet und die Kupferoberfläche 103a wird der reaktiven Ätzumgebung ausgesetzt, die Fluor enthalten kann, insbesondere, wenn die dielektrische Schicht 107 ebenso Fluor aufweist. Als Folge davon wird die Kontaminati onsschicht 111, die eine Kupfer/Flour/Sauerstoff-Verbindung aufweist, an den Oberflächenbereich 103a gebildet. Da die Kontaminationsschicht 111 deutlich die weitere Bearbeitung, etwa das Ausbilden einer Barrierenschicht und einer Saatschicht für den nachfolgenden Kupferauffüllprozess beeinflussen kann, wodurch die Zuverlässigkeit der Kontaktdurchführung reduziert und die Produktionsausbeute und Zuverlässigkeit verringert wird, wird die Kontaminationsschicht 111 durch einen nasschemischen Ätzprozess auf der Grundlage von beispielsweise verdünnter Flusssäure (HF) entfernt. Es zeigt sich, dass während dieses nasschemischen Prozesses die Kontaminationsschicht 111 wirksam entfernt wird, wobei jedoch der Oberflächenbereich 103a wiederum einer reaktiven Umgebung ausgesetzt wird, die zu einer erneuten Bildung einer Kontaminationsschicht mit ähnlichen negativen Auswirkungen auf die weitere Bearbeitung wie die Schicht 111 führt. Folglich wird während des Prozesses der Herstellung einer Barrieren/Haft-Schicht ein Sputter-Vorreinigungsprozess zum Entfernen von Kontaminationsstoffen von dem Oberflächenbereich 103a ausgeführt.
  • 1b zeigt schematisch die Halbleiterstruktur 100 während einer Anfangsphase eines Sputter-Prozesses, der als 113 bezeichnet ist, wobei Argonionen auf den Oberflächenbereich 103a in dem Versuch gelenkt werden, die Kontaminationsschicht 111 oder Kontaminationsstoffe 111a, die nach dem nasschemischen Reinigungsprozess, der optional ausgeführt werden kann, noch vorhanden sein können, zu entfernen. Danach werden die Sputter-Parameter so eingestellt, um eine Barrieren/Haft-Schicht, beispielsweise auf der Basis von Tantal und/oder Tantalnitrid, abzuscheiden. Auf Grund des unvollständigen Entfernens der Kontaminationsstoffe 111a während des anfänglichen Vorreinigungsprozesses können weiterhin Unregelmäßigkeiten an dem Oberflächenbereich 103a nach Ausbildung der Barrieren/Haft-Schicht, der Herstellung einer Saatschicht und dem Abscheiden des Kupfervolumenmaterials vorhanden sein.
  • 1c zeigt schematisch die Halbleiterstruktur 100 mit einer Barrieren/Haft-Schicht 113 und einer Kupfersaatschicht 114, die auf der Struktur 100 und innerhalb des Grabens 109 und der Kontaktdurchführung 108 ausgebildet sind. An dem Oberflächenbereich 103a können Unregelmäßigkeiten 111b ausgebildet sein, die zu einer geringeren Zuverlässigkeit der Kontaktdurchführung führen können auf Grund von beispielsweise einem erhöhten Übergangswiderstand zwischen der Kontaktdurchführung 108, die mit Kupfer gefüllt ist, und der Metallleitung 103. Die Problematik einer verringerten Zuverlässigkeit der Kontaktdurchführung ist bei äußert größenreduzierten Bauelementen noch verschärft, da der reduzierte Querschnitt der Kontaktdurchführung 108 noch beschränktere Toleranzen in Bezug auf Widerstandsschwankungen der Kontaktdurchführungen 108 erforderlich macht.
  • Angesichts der oben erkannten Probleme besteht ein Bedarf für eine verbesserte Technik, die das Bilden zuverlässigerer Metallverbindungen, insbesondere von Kupferverbindungen, in äußerst größenreduzierten Halbleiterbauelementen ermöglicht.
  • Überblick über die Erfindung
  • Im Allgemeinen richtet sich die vorliegende Erfindung an eine Technik, die eine deutliche Verringerung struktureller Unregelmäßigkeiten, die in einer Kontaktdurchführung gebildet sind, die mit einem metallenthaltenden Gebiet in Verbindung steht, ermöglicht. Während des Bildens einer Kontaktdurchführung in einer dielektrischen Schicht gemäß einer Damaszener-Prozesstechnik wird eine exponierte Metalloberfläche, die äußerst reaktionsfreudig sein kann, wenn beispielsweise Kupfer verwendet wird, so behandelt, um die reaktionsfreudige Oberfläche zu passivieren, wobei gleichzeitig im Wesentlichen inhomogen verteilte Oberflächenkontaminationsstoffe verringert werden. Nach dieser Oberflächenbehandlung wird eine Barrieren/Haftschicht gebildet, wobei ein Vorreinigungsprozess vorausgeht, der zu einer deutlichen Verringerung von Unregelmäßigkeiten in der Kontaktdurchführung im Vergleich zu konventionellen Lösungswegen führt. Folglich können Bauteilausfälle auf Grund defekter Kontaktdurchführungen selbst für äußerst größenreduzierte Bauteilelemente mit einer Metallisierung auf der Grundlage von Kupfer verringert werden.
  • Gemäß einer anschaulichen Ausführungsform der vorliegenden Erfindung umfasst ein Verfahren das Bilden einer Kontaktdurchführung in einer dielektrischen Schicht, wobei die Kontaktdurchführung mit einem Metallgebiet, das unter der Kontaktdurchführung angeordnet ist, in Verbindung steht. Ferner wird ein Oberflächenbereich des Metallgebiets, der während der Herstellung der Kontaktdurchführung freigelegt wird, gereinigt und es wird eine Passivierungsschicht auf dem Oberflächenbereich gebildet. Des weiteren wird die Passivierungsschicht so abgetragen, um den Oberflächenbereich freizulegen und es wird eine Barrieren/Haft-Schicht auf dem freigelegten Oberflächenbereich abgeschieden.
  • In einer noch weiteren anschaulichen Ausführungsform der vorliegenden Erfindung umfasst ein Verfahren das Bilden einer Kontaktdurchführung in einer dielektrischen Schicht, wobei die Kontaktdurchführung mit einem Metallgebiet, das unter der Kontaktdurchführung angeordnet ist, in Verbindung steht. Ferner wird ein Oberflächenbereich des Metallgebiets, der während der Herstellung der Kontaktdurchführung freigelegt wird, mittels einer nasschemischen Lösung, die ein Oberflächenreaktionsmittel enthält, behandelt. Danach wird der Oberflächenbereich gereinigt und es wird eine Barrieren/Haft-Schicht auf den Oberflächenbereich gebildet.
  • Gemäß einer noch weiteren anschaulichen Ausführungsform der vorliegenden Erfindung umfasst ein Verfahren das Herstellen mehrerer unterschiedlicher Lösungen für einen nasschemischen Reinigungsprozess, wobei jede Lösung ein Ätzmittel und ein Korrosionsverhinderungsmittel aufweist. Anschließend werden mehrere Kontaktdurchführungen in einer dielektrischen Schicht gebildet, wobei die Kontaktdurchführungen mit entsprechenden Metallgebieten, die unter den Kontaktdurchführungen ausgebildet sind, in Verbindung stehen. Zumindest einige der mehreren Kontaktdurchführungen werden mit den mehreren unterschiedlichen Lösungen unter spezifizierten Prozessbedingungen behandelt, um einen exponierten Oberflächenbereich der entsprechenden Metallgebiet zu modifizieren. Danach wird zumindest eine Eigenschaft der mehreren Kontaktdurchführungen und entsprechenden Metallgebiete bestimmt, und eine geeignete Zusammensetzung und geeignete Sollprozessparameter für den nasschemischen Reinigungsprozess werden auf der Grundlage der festgelegten mindestens einen Eigenschaft ausgewählt. Schließlich werden mehrere Substrate mit Kontaktdurchführungen, die in einer dielektrischen Schicht gebildet sind, mit der geeigneten Zusammensetzung und den Sollprozessparametern während der Herstellung einer Metallisierungsschicht eines Halbleiterbauelements behandelt.
  • Kurze Beschreibung der Zeichnungen
  • Weitere Vorteile, Aufgaben und Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung im Zusammenwirken mit den begleitenden Zeichnungen hervor, in denen:
  • 1a bis 1c schematisch Querschnittsansichten einer Halbleiterstruktur mit einem Verbindungselement, das in einem dielektrischen Material ausgebildet ist, zeigen, wobei Strukturunregelmäßigkeiten in dem Metall durch Kontaminierung eines exponierten Oberflächen bereichs während der Herstellung einer Kontaktdurchführung gemäß einer konventionellen Technik erzeugt werden können;
  • 2a und 2b schematisch eine Halbleiterstruktur während der Herstellung mehrerer Kontaktdurchführungen über entsprechenden Metallgebieten einschließlich einer Oberflächenbehandlung freigelegter Metalloberflächen mittels mehrerer unterschiedlicher nasschemischer Lösungen zeigen; und
  • 3a bis 3d schematisch eine Halbleiterstruktur während diverser Herstellungsphasen zeigen, wobei eine Metallisierungsschicht auf Kupferbasis gemäß weiterer anschaulicher Ausführungsformen der vorliegenden Erfindung gebildet wird.
  • Detaillierte Beschreibung
  • Obwohl die vorliegende Erfindung mit Bezug zu den Ausführungsformen beschrieben ist, wie sie in den folgenden detaillierten Beschreibung sowie in den Zeichnungen dargestellt sind, sollte es selbstverständlich sein, dass die folgende detaillierte Beschreibung sowie die Zeichnungen nicht beabsichtigen, die vorliegende Erfindung auf die speziellen offenbarten anschaulichen Ausführungsformen einzuschränken, sondern die beschriebenen anschaulichen Ausführungsformen stellen lediglich beispielhaft die diversen Aspekte der vorliegenden Erfindung dar, deren Schutzbereich durch die angefügten Patentansprüche definiert ist.
  • Die vorliegende Erfindung beruht auf der Erkenntnis der Erfinder, dass eine Oberflächenkontaminierung freigelegter Metallgebiete während der Herstellung von Kontaktdurchführungen in einer dielektrischen Schicht zu einer geringeren Zuverlässigkeit und Ausbeute führen kann, insbesondere, wenn Halbleiterbauelemente betrachtet werden, die auf der Grundlage von Kupfer oder Kupferverbindungen und Kupferlegierungen hergestellt werden. Wie zuvor erläutert ist, kann insbesondere Fluor mit einer exponierten Oberfläche auf Kupferbasis reagieren, wodurch eine Reihe von Oberflächendefekten erzeugt werden, die zu merklichen Unregelmäßigkeiten während der weiteren Bearbeitung der Halbleiterbauelemente führen können. Es wurde daher in Betracht gezogen, die Oberflächenkontaminationsstoffe mittels eines geeignet gestalteten Ätzprozesses, vorzugsweise mittels eines nasschemischen Ätzprozesses, zu entfernen, während gleichzeitig die erneute Bildung inhomogen verteilter Kontaminationsstoffe verringert oder vermieden wird, so dass stattdes sen eine im Wesentlichen homogene Oberflächenmodifizierung stattfindet, die hinterher effizienter entfernt werden kann. Die modifizierte Oberfläche, die im Wesentlichen eine weitere Oberflächenreaktion mit reaktiven Komponenten verhindert, die in der Umgebung oder im Material der Bauelemente enthalten sein können, wird dann einem Vorreinigungsprozess vor der Herstellung einer Barrieren/Haft-Schicht unterzogen, wobei die im Wesentlichen homogene Oberflächenmodifizierung das Freilegen des reinen Metalls in einer gleichförmigeren Weise im Vergleich zu konventionellen Lösungen ermöglicht, wodurch die Prozessgleichförmigkeit der nachfolgenden Abscheidprozesse deutlich verbessert wird, was letztlich zu geringeren strukturellen Unregelmäßigkeiten und Defektraten führt. Folglich werden die Gesamtausbeute und die Zuverlässigkeit der Verdrahtungsschichten der Bauelement deutlich verbessert.
  • Mit Bezug zu den 2a, 2b und 3a bis 3d werden nunmehr weitere anschauliche Ausführungsformen der vorliegenden Erfindung detaillierter beschrieben.
  • 2a zeigt schematisch eine Querschnittsansicht einer Halbleiterstruktur 200 mit einem Substrat 201, das darauf mehrere Metallgebiete 203, 253 ausgebildet aufweist. Das Substrat 201 kann ein beliebiges geeignetes Substrat repräsentieren, etwa ein Siliziumsubstrat, ein SOI-(Silizium auf Isolator) Substrat oder ein anderes isolierendes oder halbleitendes Substrat. Die Metallgebiete 203 und 253 können ein beliebiges Metall repräsentieren, das für die Herstellung von Metallisierungsschichten in Halbleiterbauelementen geeignet ist und kann in speziellen Ausführungsformen ein Metallgebiet auf Kupferbasis repräsentieren, d. h. die Gebiete 203 und 253 können Kupfer, Kupferverbindungen, Kupferlegierungen und dergleichen aufweisen. Des weiteren können die Metallgebiete 203 und 253 Barreren/Haft-Schichten beinhalten, wie sie als geeignet erscheinen, wobei diese in den 2a und 2b der Einfachheit halber nicht gezeigt sind. In einigen Ausführungsformen kann die Halbleiterstruktur 200 eine Teststruktur repräsentieren, die auf einem Testsubstrat gebildet ist, und die mehreren Metallgebiete 203 und 253 können einen Teil einer kontinuierlichen Metallschicht darstellen. In einigen Ausführungsformen können die Metallgebiete 203 und 253 in einer dielektrischen Schicht 202 gebildet sein, oder, wenn die Gebiete als eine im Wesentlichen kontinuierliche Metallschicht vorgesehen sind, können diese unmittelbar auf dem Substrat 201 ausgebildet sein. Über der dielektrischen Schicht 202 und teilweise über den Metallgebieten 203 und 253 ist eine Ätzstoppschicht 210 gebildet, die Siliziumnitrid, Siliziumkarbid, stickstoffangereichertes Siliziumkarbid oder dergleichen aufweisen kann. Eine dielektrische Schicht 207, die zwei oder mehrere Teilschichten aufweisen kann, ist auf der Ätzstoppschicht 210 gebildet, wobei die dielektrische Schicht 207 vorzugsweise im Hinblick auf die Materialzusammensetzung, die Schichtzusammensetzung und die Schichtdicke so gebildet ist, um Entwurfswerten eines interessierenden Halbleiterbauelements zu entsprechen, das in einer Halbleiterherstellungsstätte produziert wird. Wie zuvor mit Bezug zu der dielektrischen Schicht 107, die in den 1a bis 1c dargestellt ist, erläutert ist, kann auch die dielektrische Schicht 207 ein beliebiges geeignetes Material, etwa Siliziumdioxid, fluorangereichertes Siliziumdioxid, ein Material mit kleinem ε möglicherweise in Verbindung mit einem weiteren dielektrischen Material, und dergleichen aufweisen. In einer speziellen Ausführungsform repräsentiert die dielektrische Schicht 207 eine fluordotierte Siliziumdioxidschicht, die in Übereinstimmung mit Abscheiderezepten hergestellt wird, die bei der Herstellung modernster Halbleiterbauelemente mit einer Metallisierung auf Kupferbasis angewendet werden. Ferner sind entsprechende Kontaktdurchführungen 208 und 258 über den Metallgebieten 203 bzw. 253 ausgebildet, wobei die Abmessungen der Kontaktdurchführungen 208 und 258 vorzugsweise entsprechend den Entwurfsregeln eines interessierenden Halbleiterbauelements festgelegt sind. Auf Oberflächenbereichen der Metallgebiete 203 und 253 ist eine Schicht 211 gebildet, die im Wesentlichen inhomogen verteilte Kontaminationsbereiche enthält, die durch eine Reaktion mit reaktiven Komponenten, etwa Fluor, Sauerstoff und dergleichen hervorgerufen werden, die während eines Ätzprozesses zur Herstellung der Kontaktdurchführungen 208 und 258 freigesetzt wurden, und/oder die durch die Ätzatmosphäre eingeführt werden können.
  • Ein typischer Prozess zur Herstellung der Halbleiterstruktur 200, wie sie in 2a gezeigt ist, kann die folgenden Prozesse umfassen. Nach dem Bilden der Metallgebiete 203, 253 durch beispielsweise eine Damaszener-Technik, was die Herstellung von Gräben in der dielektrischen Schicht 202 und ein nachfolgendes Einfüllen von Metall in die Gräben beinhaltet, oder durch Bilden einer kontinuierlichen Schicht über dem Substrat 201 mittels einer durch Sputtern abgeschiedenen Saatschicht und einem nachfolgenden Elektroplattierungsprozess, wird die Ätzstoppschicht 210 durch gut etablierte Techniken, etwa plasmaunterstützte chemische Dampfabscheidung (CVD) gebildet. Danach wird die dielektrische Schicht 207 durch eine geeignete Abscheidetechnik, abhängig von der Materialzusammensetzung, der Schichtzusammensetzung und dergleichen, gebildet. Es sollte beachtet werden, dass im Hinblick auf das Erstellen eines geeigneten Prozessrezeptes für das Entfernen der Kontaminationsschicht 221 durch einen entsprechenden nasschemischen Ätzpro zess es vorteilhaft sein kann, die Halbleiterstruktur 200 und insbesondere die Schichten 210 und 207 entsprechend den interessierenden Prozessstrategien zu bilden, um Informationen über den Prozess des Herstellens der Kontaktdurchführungen 208 und 258 zu erhalten, die unmittelbar auf einen entsprechenden Produktionsprozess übertragen werden können. Somit kann die dielektrische Schicht 207 in einigen Ausführungsformen aus einem dielektrischen Material auf der Grundlage von Silizium und Sauerstoff mit einer moderat geringen Permittivität aufgebaut sein, etwa fluordotiertes Siliziumdioxid, wasserstoffangereichertes Siliziumoxykarbid und dergleichen. Beispielsweise kann fluordotiertes Siliziumdioxid vorteilhafterweise durch gut etablierte plasmaunterstützte CVD-Techniken auf der Grundlage von TEOS (Trimethyl-Ortho-Silikat) abgeschieden werden. In anderen Ausführungsformen kann das Bilden der dielektrischen Schicht 207 auch Aufschleudertechniken und die Bildung einer zwischenliegenden Ätzstoppschicht oder Ätzindikatorschicht (nicht gezeigt) beinhalten, um damit in präziser Weise eine Tiefe eines Grabens zu definieren, der entsprechend einem tatsächlichen Herstellungsprozess zu bilden ist. Danach kann Photolithographie angewendet werden, um eine Lackmaske (nicht gezeigt) entsprechend den Entwurfsregeln für die Kontaktdurchführungen 208 und 258 zu bilden. Es sollte beachtet werden, dass abhängig von den Bauteilabmessungen äußerst anspruchsvolle Lithographietechniken erforderlich sein können, die die Herstellung einer effizienten antireflektierenden Beschichtung (ARC) enthalten können, um die erforderliche Auflösung zu erreichen. Der Einfachheit halber ist eine ARC-Schicht in den Figuren nicht dargestellt. Bekanntlich haben moderne Halbleiterbauelemente nunmehr Abmessungen der kritischen Strukturelemente von 50 nm und sogar weniger in der Transistorebene erreicht, wodurch Metallleitungen und Kontaktdurchführungen mit Abmessungen in der Größenordnung von 100 nm und weniger erforderlich sind, wobei insbesondere die Kontaktdurchführungen 208, 258 ein Aspektverhältnis (Tiefe/Durchmesser) von 5 oder sogar höher aufweisen. Für derartig extrem größenreduzierte Bauelemente hängt der Kontaktwiderstand zwischen den Metallgebieten 203, 253 und den Kontaktdurchführungen 208, 258 nach dem Auffüllen mit Metall deutlich von den Eigenschaften der Grenzfläche ab, und damit hängt der Widerstand deutlich von den Oberflächeneigenschaften eines Oberflächenbereichs 203a, 253a ab, der während eines anisotropen Ätzprozesses zum Ätzen durch die dielektrische Schicht 207 und letztlich durch die Ätzstoppschicht 210 freigelegt wird. Während des abschließenden Ätzschrittes durch die Ätzstoppschicht 210 sind reaktive Gaskomponenten und Reaktionsprodukte, etwa Fluor, Sauerstoff und dergleichen vorhanden und können mit dem freigelegten Oberflächenbereich 203a, 253a reagieren. Insbesondere reagiert Kupfer heftig mit Fluor und Sauerstoff, um die Kontaminationsschichten 211 zu bilden, die merkliche strukturelle Schwankungen innerhalb jeder Schicht 211 und über unterschiedliche Kontaktdurchführungen hinweg auf Grund der äußerst ungleichförmigen Prozessbedingungen in Bezug auf die Ausbildung von Korrosion an den freigelegten Oberflächen 203a, 253a aufweisen können. Daher wird nach dem Beenden des Entfernens der Ätzstoppschicht 210 ein nasschemischer Prozess ausgeführt, um im Wesentlichen Ungleichförmigkeiten der Schichten 211 zu entfernen und eine weitere Ausbildung von Korrosion an den Oberflächen 203, 253a deutlich zu verringern oder zu vermeiden. In der gezeigten Ausführungsform können unterschiedliche nasschemische Prozesse, die durch die Pfeile 220 und 221 bezeichnet sind, so ausgeführt werden, um die Auswirkungen der nasschemischen Prozesse in Bezug auf die Kontaktdurchführungen 208 und 258 abzuschätzen. Es sollte beachtet werden, dass das gezeigte Beispiel lediglich anschaulicher Natur ist und dass die mehreren Kontaktdurchführungen 208, 258 auf unterschiedlichen Substraten oder auf unterschiedlichen Chipbereichen des Substrats 201 vorgesehen sein können, wobei beispielsweise die Kontaktdurchführung 258 beispielsweise mittels eines Polymermaterials und dergleichen maskiert sein kann, während die Kontaktdurchführung 208 dem chemischen Prozess 220 unterzogen wird. Somit können mehrere unterschiedliche Zusammensetzungen und/oder Prozessparameter im Hinblick auf ihre Auswirkung auf die Kontaminationsschichten 211 und die weitere Bearbeitung der Halbleiterstruktur 200 abgeschätzt werden. In einer speziellen Ausführungsform werden die nasschemischen Prozesse 220 und 221 auf der Grundlage einer Lösung ausgeführt, die ein Ätzmittel und ein Oberflächenreaktionsmittel aufweist, das eine chemische Reaktion mit den freigelegten Metalloberflächen 203a, 253a eingehen kann, um damit eine im Wesentlichen kontinuierliche Passivierungsschicht zu bilden. In anderen Ausführungsformen kann das Oberflächenreaktionsmittel ein sogenanntes Korrosionsverhinderungsmittel repräsentieren, beispielsweise auf der Basis von Triazol oder Verbindungen davon, etwa Benzen-Triazol (BTA), wie es im Stand der Technik zum Verhindern oder zum Reduzieren von Korrosion von Kupferoberflächen und dergleichen bekannt ist. In einer Ausführungsform kann das Ätzmittel zum Entfernen der Oberflächenkontaminationsstoffe in den Schichten 211 verdünnte Flusssäure (HF) aufweisen. Somit können entsprechende Lösungen unterschiedlicher Zusammensetzungen unter spezifizierten Prozessbedingungen, etwa Temperatur, Dauer und dergleichen angewendet werden, um die mehrere Behandlungen 220 und 221 zum Passivieren der Oberflächen 203a, 253a auszuführen.
  • Danach können in einigen Ausführungsformen eine oder mehrere Eigenschaften der Metallgebiete 203, 253 und/oder der Kontaktdurchführungen 208, 258 bestimmt werden, um den Status der Oberflächen 203a, 253a abzuschätzen, die die unterschiedlichen nasschemischen Prozesse 220, 221 erfahren haben. Auf der Grundlage entsprechender Messergebnisse, die durch Elektronenmikroskopie, Spannungskontrasttechniken und dergleichen gewonnen werden können, kann ein geeignetes Prozessrezept für eine nasschemische Behandlung ausgewählt werden, das dann für die Bearbeitung eines oder mehrerer anderer Substrate während der Herstellung einer entsprechenden Metallisierungsschicht in Produktsubstraten angewendet werden kann. In anderen Ausführungsformen kann die Auswirkung der mehreren nasschemischen Prozesse 220, 221 während eines späteren Herstellungsstadiums eingeschätzt werden, wodurch auch synergetische Effekte mit eingeschlossen werden können, die durch die diversen nasschemischen Prozesse 220, 221 mit nachfolgenden Prozessen hervorgerufen werden können. D. h., das elektrische Verhalten kann untersucht und so abgeschätzt werden, um ein geeignetes nasschemisches Prozessrezept für einen interessierenden Halbleitertyp festzulegen.
  • 2b zeigt schematisch die Halbleiterstruktur 200 in einem fortgeschrittenen Herstellungsstadium. Hier ist eine Barrieren/Haft-Schicht 213, die beispielsweise Tantal, Tantalnitrid, Titan, Titannitrid und dergleichen aufweist, auf der dielektrischen Schicht 207 und in den Kontaktdurchführungen 208 und 258 gebildet. Die Barrieren/Haft-Schicht 213 kann entsprechend gut etablierter Sputter-Abscheidetechniken hergestellt sein, wobei ein anfänglicher Sputter-Ätz-Prozess ausgeführt wird, um die Oberflächen 203, 253a zu reinigen, die durch die vorhergehenden nasschemischen Behandlungen 220, 221 modifiziert wurden. Auf Grund des Entfernens von Kontaminationsstoffen auf Basis von Fluor und Sauerstoff und auf Grund eines gewissen Maßes an homogener Oberflächenpassivierung ist der Sputter-Prozess äußerst effizient und gleichförmig, wobei vorausgesetzt ist, dass die nasschemische Behandlung 220 auf einem Prozessrezept basiert, das zu einer im Wesentlichen homogenen Passivierungsschicht 222 führt, die im Wesentlichen gleichförmig während der anfänglichen Phase des Sputter-Prozesses entfernt werden kann. Folglich ist die Herstellung der Schicht 213 an der Unterseite der Kontaktdurchführung 208 im Wesentlichen durch die Prozessparameter der Sputter-Abscheidung anstatt von Ungleichförmigkeiten von Kontaminationsresten bestimmt, wie dies in den konventionellen Lösungswegen der Fall sein kann. In ähnlicher Weise kann die Schicht 213 an der Unterseite der Kontaktdurchführung 258 eine strukturelle Unregelmäßigkeit 211a aufweisen, auf Grund eines weniger effi zienten Prozessrezepts der nasschemischen Behandlung 221, obwohl diese im Vergleich zu den konventionellen Unregelmäßigkeiten, wie sie mit Bezug zu 1c beschrieben sind, deutlich geringer ist.
  • Danach wird der Fertigungsprozess zur Herstellung einer Metallisierungsschicht durch das Abscheiden weiterer Barrierenschichten und einer Saatschicht gefolgt von einer elektrochemischen Abscheidung eines Metalls, etwa Kupfer, und eines nachfolgenden Entfernens des überschüssigen Materials fortgesetzt, wie es für die Damaszener-Technik gut bekannt ist. Nach der Herstellung der Barrieren/Haft-Schicht 213 und/oder nach der Bildung weiterer Schichten können eine oder mehrere Eigenschaften der Kontaktdurchführungen 208, 258 und der Metallgebiete 203, 253 so untersucht werden, um damit ein geeignetes Prozessrezept für einen Herstellungsprozess einer interessierenden Metallisierungsschicht festzulegen. Beispielsweise kann nach dem Fertigstellen der Kontaktdurchführungen 208, 258, d. h. nach deren Füllung mit Kupfer und nach dem Entfernen des Überschussmaterials, der elektrische Widerstand der metallgefüllten Kontaktdurchführungen 208, 258 untersucht werden, möglicherweise in Kombination mit einem Zuverlässigkeitstest unter anspruchsvollem Umgebungsbedingungen, um weitere Informationen im Hinblick auf die Auswirkung der nasschemischen Behandlung 220 und 221 zu gewinnen. Zu diesem Zwecke kann die Zeitdauer bis zum Ausfall der mehreren metallgefüllten Kontaktdurchführungen 203, 253 für eine vorgegebene Stromdichte und Betriebstemperatur bestimmt werden. Des weiteren kann der elektrische Widerstand der Kontaktdurchführungen 203, 253 ermittelt werden. Auf der Grundlage dieser Ergebnisse – möglicherweise in Verbindung mit direkten Messungen der Oberflächentextur an der Unterseite 203a, 253a der Kontaktdurchführungen – kann ein geeignetes Rezept festgelegt werden. Sobald ein geeignetes Prozessrezept ausgewählt ist – in dem vorliegenden Falle das Rezept der Behandlung 220 – können Produktsubstrate auf der Grundlage des erstellten Prozessrezeptes bearbeitet werden.
  • Mit Bezug zu den 3a bis 3d wird ein Prozess zur Bildung einer Metallisierungsschicht mit einer Kontaktdurchführung detaillierter beschrieben, wobei eine nasschemische Behandlung auf der Grundlage eines geeigneten Prozessrezepts ausgeführt wird, das auf der Basis der Ausführungsformen ermittelt werden kann, wie sie zuvor mit Bezug zu den 2a und 2b beschrieben sind.
  • In 3a umfasst eine Halbleiterstruktur 300 ein Substrat 301 mit einer darauf ausgebildeten ersten Metallisierungsschicht mit einer ersten dielektrischen Schicht 302, die eine ein Metall 303 enthaltende Metallleitung 304 aufweist, und mit Barrieren- und Haftschichten 206 und 305. Im Hinblick auf die Materialzusammensetzung der diversen Komponenten gelten die gleichen Kriterien, wie sie zuvor mit Bezug zu 1a dargestellt sind. Das gleiche gilt für eine zweite dielektrische Schicht 307, die auf einer Ätzstoppschicht 310 ausgebildet ist, wobei eine Öffnung 308 durch die dielektrischen Schichten 307 und 310 hindurch ausgebildet ist. Des weiteren ist ein Graben 309 in einen oberen Bereich der Schicht 307 gebildet. Des weiteren können Kontaminationsbereiche 311 an einen Oberflächenbereich 303a gebildet sein, die Fluor, Sauerstoff und Kupfer aufweisen, wenn das Metall 303 ein Metallgebiet auf Kupferbasis ist.
  • Für die Herstellung der Halbleiterstruktur 300, wie sie in 3a gezeigt ist, können im Wesentlichen die gleichen Prozesse angewendet werden, wie sie zuvor mit Bezug zu 1a und 2a beschrieben sind. Insbesondere die Kontaminationsbereiche 311 können während des anisotropen Ätzprozesses zur Bildung der Kontaktdurchführung 308 durch die dielektrische Schicht 307 und die Ätzstoppschicht 310 hindurch erzeugt werden.
  • 3b zeigt schematisch die Halbleiterstruktur 300 während eines nasschemischen Prozesses, der durch den Pfeil 320 bezeichnet ist, um die Oberfläche 303a so zu modifizieren, dass diese einen im Wesentlichen gleichförmigen Zustand aufweist. Zu diesem Zwecke wird eine nasschemische Behandlung 320 auf der Grundlage eines geeigneten Prozessrezepts so ausgeführt, um einen im Wesentlichen gleichförmigen Oberflächenbereich 311a auf der Oberfläche 303a bereitzustellen. In einigen Ausführungsformen kann der nasschemische Prozess 320 auf der Grundlage einer Lösung ausgeführt werden, die zumindest zwei unterschiedliche Komponenten aufweist, etwa ein Ätzmittel und ein Korrosionsverhinderungsmittel, das auf die Halbleiterstruktur 300 entsprechend dem spezifizierten Prozessrezept und den spezifizierten Prozessbedingungen angewendet wird. Während des Entfernens der Kontaminationsbereiche 311 durch das Ätzmittel, etwa Flusssäure, verringert oder verhindert das Korrosionsverhinderungsmittel gleichzeitig eine weitere Ausbildung des Kontaminationsstoffes. In einigen Ausführungsformen kann das Korrosionsverhinderungsmittel eine dünne Passivierungsschicht so bilden, dass im Wesentlichen die Korrosion der Oberfläche 303a während der weiteren Handhabung der Halbleiterstruktur 300 vermieden wird.
  • In anderen Ausführungsformen kann der nasschemische Prozess 320 so ausgeführt werden, dass zuerst ein Ätzmittel zugeführt wird, um deutlich die Kontaminationsbereiche 311 zu verringern, während eine zweite Komponente in Form eines Korrosionsverhinderungsmittels, eines Oberflächenreaktionsmittels und dergleichen zeitlich verzögert zugeführt wird, um eine Passivierungsschicht bereitzustellen, nachdem der Hauptanteil der Kontaminationsbereiche 311 bereits entfernt ist. Es sollte beachtet werden, dass eine entsprechende Sequenz des Zuführens der Ätzmittels und des Oberflächenreaktionsmittels ebenso auf der Grundlage der Ausführungsformen ermittelt werden kann, die mit Bezug zu den 2a und 2b beschrieben sind, in denen geeignete Prozessrezepte ermittelt werden.
  • In einer speziellen Ausführungsform weist die dielektrische Schicht 307 fluordotiertes Siliziumdioxid auf und der nasschemische Prozess 320 wird auf der Grundlage von HF mit einem Anteil von ungefähr 3 bis 10 Volumenteilen, Triazol, Benzentriazol (BTA) oder einer anderen Triazolverbindung mit einem Anteil von ungefähr 0,5 bis 3 Volumenteilen und 100 Volumenteilen deionisiertem Wasser bei einer Temperatur von ungefähr 30 bis 80°C durchgeführt. Mit einer Einwirkdauer von ungefähr 5 bis 30 Sekunden kann die Oberfläche 303a im Wesentlichen homogen bei einem Durchmesser der Kontaktdurchführung von ungefähr 100 nm mit einem Aspektverhältnis von ungefähr 5 oder mehr modifiziert werden.
  • 3c zeigt schematisch die Halbleiterstruktur 300 während der Herstellung einer Barrieren/Haft-Schicht mittels eines Sputter-Prozesses 331, der einen Vorreinigungsprozess 330 enthält. Wie zuvor mit Bezug zu 1b erläutert ist, wird üblicherweise ein Vorreinigungsprozess ausgeführt, um Kontaminationsstoffe von der Oberfläche 303a zu entfernen. Auf Grund des vorhergehenden nasschemischen Prozesses 320 kann die im Wesentlichen homogene Modifizierung 311a der Oberfläche 303a äußerst effizient oder gleichförmig entfernt werden, so dass während der nachfolgenden Sputter-Abscheidung 331 die Ausbildung von Oberflächenunregelmäßigkeiten deutlich reduziert ist. In anderen Ausführungsformen kann der Abscheideprozess 331 eine selbstbegrenzende Abscheidetechnik repräsentieren, wie sie als Abscheidung atomarer Schichten bekannt ist, wenn äußerst anspruchsvolle Barrieren-Haft-Schichten auf Grund der extremen größenreduzierten Halbleiterbauelemente erforderlich sind, die einen Durchmesser von 80 nm oder sogar weniger für die Kontaktdurchführung 308 erfordern. Es sollte beachtet werden, dass die im Wesentlichen gleichförmige im Wesentlichen defektfreie Oberfläche 303a die Möglichkeit einer weiteren Bauteilgrößenreduzierung ermöglicht, ohne dass die Zuverlässigkeit der Metallisierung des Bauteils in Gefahr ist, da der Kontaktwiderstand der Kontaktdurchführung 308 zu dem darunter liegenden Metallgebiet 303 deutlich kleiner im Vergleich zu konventionellen Techniken sein kann, die einen höheren Anteil an Oberflächenunregelmäßigkeiten hervorrufen können.
  • 3d zeigt schematisch die Halbleiterstruktur 300 nach der Herstellung einer Barrieren/Haft-Schicht 313 mittels des Abscheideprozesses 331, und mit einer Saatschicht 314 und einer Metallvollschicht 315, die auf der Barrieren/Haft-Schicht 313 gebildet sind. Gegenwärtig ist Kupfer ein bevorzugter Kandidat für die Saatschicht 314 und die Metallvollschicht 315. Es sollte jedoch beachtet werden, dass eine beliebige geeignete Materialzusammensetzung für die Schichten 314 und 315 entsprechend den Entwurfserfordernissen verwendet werden kann. Ferner kann, wie zuvor angemerkt ist, die Barrieren/Haft-Schicht 313 aus zwei oder mehreren Teilschichten aufgebaut sein, abhängig von den Eigenschaften des Metalls, das in der Metallvollschicht 315 enthalten ist.
  • In den mit Bezug zu den 3a bis 3d gezeigten Ausführungsformen ist die Reihenfolge des Herstellens des Grabens 309 und der Kontaktdurchführung 308 nicht wesentlich und daher kann der nasschemische Prozess 320 (3b) vor der Bildung des Grabens 302 durchgeführt werden, wobei die im Wesentlichen homogene Modifizierung 311a der Oberfläche 301a im Wesentlichen eine weitere Kontaminierung der Oberfläche 303a während der nachfolgenden Prozesse zur Bildung des Grabens 309 vermeidet.
  • Es gilt also: die vorliegende Erfindung stellt eine Technik bereit, die das Ausbilden von Kontaktdurchführungen, die mit Metallgebieten, etwa Kupfergebieten, in Verbindung stehen, mit einem deutlich reduzierten Anteil an Unregelmäßigkeiten ermöglicht, die konventioneller Weise an der Grenzfläche zwischen der Kontaktdurchführung und dem Metallgebiet auftreten können. Eine Kombination aus einem nasschemischen Ätzprozess und einer Oberflächenmodifizierung, etwa der Ausbildung einer dünnen Passivierungsschicht, führt zu einer deutlich verringerten Defektrate und zu einer erhöhten Zuverlässigkeit der Kontaktdurchführung, wodurch auch die gesamte Produktionsausbeute verbessert wird. Der nasschemische Prozess kann auf der Grundlage einer Lösung ausgeführt werden, die zumindest zwei Komponenten aufweist, d. h. ein Ätzmittel und ein Korrosionsverhinderungsmittel, die gleichzeitig oder in zeitlich verzögerter Weise zugeführt werden können. Geeignete Prozessrezepte, d. h. eine adäquate Zusammensetzung der Lösung und die spezifischen Pro zessbedingungen, können auf der Grundlage von Teststrukturen und/oder Produktsubstraten ermittelt werden, um damit das Prozessrezept des nasschemischen Prozesses wirksam an diverse Bauteilgenerationen anzupassen.
  • Weitere Modifizierungen und Variationen der vorliegenden Erfindung werden für den Fachmann angesichts dieser Beschreibung offenkundig. Daher ist diese Beschreibung als lediglich anschaulich und für die Zwecke gedacht, dem Fachmann die allgemeine Art und Weise des Ausführens der vorliegenden Erfindung zu vermitteln. Selbstverständlich sind die hierin gezeigten und beschriebenen Formen der Erfindung als die gegenwärtig bevorzugten Ausführungsformen zu betrachten.

Claims (22)

  1. Verfahren mit: Bilden einer Kontaktdurchführung in einer dielektrischen Schicht, wobei die Kontaktdurchführung mit einem unter der Kontaktdurchführung angeordneten Metallgebiet in Verbindung steht; Reinigen eines Oberflächenbereichs des Metallgebiets, der während der Bildung des Kontaktgebiets freigelegt wird; Bilden einer Passivierungsschicht auf dem Oberflächenbereich; Entfernen der Passivierungsschicht, um den Oberflächenbereich freizulegen; und Abscheiden einer Barrieren/Haft-Schicht auf dem freigelegten Oberflächenbereich.
  2. Das Verfahren nach Anspruch 1, wobei die Passivierungsschicht in einem nasschemischen Prozess gebildet wird.
  3. Das Verfahren nach Anspruch 2, wobei der Oberflächenbereich mittels eines nasschemischen Prozesses gereinigt wird.
  4. Das Verfahren nach Anspruch 1, wobei Reinigen des Oberflächenbereichs und das Bilden der Passivierungsschicht in einem gemeinsamen nasschemischen Prozess ausgeführt wirerden.
  5. Das Verfahren nach Anspruch 2, wobei die Passivierungsschicht auf der Grundlage von Triazol gebildet wird.
  6. Das Verfahren nach Anspruch 4, wobei der gemeinsame nasschemische Prozess auf der Grundlage einer Lösung mit einem Ätzmittel und einem Korrosionsverhinderungsmittel ausgeführt wird.
  7. Das Verfahren nach Anspruch 6, wobei das Ätzmittel Flusssäure (HF) aufweist.
  8. Das Verfahren nach Anspruch 7, wobei das Korrosionsverhinderungsmittel Triazol und/oder eine Verbindung davon aufweist.
  9. Das Verfahren nach Anspruch 1, wobei Entfernen der Passivierungsschicht und Bilden der Barrieren/Haft-Schicht in einem in-situ-Prozess ausgeführt werden.
  10. Das Verfahren nach Anspruch 9, wobei die Barrieren/Haft-Schicht durch einen Sputter-Prozess gebildet wird, wobei die Passivierungsschicht während einer Anfangsphase des Sputter-Prozesses entfernt wird.
  11. Das Verfahren nach Anspruch 1, das ferner Bilden einer Saatschicht auf der Barrieren/Haft-Schicht und Füllen der Kontaktdurchführung mit einem Metall umfasst.
  12. Verfahren mit: Herstellen mehrerer unterschiedlicher Lösungen für einen nasschemischen Reinigungsprozess, wobei jede Lösung ein Ätzmittel und ein Korrosionsverhinderungsmittel aufweist; Bilden mehrerer Kontaktdurchführungen in einer dielektrischen Schicht, wobei die Kontaktdurchführung mit entsprechenden unter den Kontaktdurchführungen ausgebildeten Metallgebieten in Verbindung stehen; Behandeln zumindest einiger der mehreren Kontaktdurchführungen mit den mehreren unterschiedlichen Lösungen unter spezifizierten Prozessbedingungen, um einen freigelegten Oberflächenbereich der entsprechenden Metallgebiete zu modifizieren; Bestimmen mindestens einer Eigenschaft der mehreren Kontaktdurchführungen und entsprechenden Metallgebieten; Auswählen einer geeigneten Zusammensetzung und Auswählen von Sollprozessparametern für den nasschemischen Reinigungsprozess auf der Grundlage der mindestens einen Eigenschaft; und Behandeln mehrerer Substrate mit Kontaktdurchführungen, die in einer dielektrischen Schicht ausgebildet sind, mit der geeigneten Zusammensetzung und den Sollprozessparametern während der Herstellung einer Metallisierungsschicht eines Halbleiterbauelements.
  13. Das Verfahren nach Anspruch 12, wobei die mehreren Lösungen sich unterscheiden in dem Anteil des Ätzmittels und/oder dem Anteil des Korrosionsveränderungsmittels und/oder der Art des Ätzmittels und/oder der Art des Korrosionsverhinderungsmittels.
  14. Das Verfahren nach Anspruch 12, wobei Bestimmen mindestens einer Eigenschaft umfasst: Messen einer Oberflächentextur der Unterseiten der Kontaktdurchführungen und/oder Messen einer Zuverlässigkeit der metallgefüllten Kontaktdurchführungen in einem Belastungstest und/oder Messen des elektrischen Widerstandes der metallgefüllten Kontaktdurchführungen.
  15. Verfahren mit: Bilden einer Kontaktdurchführung in einer dielektrischen Schicht, wobei die Kontaktdurchführung mit einem unter der Kontaktdurchführung angeordneten Metallgebiet in Verbindung steht; Behandeln eines Oberflächenbereichs des Metallgebiets, der während der Bildung der Kontaktdurchführung freigelegt wird, mittels einer nasschemischen Lösung, die ein Oberflächenreaktionsmittel enthält; Reinigen des Oberflächenbereichs; und Bilden einer Barrieren/Haft-Schicht auf den Oberflächenbereich.
  16. Das Verfahren nach Anspruch 15, wobei die nasschemische Lösung ein Ätzmittel zum Entfernen von Kontaminationsstoffen auf dem Oberflächenbereich, die während und nach der Bildung der Kontaktdurchführung erzeugt werden, aufweist.
  17. Das Verfahren nach Anspruch 15, wobei das Oberflächenreaktionsmittel ein Korrosionsverhinderungsmittel aufweist.
  18. Das Verfahren nach Anspruch 17, wobei das Korrosionsverhinderungsmittel Mittel auf der Grundlage von Triazol und/oder ein Mittel auf der Grundlage einer Verbindung mit Triazol aufweist.
  19. Das Verfahren nach Anspruch 15, das ferner Abscheiden einer Saatschicht auf der Barrieren/Haft-Schicht und Füllen der Kontaktdurchführung mit Metall umfasst.
  20. Das Verfahren nach Anspruch 19, wobei das Metall Kupfer umfasst.
  21. Das Verfahren nach Anspruch 15, wobei Reinigen des Oberflächenbereichs und Bilden der Haft/Barrierenschicht in einem gemeinsamen Sputter-Prozess ausgeführt werden.
  22. Das Verfahren nach Anspruch 15, wobei Reinigen des Oberflächenbereichs und Bilden der Barrieren/Haft-Schicht in einem in-situ-Prozess ausgeführt werden und wobei die Barrieren/Haft-Schicht durch eine Atomlagenabscheidung (ALD) gebildet wird.
DE102004037089A 2004-07-30 2004-07-30 Technik zur Herstellung einer Passivierungsschicht vor dem Abscheiden einer Barrierenschicht in einer Kupfermetallisierungsschicht Ceased DE102004037089A1 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE102004037089A DE102004037089A1 (de) 2004-07-30 2004-07-30 Technik zur Herstellung einer Passivierungsschicht vor dem Abscheiden einer Barrierenschicht in einer Kupfermetallisierungsschicht
US11/112,509 US7259091B2 (en) 2004-07-30 2005-04-22 Technique for forming a passivation layer prior to depositing a barrier layer in a copper metallization layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102004037089A DE102004037089A1 (de) 2004-07-30 2004-07-30 Technik zur Herstellung einer Passivierungsschicht vor dem Abscheiden einer Barrierenschicht in einer Kupfermetallisierungsschicht

Publications (1)

Publication Number Publication Date
DE102004037089A1 true DE102004037089A1 (de) 2006-03-16

Family

ID=35732887

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102004037089A Ceased DE102004037089A1 (de) 2004-07-30 2004-07-30 Technik zur Herstellung einer Passivierungsschicht vor dem Abscheiden einer Barrierenschicht in einer Kupfermetallisierungsschicht

Country Status (2)

Country Link
US (1) US7259091B2 (de)
DE (1) DE102004037089A1 (de)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102006046364A1 (de) * 2006-09-29 2008-04-03 Advanced Micro Devices, Inc., Sunnyvale ARC-Schicht mit geringerer Neigung zum Ablösen und Verfahren zur Herstellung derselben
DE102008026133A1 (de) * 2008-05-30 2009-12-03 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Verringern der Metallunregelmäßigkeiten in komplexen Metallisierungssystemen von Halbleiterbauelementen
DE102008049720A1 (de) * 2008-09-30 2010-05-27 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Passivieren freigelegter Kupferoberflächen in einer Metallisierungsschicht eines Halbleiterbauelements

Families Citing this family (215)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102005004409B4 (de) * 2005-01-31 2011-01-20 Advanced Micro Devices, Inc., Sunnyvale Technik zur Erhöhung der Prozessflexibilität während der Herstellung von Kontaktdurchführungen und Gräben in Zwischenschichtdielektrika mit kleinem ε
US7309659B1 (en) * 2005-04-01 2007-12-18 Advanced Micro Devices, Inc. Silicon-containing resist to pattern organic low k-dielectrics
US7547584B2 (en) * 2005-05-27 2009-06-16 United Microelectronics Corp. Method of reducing charging damage to integrated circuits during semiconductor manufacturing
US7253097B2 (en) * 2005-06-30 2007-08-07 Chartered Semiconductor Manufacturing, Ltd. Integrated circuit system using dual damascene process
US8916232B2 (en) * 2006-08-30 2014-12-23 Lam Research Corporation Method for barrier interface preparation of copper interconnect
US20100081595A1 (en) * 2007-01-22 2010-04-01 Freescale Semiconductor, Inc Liquid cleaning composition and method for cleaning semiconductor devices
DE102007053600B4 (de) * 2007-08-31 2009-12-31 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Metalls direkt auf einer leitenden Barrierenschicht durch elektrochemische Abscheidung unter Anwendung einer sauerstoffarmen Umgebung
US20090075480A1 (en) * 2007-09-18 2009-03-19 Texas Instruments Incorporated Silicon Carbide Doped Oxide Hardmask For Single and Dual Damascene Integration
US20090081864A1 (en) * 2007-09-21 2009-03-26 Texas Instruments Incorporated SiC Film for Semiconductor Processing
US20100105205A1 (en) * 2008-10-27 2010-04-29 United Microelectronics Corp. Cleaning solution and semicondcutor process using the same
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US9711407B2 (en) 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
US8362800B2 (en) 2010-10-13 2013-01-29 Monolithic 3D Inc. 3D semiconductor device including field repairable logics
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US8373439B2 (en) 2009-04-14 2013-02-12 Monolithic 3D Inc. 3D semiconductor device
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US8384426B2 (en) 2009-04-14 2013-02-26 Monolithic 3D Inc. Semiconductor device and structure
US7986042B2 (en) 2009-04-14 2011-07-26 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8427200B2 (en) 2009-04-14 2013-04-23 Monolithic 3D Inc. 3D semiconductor device
US8378715B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method to construct systems
US8405420B2 (en) 2009-04-14 2013-03-26 Monolithic 3D Inc. System comprising a semiconductor device and structure
US8058137B1 (en) 2009-04-14 2011-11-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8754533B2 (en) 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US8536023B2 (en) 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US8581349B1 (en) 2011-05-02 2013-11-12 Monolithic 3D Inc. 3D memory semiconductor device and structure
US11984445B2 (en) 2009-10-12 2024-05-14 Monolithic 3D Inc. 3D semiconductor devices and structures with metal layers
US8148728B2 (en) 2009-10-12 2012-04-03 Monolithic 3D, Inc. Method for fabrication of a semiconductor device and structure
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US8373230B1 (en) 2010-10-13 2013-02-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
US8461035B1 (en) 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US8114757B1 (en) 2010-10-11 2012-02-14 Monolithic 3D Inc. Semiconductor device and structure
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11984438B2 (en) 2010-10-13 2024-05-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US8379458B1 (en) 2010-10-13 2013-02-19 Monolithic 3D Inc. Semiconductor device and structure
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8399359B2 (en) 2011-06-01 2013-03-19 United Microelectronics Corp. Manufacturing method for dual damascene structure
US8664115B2 (en) 2011-06-10 2014-03-04 Globalfoundries Inc. Copper interconnect with metal hardmask removal
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US8735295B2 (en) 2012-06-19 2014-05-27 United Microelectronics Corp. Method of manufacturing dual damascene structure
US8647991B1 (en) 2012-07-30 2014-02-11 United Microelectronics Corp. Method for forming dual damascene opening
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8686428B1 (en) 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US11961827B1 (en) 2012-12-22 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11967583B2 (en) 2012-12-22 2024-04-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US8871639B2 (en) * 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8921226B2 (en) 2013-01-14 2014-12-30 United Microelectronics Corp. Method of forming semiconductor structure having contact plug
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US8962490B1 (en) 2013-10-08 2015-02-24 United Microelectronics Corp. Method for fabricating semiconductor device
US20150171104A1 (en) 2013-12-12 2015-06-18 Cypress Semiconductor Corporation Complementary sonos integration into cmos flow
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
US11978731B2 (en) 2015-09-21 2024-05-07 Monolithic 3D Inc. Method to produce a multi-level semiconductor memory device and structure
US10515981B2 (en) 2015-09-21 2019-12-24 Monolithic 3D Inc. Multilevel semiconductor device and structure with memory
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US11991884B1 (en) 2015-10-24 2024-05-21 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US10312142B2 (en) * 2016-11-28 2019-06-04 Northrop Grumman Systems Corporation Method of forming superconductor structures
US10276504B2 (en) 2017-05-17 2019-04-30 Northrop Grumman Systems Corporation Preclean and deposition methodology for superconductor interconnects
US10763419B2 (en) 2017-06-02 2020-09-01 Northrop Grumman Systems Corporation Deposition methodology for superconductor interconnects
US11398406B2 (en) * 2018-09-28 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Selective deposition of metal barrier in damascene processes
US10985059B2 (en) 2018-11-01 2021-04-20 Northrop Grumman Systems Corporation Preclean and dielectric deposition methodology for superconductor interconnect fabrication
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
KR20210028801A (ko) 2019-09-04 2021-03-15 삼성전자주식회사 반도체 소자

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3255551B2 (ja) 1995-01-31 2002-02-12 東京応化工業株式会社 レジスト用剥離液組成物
TW505984B (en) * 1997-12-12 2002-10-11 Applied Materials Inc Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US6123088A (en) * 1999-12-20 2000-09-26 Chartered Semiconducotor Manufacturing Ltd. Method and cleaner composition for stripping copper containing residue layers
JP3514435B2 (ja) * 1999-12-28 2004-03-31 東京応化工業株式会社 ホトレジスト用剥離液およびこれを用いたホトレジスト剥離方法
US6992050B2 (en) * 2000-06-28 2006-01-31 Nec Corporation Stripping agent composition and method of stripping
US6554914B1 (en) * 2001-02-02 2003-04-29 Novellus Systems, Inc. Passivation of copper in dual damascene metalization
TW480619B (en) * 2001-04-17 2002-03-21 United Microelectronics Corp Cleaning method for dual damascene manufacture process
US6649517B2 (en) * 2001-05-18 2003-11-18 Chartered Semiconductor Manufacturing Ltd. Copper metal structure for the reduction of intra-metal capacitance
US6794292B2 (en) * 2001-07-16 2004-09-21 United Microelectronics Corp. Extrusion-free wet cleaning process for copper-dual damascene structures
US6605549B2 (en) * 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
JP2003129089A (ja) 2001-10-24 2003-05-08 Daikin Ind Ltd 洗浄用組成物
US6531382B1 (en) * 2002-05-08 2003-03-11 Taiwan Semiconductor Manufacturing Company Use of a capping layer to reduce particle evolution during sputter pre-clean procedures
US7063185B2 (en) * 2002-06-20 2006-06-20 Sherry Jean Green Support harness
JP4443864B2 (ja) * 2002-07-12 2010-03-31 株式会社ルネサステクノロジ レジストまたはエッチング残さ物除去用洗浄液および半導体装置の製造方法
KR100485388B1 (ko) * 2003-02-28 2005-04-27 삼성전자주식회사 트렌치 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
TW200505975A (en) * 2003-04-18 2005-02-16 Ekc Technology Inc Aqueous fluoride compositions for cleaning semiconductor devices
CN100442449C (zh) * 2003-05-02 2008-12-10 Ekc技术公司 半导体工艺中后蚀刻残留物的去除

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102006046364A1 (de) * 2006-09-29 2008-04-03 Advanced Micro Devices, Inc., Sunnyvale ARC-Schicht mit geringerer Neigung zum Ablösen und Verfahren zur Herstellung derselben
US7938973B2 (en) 2006-09-29 2011-05-10 Advanced Micro Devices, Inc. Arc layer having a reduced flaking tendency and a method of manufacturing the same
DE102008026133A1 (de) * 2008-05-30 2009-12-03 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Verringern der Metallunregelmäßigkeiten in komplexen Metallisierungssystemen von Halbleiterbauelementen
DE102008026133B4 (de) * 2008-05-30 2013-02-07 Advanced Micro Devices, Inc. Verfahren zum Verringern der Metallunregelmäßigkeiten in komplexen Metallisierungssystemen von Halbleiterbauelementen
DE102008049720A1 (de) * 2008-09-30 2010-05-27 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Passivieren freigelegter Kupferoberflächen in einer Metallisierungsschicht eines Halbleiterbauelements
US8110498B2 (en) 2008-09-30 2012-02-07 Advanced Micro Devices, Inc. Method for passivating exposed copper surfaces in a metallization layer of a semiconductor device
DE102008049720B4 (de) * 2008-09-30 2017-01-05 Advanced Micro Devices, Inc. Verfahren zum Passivieren freigelegter Kupferoberflächen in einer Metallisierungsschicht eines Halbleiterbauelements

Also Published As

Publication number Publication date
US7259091B2 (en) 2007-08-21
US20060024951A1 (en) 2006-02-02

Similar Documents

Publication Publication Date Title
DE102004037089A1 (de) Technik zur Herstellung einer Passivierungsschicht vor dem Abscheiden einer Barrierenschicht in einer Kupfermetallisierungsschicht
DE102008016425B4 (de) Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials
DE102008059650B4 (de) Verfahren zur Herstellung einer Mikrostruktur mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
DE69836114T2 (de) Kupferverdrahtung mit verbessertem Elektromigrationswiderstand und reduzierter Defektempfindlichkeit
DE102008021568B3 (de) Verfahren zum Reduzieren der Erosion einer Metalldeckschicht während einer Kontaktlochstrukturierung in Halbleiterbauelementen und Halbleiterbauelement mit einem schützenden Material zum Reduzieren der Erosion der Metalldeckschicht
DE102008049775B4 (de) Herstellungsverfahren einer Metalldeckschicht mit besserer Ätzwiderstandsfähigkeit für kupferbasierte Metallgebiete in Halbleiterbauelementen
DE102008016431B4 (de) Metalldeckschicht mit erhöhtem Elektrodenpotential für kupferbasierte Metallgebiete in Halbleiterbauelementen sowie Verfahren zu ihrer Herstellung
DE102007004860B4 (de) Verfahren zur Herstellung einer Kupfer-basierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein verbessertes Integrationsschema
DE102005046975A1 (de) Technik zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht
DE102004042169B4 (de) Technik zur Erhöhung des Füllvermögens in einem elektrochemischen Abscheideprozess durch Verrundung der Kanten und Gräben
DE102006053927A1 (de) Halbleiter-Bauteil und ein Verfahren zu seiner Herstellung
DE102009006798B4 (de) Verfahren zur Herstellung eines Metallisierungssystems eines Halbleiterbauelements unter Anwendung einer Hartmaske zum Definieren der Größe der Kontaktdurchführung
DE102009010844B4 (de) Bereitstellen eines verbesserten Elektromigrationsverhaltens und Verringern der Beeinträchtigung empfindlicher dielektrischer Materialien mit kleinem ε in Metallisierungssystemen von Halbleiterbauelementen
DE10244570A1 (de) Liner-Schicht mit geringer Stufenüberdeckung zur Verbesserung des Kontaktwiderstands bei W-Kontakten
DE102011002769A1 (de) Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102006056626A1 (de) Verfahren zum selektiven Herstellen einer leitenden Barrierenschicht durch ALD
DE102010063294B4 (de) Verfahren zur Herstellung von Metallisierungssystemen von Halbleiterbauelementen, die eine Kupfer/Silizium-Verbindung als ein Barrierenmaterial aufweisen
DE102010040071B4 (de) Verfahren zur Wiederherstellung von Oberflächeneigenschaften empfindlicher Dielektrika mit kleinem ε in Mikrostrukturbauelementen unter Anwendung einer in-situ-Oberflächenmodifizierung
DE102007009912B4 (de) Verfahren zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein fortschrittliches Integrationsschema
DE102005057061B3 (de) Verfahren zum Entfernen einer Passivierungsschicht vor dem Abscheiden einer Barrierenschicht in einer Kupfer-metallisierungsschicht
DE102008054068A1 (de) Veringern von Metallhohlräumen in einem metallischen Schichtstapel eines Halbleiterbauelements durch Vorsehen einer dielektrischen Barrierenschicht
DE102008044964B4 (de) Verringerung der Leckströme und des dielektrischen Durchschlags in dielektrischen Materialien von Metallisierungssystemen von Halbleiterbauelementen durch die Herstellung von Aussparungen
DE102004003863B4 (de) Technik zur Herstellung eingebetteter Metallleitungen mit einer erhöhten Widerstandsfähigkeit gegen durch Belastung hervorgerufenen Materialtransport
DE102008044988A1 (de) Verwenden einer Deckschicht in Metallisierungssystemen von Halbleiterbauelementen als CMP- und Ätzstoppschicht
DE10351005B4 (de) Barrierenschicht mit einer Titannitridbeschichtung für eine Kupfermetallisierungsschicht, die ein Dielektrikum mit kleinem ε aufweist

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
R002 Refusal decision in examination/registration proceedings
R003 Refusal decision now final