DE10351005B4 - Barrierenschicht mit einer Titannitridbeschichtung für eine Kupfermetallisierungsschicht, die ein Dielektrikum mit kleinem ε aufweist - Google Patents

Barrierenschicht mit einer Titannitridbeschichtung für eine Kupfermetallisierungsschicht, die ein Dielektrikum mit kleinem ε aufweist Download PDF

Info

Publication number
DE10351005B4
DE10351005B4 DE10351005A DE10351005A DE10351005B4 DE 10351005 B4 DE10351005 B4 DE 10351005B4 DE 10351005 A DE10351005 A DE 10351005A DE 10351005 A DE10351005 A DE 10351005A DE 10351005 B4 DE10351005 B4 DE 10351005B4
Authority
DE
Germany
Prior art keywords
barrier layer
layer
titanium nitride
metal
copper
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE10351005A
Other languages
English (en)
Other versions
DE10351005A1 (de
Inventor
Volker Kahlert
Michael Friedemann
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to DE10351005A priority Critical patent/DE10351005B4/de
Priority to US10/865,199 priority patent/US20050093155A1/en
Publication of DE10351005A1 publication Critical patent/DE10351005A1/de
Application granted granted Critical
Publication of DE10351005B4 publication Critical patent/DE10351005B4/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Halbleiterstruktur mit:
einem Kupfer aufweisenden Metallgebiet, das in einer dielektrischen Schicht angeordnet ist;
einer ersten Barrierenschicht mit Titannitrid, die zwischen der dielektrischen Schicht und dem Metallgebiet angeordnet ist, wobei die Dicke der ersten Barrierenschicht ungefähr 20 nm oder weniger beträgt; und
einer zweiten Barrierenschicht, die als Diffusionsbarriere für Kupfer dient und die zwischen der ersten Barrierenschicht und dem Metallgebiet angeordnet ist.

Description

  • GEBIET DER VORLIEGENDEN ERFINDUNG
  • Im Allgemeinen richtet sich die vorliegende Erfindung an die Herstellung integrierter Schaltungen und betrifft insbesondere die Herstellung von Metallisierungsschichten mit äußerst leitfähigen Metallen, etwa Kupfer, die in ein dielektrisches Material eingebettet sind, das eine geringe Permittivität aufweist, um damit die Bauteilleistungsfähigkeit zu verbessern.
  • BESCHREIBUNG DES STANDS DER TECHNIK
  • In einer integrierten Schaltung sind eine große Anzahl von Schaltungselementen, etwa Transistoren, Kondensatoren, Widerstände und dergleichen in oder auf einem geeigneten Substrat für gewöhnlich in einer im Wesentlichen planaren Konfiguration gebildet. Auf Grund der großen Anzahl von Schaltungselementen und des erforderlichen komplexen Verdrahtungsaufbaus der integrierten Schaltungen kann im Allgemeinen die elektrische Verbindung der einzelnen Schaltungselemente nicht in der gleichen Ebene realisiert werden, in der die Schaltungselemente hergestellt sind, sondern es sind eine oder mehrere zusätzliche „Verdrahtungs-"ebenen erforderlich, die auch als Metallisierungsschichten bezeichnet werden. Diese Metallisierungsschichten weisen im Allgemeinen metallenthaltende Leitungen auf, die die elektrische Verbindung innerhalb der Ebenen ermöglichen, und weisen ferner eine Vielzahl von Verbindungen zwischen den Ebenen auf, die auch als Kontaktdurchführungen bezeichnet werden und die mit einem geeigneten Metall gefüllt sind und die elektrische Verbindung zwischen benachbarten gestapelten Metallisierungsschichten ermöglichen, wobei die metallenthaltenen Leitungen und Kontaktdurchführungen einfach auch gemeinsam als Verbindung bezeichnet werden.
  • Auf Grund der anhaltenden Reduzierung der Strukturgrößen von Schaltungselementen in modernen integrierten Schaltungen steigt auch die Anzahl der Schaltungselemente pro vorgegebener Chipfläche, d. h. die Packungsdichte, ebenso an, wodurch ein noch größerer Zuwachs in der Anzahl der elektrischen Verbindungen erforderlich ist, um die gewünschte Schaltungsfunktionalität zu erreichen. Daher nimmt die Anzahl der gestapelten Metallisierungsschichten für gewöhnlich zu, wenn die Anzahl der Schaltungselemente pro Chipfläche größer wird. Die Herstellung mehrerer Metallisierungsschichten bringt jedoch äußerst herausfordernde Probleme mit sich, die zu lösen sind, etwa die mechanische, thermische und elektrische Zuverlässigkeit von bis zu 12 gestapelten Metallisierungsschichten, die in technisch hoch entwickelten Mikroprozessoren auf Aluminiumbasis verwendet werden. Die Halbleiterhersteller gehen jedoch zunehmend dazu über, das gut bekannte Metallisierungsmetall Aluminium durch ein Metall zu ersetzen, das höhere Stromdichten und damit eine Reduzierung der Abmessungen der Verbindungen ermöglicht. Beispielsweise ist Kupfer ein Metall, das im Allgemeinen als aussichtsreicher Kandidat für das Ersetzen von Aluminium auf Grund der überlegenen Eigenschaften hinsichtlich der höheren Widerstandsfähigkeit gegenüber Elektromigration und dem deutlich geringeren elektrischen Widerstand im Vergleich zu Aluminium betrachtet wird. Trotz dieser Vorteile zeigt Kupfer auch eine Anzahl von Nachteilen hinsichtlich der Bearbeitung und der Handhabung von Kupfer in einer Halbleiterfabrik. Beispielsweise kann Kupfer nicht effizient in größeren Mengen mittels gut etablierter Abscheideverfahren, etwa der chemischen Dampfabscheidung (CVD) auf ein Substrat aufgebracht werden und kann auch nicht in effizienter Weise durch typisch verwendete anisotrope Ätzprozeduren strukturiert werden. Daher wird bei der Herstellung von Metallisierungsschichten mit Kupfer die sogenannte Damaszener-Technik (Einzellagen- und Duallagentechnik) vorzugsweise angewendet, wobei eine dielektrische Schicht zunächst aufgebracht und dann strukturiert wird, so dass diese Gräben und Kontaktdurchführungen erhält, die nachfolgend mit Kupfer gefüllt werden. Ein weiterer wesentlicher Nachteil der Verwendung des Kupfers ist seine Fähigkeit, gut in vielen dielektrischen Materialien, etwa Siliziumdioxid, zu diffundieren, das wiederum ein gut etabliertes und bewährtes dielektrisches Material bei der Herstellung von integrierten Schaltungen ist.
  • Es ist daher notwendig, ein sogenanntes Barrierenmaterial in Verbindung mit einer Metallisierung auf Kupferbasis zu verwenden, um im Wesentlichen eine Diffusion von Kupfer in umgebendes dielektrisches Material zu vermeiden, da Kupfer dann leicht zu empfindlichen Halbleiterbereichen wandern kann, wodurch deren Eigenschaften deutlich geändert werden. Das zwischen dem Kupfer und dem dielektrischen Material vorgesehene Barrierenmaterial sollte zusätzlich zu den erforderlichen Barriereneigenschaften eine gute Haftung an dem dielektrischen Material sowie an dem Kupfer zeigen, um der Verbindung eine verbesserte mechanische Stabilität zu verleihen; es sollte ferner einen möglichst geringen elektrischen Widerstand aufweisen, um nicht unnötig die elektrischen Eigenschaften der Verbindung zu beeinträchtigen.
  • Mit der zunehmenden Reduzierung der Strukturgrößen von Schaltungselementen werden auch die Abmessungen der Verbindungen verringert, wodurch auch eine reduzierte Schichtdicke der Barrierenmaterialien in den Verbindungen erforderlich ist, um nicht unnötig wertvollen Platz für das eigentliche Metall zu verbrauchen, das eine wesentlich höhere Leitfähigkeit im Vergleich zu dem Barrierenmaterial aufweist. Daher sind komplexe Barrierentechniken erforderlich, um die Bauteilgrößenreduzierung weiterhin zu unterstützen, wobei die Anwendung von dielektrischen Materialien mit kleinem ε zu weiteren Randbedingungen für die Barrierenschicht führen, wie dies für eine typische Prozesstechnik für moderne integrierten Schaltungen auf Kupferbasis mit Bezug zu den 1a bis 1c nunmehr beschrieben wird.
  • 1a zeigt eine schematische Querschnittansicht einer Halbleiterstruktur 100 mit einem Substrat 101, beispielsweise einem Halbleitersubstrat, das eine Vielzahl von einzelnen Schaltungselementen (nicht gezeigt), etwa Transistoren, Widerstände, Kondensatoren und dergleichen, trägt. Das Substrat 101 repräsentiert ein beliebiges geeignetes Substrat mit oder ohne zusätzliche Schaltungselemente und kann insbesondere technisch moderne integrierte Halbleitersubstrate repräsentieren, die darin Schaltungselemente mit kritischen Strukturgrößen im Bereich weit unter 1 μm aufweisen. Eine erste dielektrische Schicht 102 ist über dem Substrat 101 ausgebildet und enthält ein leitendes Gebiet 104, beispielsweise ein Verbindungsstrukturelement mit einer Metallleitung 103, etwa einer Kupferleitung, und einer ersten Barrierenschicht 106, die aus Tantal aufgebaut ist, und einer zweiten Barrierenschicht 105, die aus Tantalnitrid aufgebaut ist. Die dielektrische Schicht 102 und das Verbindungsstrukturelement 104 können eine erste Metallisierungsschicht repräsentieren. Eine zweite dielektrische Schicht 107 mit einem dielektrischen Material mit kleiner Permittivität, wie es typischerweise zum Erreichen einer reduzierten parasitären Kapazität zwischen benachbarten Metallleitungen verwendet wird, ist über der ersten dielektrischen Schicht 102 ausgebildet und besitzt darin einen Graben 109 und eine Kontaktdurchführung 108, die eine Verbindung zu der Metallleitung 103 herstellt. Eine erste Barrierenschicht 110 ist an inneren Oberflächen der Kontaktdurchführung 108 und dem Graben 109 gebildet.
  • Ein typischer Prozessablauf zur Herstellung der Metallisierungsstruktur 100, wie sie in 1a gezeigt ist, kann die folgenden Schritte umfassen, wobei der Einfachheit halber lediglich die Herstellung der zweiten Metallisierungsschicht, d. h. der zweiten dielektrischen Schicht 107 und des darin zu bildenden Metallverbindungsstrukturelements, detaillierter beschrieben wird, da die Prozesse zur Herstellung des Verbindungsstrukturelements 104 in der ersten dielektrischen Schicht 102 im Wesentlichen die gleichen Prozessschritte enthalten kann. Nach dem Einebnen der dielektrischen Schicht 102 mit dem Verbindungsstrukturelement 104 wird die dielektrische Schicht 107 durch gut bekannte Abscheideverfahren, etwa plasmaunterstütztes CVD, Aufschleuder-Techniken, und dergleichen aufgebracht, wobei typischerweise eine Ätzstoppschicht (nicht gezeigt) vor der Bildung der zweiten dielektrischen Schicht 107 abgeschieden werden kann. Nachfolgend wird die dielektrische Schicht 107 durch gut bekannte Photolithographie- und anisotrope Ätztechniken strukturiert, wobei eine dazwischenliegende Ätzstoppschicht (nicht gezeigt) bei der Strukturierung des Grabens 109 verwendet werden kann. Es sollte betont werden, dass unterschiedliche Lösungsansätze bei der Herstellung des Grabens 109 und der Kontaktdurchführung 108 verwendet werden können, etwa ein sogenannter „Kontaktdurchführung-zuerst-Graben-zuletzt"-Ansatz, oder ein „Graben-zuerst-Kontaktdurchführung-zuletzt"-Ansatz wobei in der zuerst genannten Vorgehensweise die Kontaktdurchführung 108 vor der Herstellung des Grabens 109 mit Metall gefüllt werden kann. In dem vorliegenden Beispiel wird eine sogenannte duale Damaszener-Technik beschrieben, in der der Graben 109 und die Kontaktdurchführung 108 gleichzeitig mit Metall gefüllt werden. Nach der Herstellung der Kontaktdurchführung 108 und des Grabens 109 wird die erste Barrierenschicht 110, die beispielsweise aus Tantalnitrid aufgebaut ist, durch moderne physikalische Dampfabscheidungstechniken (PVD) oder ionisierte PVD (IPVD) Techniken für weniger kritische Anwendungen, d. h. für Bauteile, die eine Schichtdicke von 20 bis 50 nm erfordern, abgeschieden. Im Allgemeinen erfordert das Abscheiden der dünnen Barrierenschicht 110 typischerweise mit einer Dicke in dem oben genannten Bereich in zuverlässiger Weise über die gesamten inneren Oberflächen des Grabens 109 und der Kontaktdurchführung 108 hinweg, wobei insbesondere die Kontaktdurchführung 108 ein großes Aspektverhältnis aufweisen kann, modernste Sputter-Anlagen, die eine wirksame Steuerung der Richtungsabhängigkeit der Metall- bzw. Targetatome ermöglichen. Im Allgemeinen ist es wünschenswert, die Abscheideparameter so zu wählen, dass eine zuverlässige Bedeckung der Seitenwände und der Unterseitenflächen des Grabens 109 und der Kontaktdurchführung 108 bei einer minimalen Dicke der Schicht 110 erreicht wird, so dass lediglich ein minimaler Anteil an Platz durch die Schicht 110 „verbraucht" wird. Eine Zunahme der Dicke der Barrierenschicht 110 würde ansonsten die elektrische Leitfähigkeit der Verbindung, die mittels der Kontaktdurchführung 108 und dem Graben 109 herzustellen ist, beeinträchtigen, insbesondere, wenn die Strukturgrößen der Kontaktdurchführung 108 auf 0.2 μm und kleiner bemessen werden.
  • In sehr modernen Bauteilen, in denen eine Barrierenschichtdicke von ungefähr 10 nm oder sogar weniger erforderlich ist, bieten diese Verfahren unter Umständen die erforderliche Seitenwandabdeckung nicht, insbesondere auf Grund der Tatsache, dass viele der verwendeten dielektrischen Materialien mit kleinem ε eine poröse Struktur aufweisen, was daher zu der Ausbildung von Öffnungen an den Seitenwänden der Kontaktdurchführung 108 und an den Seitenwänden und der Unterseite des Grabens 109 führen kann. Die somit entstehende „Topographie" muss daher auch zuverlässig von der Barrierenschicht 110 bedeckt werden. Die modernen Sputter-Technologien, die typischerweise für Barrierenschichten auf Tantalbasis verwendet werden, können daher unter Umständen nicht mit der gewünschten Effizienz angewendet werden, da diese Verfahren von Natur aus äußerst richtungsgebunden sind und daher nicht die Fähigkeit bieten, in effizienter Weise Hohlräume an Seitenwänden der Kontaktdurchführung 108 aufzufüllen, ohne dass dazu eine ungebührlich große Gesamtschichtdicke erforderlich wäre. Da CVD-Prozesse, die für sich eine ausgezeichnete Stufenbedeckung im Vergleich zur PVD-Abscheidung aufweisen, nicht für einen akzeptablen Temperaturbereich für Schichten auf Tantalbasis verfügbar sind, wurde eine Atomlagenabscheidung (ALD) für Tantalnitrid entwickelt, um somit äußerst dünne Barrierenschichten in der Größenordnung von 2 nm mit der erforderlichen Bedeckung der Seitenwände der Kontaktdurchführung bereitzustellen. Somit kann in äußerst größenreduzierten Halbleiterbauteilen die Barrierenschicht 110 typischerweise durch ALD mit einer Dicke von beispielsweise 5 nm und weniger hergestellt werden.
  • 1b zeigt schematisch die Halbleiterstruktur 100 mit einer Kupfersaatschicht 112, die auf der Struktur 100 ausgebildet ist, und mit dem Graben 109 und der Kontaktdurchführung 108. Wie zuvor dargelegt ist, kann die Kupfersaatschicht 112 durch Sputter-Abscheidung aufgebracht werden. Das Vorsehen der Kupfersaatschicht 112 kann vorteilhaft sein in Hinblick auf die Kristallstruktur des nachfolgend elektrochemisch abgeschiedenen Kupferhauptanteils im Vergleich zu einem direkten Aufbringen des Kupfers auf die Barrierenschicht 110. Die Tantalnitridbarrierenschicht 110 zeigt, wenn diese durch ALD aufgebracht wurde, obwohl dadurch die gewünschte Bedeckung und Schichtdicke erreicht wird, eine deutlich reduzierte Benetzbarkeit für die Kupfersaatschicht 112 im Vergleich zu einer durch Sputter-Abscheidung aufgebrachten Tantalnitridschicht. Als Folge davon können Bereiche oder Defekte 111 entstehen, beispielsweise an kritischen Stellen innerhalb der Kontaktdurchführung 108, mit einer verringerten Saatschichtdicke, wodurch die nachfolgende Abscheidung des Kupfers auf die Halbleiterstruktur 100 durch beispielsweise Elektroplattieren nachteilig beeinflusst wird.
  • 1c zeigt schematisch die Halbleiterstruktur 100 nach dem Ende der Kupferabscheidung und dem nachfolgenden Entfernen von überschüssigen Kupfer durch beispielsweise chemisch-mechanisches Polieren (CMP). Kupfer 113 ist in den Graben 109 und die Kontaktdurchführung 108 eingefüllt, wobei die Bereiche 111 mit nicht ausreichend abgeschiedenen Material der Kupfersaatschicht zu Unregelmäßigkeiten in dem abgeschiedenen Kupfer führen können, wodurch die Leitfähigkeit und/oder die Zuverlässigkeit der Kontaktdurchführung 108 beeinträchtigt wird.
  • Die Patentschrift US 6 146 517 A offenbart integrierte Schaltungen mit Kupferverbindungsleitungen mit reduzierter spannungsinduzierter Migration. Vor dem Kupfer kann eine Schicht aus Titannitrid mittels CVD abgeschieden werden. Zusätzlich zu der Titannitridschicht kann eine (zuvor oder nachfolgend) abgeschiedene Tantalschicht eingesetzt werden. Die Titannitridschicht kann eine Dicke zwischen 25 bis 200 Angstrom aufweisen und besitzt typischerweise eine Dicke von mindestens 50 Angstrom.
  • Die Patentanmeldung EP 1 351 291 A2 offenbart eine kupferdotierte Übergangsschicht zur Reduzierung der Elektromigration in Kupferverbindungsleitungen. Die kupferdotierte Übergangsschicht wird auf einer Barrierenschicht, die z. B. Titannitrid aufweisen kann, gebildet. Die Lebensdauer der Kupferleitungen ist höher, wenn der elektrische Widerstand der Barrierenschicht geringer ist. Deshalb werden vorzugsweise Tantalschichten oder Tantal/Tantalnitrid-Schichtstapel eingesetzt. Die Barrierenschichten können eine Dicke von 1 bis 50 nm aufweisen.
  • Angesicht der oben erkannten Probleme besteht daher ein Bedarf für eine verbesserte Barrierenschicht, die das Herstellen einer zuverlässigeren Metallverbindungsstruktur, insbesondere von Kupferverbindungsstrukturen, in stark größenreduzierten Halbleiterbauelementen ermöglicht.
  • ÜBERBLICK ÜBER DIE ERFINDUNG
  • Im Allgemeinen richtet sich die vorliegende Erfindung an eine Technik zum Herstellen einer leitenden Barrierenschicht für ein Verbindungsstrukturelement, die eine verbesserte Benetzbarkeit und Abdeckung für ein nachfolgend abgeschiedenes Metall, etwa Kupfer, bietet, wobei gleichzeitig ein hoher Durchsatz gewährleistet ist, und wobei momentan verfügbare Abscheideanlagen in effizienter Weise verwendet werden können. Dazu wird eine dünne Titannitridbeschichtung konform mittels CVD abgeschieden, die zuverlässig Seitenwände von Kontaktdurchführungen und Gräben abdeckt, selbst wenn diese Schicht in Materialien mit kleinem ε und porösen Materialien gebildet wird, wobei die Beschichtung dann als eine wirksame Benetzungsschicht für ein nachfolgendes Material, etwa eine Barrierenschicht auf Tantalbasis oder ein Metall zur Herstellung von Metallleitungen und Kontakten, dienen kann.
  • Die Aufgabe der vorliegenden Erfindung wird durch die Vorrichtung nach Anspruch 1 und das Verfahren nach Anspruch 10 gelöst.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Weitere Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird; es zeigen:
  • 1a bis 1c schematisch Querschnittsansichten einer Halbleiterstruktur mit einem Verbindungsstrukturelement, das in einem dielektrischen Material mit kleinem ε gebildet ist, wobei Unregelmäßigkeiten im Metall durch das Vorsehen einer Barrierenschicht mittels ALD gemäß einem konventionellen Herstellungsverfahren erzeugt werden können; und
  • 2a bis 2d schematisch Querschnittsansichten einer Halbleiterstruktur mit einem Verbindungsstrukturelement, in der eine Barrierenschicht auf der Grundlage einer Titannitridbeschichtung gebildet wird, die in einer äußerst konformen Weise an den Seitenwänden einer Kontaktdurchführung und von Gräben gemäß anschaulicher Ausführungsformen der vorliegenden Erfindung abgeschieden wird.
  • DETAILLIERTE BESCHREIBUNG
  • Wie zuvor erläutert ist, kann die reduzierte Benetzbarkeit von äußerst konform durch ALD-Verfahren abgeschiedener Barrierenschichten auf der Grundlage von Tantal und/oder Tantalnitrid, wie sie typischerweise für äußerst größenreduzierte Halbleiterbauelemente mit Kontaktdurchführungen von Verbindungselementen mit 0.1 μm Durchmesser und weniger verwendet werden, zu einem verschlechterten Leistungsverhalten und/oder zu einer reduzieren Zuverlässigkeit auf Grund der Unregelmäßigkeiten in der Metallstruktur führen. Die vorliegende Erfindung stützt sich auf die Idee, gegenwärtig gut etablierte und bewährte Prozesstechniken, etwa die Sputter-Abscheidung von Tantal und Tantalnitrid, beizubehalten, wobei dennoch die Möglichkeit geboten wird, äußerst dünne Barrierenschichten herzustellen, wie sie für moderne Halbleiterbauelemente erforderlich sind. Dazu wird eine äußerst dünne Titannitridbeschichtung vor dem eigentlichen gewünschten Barrierenmaterial vorgesehen, das in momentan angewendeten auf Kupfer basierenden Prozesssequenzen Tantal und/oder Tantalnitrid ist, wobei die Titannitridbeschichtung, die durch bewährte CVD-Verfahren abgeschieden wird, als eine Benetzungsschicht für das nachfolgend abgeschiedene Barrierenmaterial und/oder für das nachfolgend abgeschiedene Metall dient. Titannitrid mit einer Dicke von mehreren zig Nanometern (z. B. 50 bis 100 nm) wird intensiv als ein Barrierenmaterial für Aluminium und Kupfer und andere Materialien auf Grund der diffusionsbehindernden Eigenschaften verwendet. Um eine äußerst konforme Titannitridschicht herzustellen, ist die CVD-Technik das bevorzugte Verfahren, wobei Titannitrid bei relativ geringen Temperaturen, beispielsweise im Bereich von 350–450°C, aus organo-metallischen Vorstufenelementen, etwa Tetrakis-(Dimethylamido) Titan (TDMAT) oder aus Tetrakis-(Diethylamido) Titan (TDEAT) abgeschieden werden kann. Die Abscheidung mit diesen Vorstufenelementen führt jedoch zu einem relativ hohen Widerstand der Titannitridschicht auf Grund eines hohen Anteils an Verunreinigungen, hauptsächlich Kohlenstoff, die in die Titannitridschicht eingebaut werden. Aus diesem Grunde wird eine Plasmabehandlung auf der Grundlage von Stickstoff oder Ammoniak typischerweise durchgeführt, um damit in effizienter Weise die Kontaminationsstoffe zu entfernen, wodurch die Leitfähigkeit der Titannitridschicht verbessert wird. Auf Grund der Plasmabehandlung kann die Dicke der Titannitridschicht um ungefähr 40% der Dicke nach dem Abscheiden reduziert werden, wobei die Dickenverringerung im Wesentlichen an horizontalen Oberflächenbereichen auftritt, etwa der Unterseite von Kontaktdurchführungen und Gräben, da die Plasmabehandlung ein im Wesentlichen richtungsabhängiger Prozess ist. Da das Entfernen von Kontaminationsstoffen und die Dickenreduzierung an Seitenwänden an Kontaktdurchführungen deutlich weniger effizient ist, ist diese konventionelle Vorgehensweise wenig wünschenswert für äußerst größenreduzierte Bauteile, die äußerst leitfähige und dünne Barrierenschichten an den Seitenwänden von Kontaktdurchführungen mit großem Aspektverhältnis erfordern.
  • Anders als bei dem konventionellen Titannitridabscheidverfahren beruht die vorliegende Erfindung auf dem Konzept, eine äußerst dünne aber äußerst konforme Titannitridbeschichtung vorzusehen, die sogar beliebige Hohlräume, die in porösen Materialien innerhalb von Gräben und Kontaktdurchführungen ausgebildet sind, zuverlässig abdecken kann und die dann ein gewünschtes Barrierenmaterial aufnimmt, etwa Tantal und/oder Tantalnitrid in einer Form, die ein effizientes Abscheiden des nachfolgenden Metalls, etwa des Kupfers, ermöglicht.
  • Mit Bezug zu den 2a bis 2d werden nunmehr weitere anschauliche Ausführungsformen der vorliegenden Erfindung detaillierter beschrieben.
  • 2a zeigt schematisch eine Querschnittsansicht einer Halbleiterstruktur 200 mit einem Verbindungsstrukturelement 250, das durch einen Graben 209 und eine Kontaktdurchführung 208 repräsentiert sein kann. Das Verbindungsstrukturelement 250 ist in einer Schicht aus dielektrischem Material 207 gebildet, das in speziellen Ausführungsformen ein dielektrisches Material mit kleinem ε aufweist. In diesem Zusammenhang kann ein dielektrisches Material als ein Material mit kleiner dielektrischer Konstante ε betrachtet werden, wenn deren Wert 3.0 oder weniger beträgt. Zu typischen dielektrischen Materialien mit kleinem ε können gehören SiCOH, HSQ, MSQ, und andere organische Polymermaterialien. Typischerweise können einige oder alle dieser Materialien in einer im Wesentlichen porösen Struktur bereitgestellt werden, so dass Hohlräume 211 in dem Graben 209 und in der Kontaktdurchführung 208, beispielsweise an deren Seitenwänden 208a bzw. 209a, gebildet sein können. Es sollte beachtet werden, dass die vorliegende Erfindung besonders vorteilhaft ist im Zusammenhang mit dielektrischen Materialien mit kleinem ε und insbesondere im Zusammenhang mit porösen dielektrischen Materialien mit kleinem ε, wobei jedoch die vorliegende Erfindung auf ein beliebiges dielektrisches Material, etwa Siliziumdioxid, Siliziumnitrid und dergleichen angewendet werden kann, wenn dies geeignet erscheint. Die dielektrische Schicht 207 ist über einem Substrat 201 gebildet, das ein beliebiges geeignetes Substrat sein kann, das weitere Schaltungselemente, etwa Transistoren und dergleichen, trägt, die der Einfachheit halber in 2a nicht gezeigt sind. Das Substrat 201 weist ein leitendes Gebiet 204 auf, das darauf gebildet ist und das in einer dielektrischen Schicht 202 angeordnet ist, wobei das leitende Gebiet 204 ein Verbindungsstrukturelement einer tiefer liegenden Metallisierungsschicht repräsentieren kann oder ein Kontaktgebiet eines Schaltungselements, etwa eines Transistors und dergleichen, repräsentieren kann. D. h. also, die Kontaktdurchführung 208 ist mit ihrem unteren Bereich 208b mit dem leitendem Gebiet 204 verbunden, um damit nach der Vollendung des Verbindungsstrukturelements 250 eine elektrische Verbindung von dem leitenden Gebiet 204 zu dem Graben 209 herzustellen. Es sollte beachtet werden, dass das Verbindungsstrukturelement 250 lediglich anschaulicher Natur ist und die vorliegende Erfindung in einfacher Weise auf andere Konfigurationen von Verbindungsstrukturelementen, etwa einzelne Kontaktdurchführungen oder einzelne Gräben und dergleichen, anwendbar ist.
  • Ein typischer Prozessablauf zur Herstellung der Halbleiterstruktur 200, wie sie in 2a gezeigt ist, kann die folgenden Prozesse umfassen. Nach dem Herstellen des leitenden Gebiets 204 und der dielektrischen Schicht 202 auf dem Substrat 201, was durch gut etablierte Prozessverfahren bewerkstelligt werden kann, wird die dielektrische Schicht 207 beispielsweise durch chemische Dampfabscheide- und/oder Aufschleuderverfahren in ähnlicher Weise aufgebracht, wie dies bereits detailliert mit Bezug zu 1a erläutert ist. Danach wird das Verbindungsstrukturelement 250 durch fortschrittliche Lithographie- und modernste Ätzverfahren strukturiert, wie dies auch mit Bezug zu 1a beschrieben ist. Anschließend wird die Titannitridbeschichtung 210 mittels chemischer Dampfabscheidung gebildet, wobei Prozessparameter so gesteuert werden, um eine Dicke 210a der Titannitridbeschichtung 210 auf einen Wert einzustellen, wie dieser durch die Entwurfsspezifikationen gefordert wird. In speziellen Ausführungsformen wird die Dicke 210a auf ungefähr 2 nm oder weniger eingestellt und in einer speziellen Ausführungsform wird die Dicke 210a auf ungefähr 1,5 nm oder weniger gebracht. In einer anschaulichen Ausführungsform wird die Dicke 210a innerhalb eines Bereiches von ungefähr 1 bis 1,5 nm eingestellt. Die chemische Dampfabscheidung kann mittels den oben spezifizierten Vorstufenmaterialien in einer beliebigen geeigneten Abscheideanlagen ausgeführt werden, wie sie gegenwärtig für die Halbleiterherstellung verfügbar sind. Auf Grund der isotropen Natur der Materialabscheidung während des CVD-Prozesses werden die Hohlräume 211 zuverlässig durch die Beschichtung 210 selbst an den Seitenwänden 208a der Kontaktdurchführung 208 bedeckt, wodurch ein effizienter diffusionsbehindernder Effekt gewährleistet wird, selbst wenn ein eigentlich gewünschtes Barrierenmaterial, das auf der Titannitridbeschichtung 210 abzuscheiden ist, keine so hohe Stufenbedeckung zeigt, wie dies zum vollständigen Auffüllen oder Abdecken der Hohlräume 211 erforderlich wäre, wenn die Titannitridbeschichtung 210 nicht vorgesehen wäre, wie dies in dem konventionellen Verfahren der Fall ist.
  • Wie zuvor erläutert ist, kann die durch CVD abgeschiedene Titannitridbeschichtung 210 einen erhöhten Widerstand auf Grund des Einbaus von Kontaminationsstoffen, etwa von Kohlenstoff und dergleichen, aufweisen. Daher kann die Beschichtung 210 in ihrer Dicke reduziert oder im Wesentlichen vollständig von dem unteren Bereich 208b entfernt werden, wenn der erhöhte Widerstand als ungeeignet erachtet wird. In anderen Ausführungsformen kann es auf Grund der äußerst geringen Dicke der Beschichtung 210 akzeptabel sein, die Beschichtung 210 an der Unterseite 208b im Wesentlichen beizubehalten. In anderen anschaulichen Ausführungsformen kann eine Plasmabehandlung in einer Stickstoff- oder Ammoniakatmosphäre ausgeführt werden, wobei, wie zuvor erläutert ist, und zudem auf Grund der im Wesentlichen gerichteten Natur der Plasmabehandlung, im Wesentlichen horizontale Bereiche, etwa die Beschichtung an der Unterseite 208b, behandelt werden, wobei die Dicke der Beschichtung 210 – sowie der Anteil der darin enthaltenen Kontaminationsstoffe – ebenso deutlich reduziert wird.
  • In einer speziellen Ausführungsform wird die Plasmabehandlung weggelassen und eine Dickenreduzierung der Beschichtung 210 an der Unterseite 208b wird vor oder während des Abscheidens eines zweiten Barrierenmaterials erreicht, wie dies mit Bezug zu 2b beschrieben ist. Durch Weglassen der Plasmabehandlung für die Titannitridbeschichtung 210 kann der Durchsatz und die Anlagenausnutzung der CVD-Abscheideanlage erhöht werden. Beispielsweise kann eine Abscheidesequenz durch Entgasen des Substrats 201 bei einer Temperatur von ungefähr 300°C für eine Zeitdauer von ungefähr 60 Sekunden ausgeführt werden. Danach wird die Abscheidung bei einer Temperatur in einem Bereich von ungefähr 350 bis 400°C ausgeführt, wobei die Dicke 210a im Bereich von 1 bis 1,5 nm zu einem Durchsatz von ungefähr 40 bis 60 Substraten pro Stunde führt.
  • 2b zeigt schematisch die Halbleiterstruktur 200, wobei die Beschichtung 210 im Wesentlichen von dem unteren Bereich 208b der Kontaktdurchführung 208 entfernt ist. Dazu kann die Halbleiterstruktur 200 in eine Sputter-Abscheideanlage eingeführt und einem stark gerichteten Ionenbeschuss 220 ausgesetzt werden, um Titannitrid durch Abspalten von Titan und Stickstoffatomen von dem unteren Bereich 208b zu entfernen und das Titannitrid oder Titan auf die Seitenwände 208a umzuverteilen. Es sollte beachtet werden, dass der Materialabtrag des Titannitrids im Wesentlichen auf den unteren Bereich 208b beschränkt werden kann, indem die Richtung des Ionenbeschusses 220 entsprechend eingestellt wird, wodurch die Dicke 210a an der Unterseite des Grabens 209 im Wesentlichen bewahrt bleibt, da das von der Grabenunterseite abgespaltene Material im Wesentlichen sofort auf die benachbarten horizontalen Bereiche umverteilt wird, wodurch im Wesentlichen eine Nettomaterialreduzierung in dem Graben 209 vermieden wird. Der Ionenbeschuss 220 kann vor dem Abscheiden eines weiteren Barrierenmaterials, etwa von Tantal oder Tantalnitrid ausgeführt werden, wohingegen in anderen Ausführungsformen der Materialabtrag der Beschichtung 210 an der Unterseite 208b durch beispielsweise einen anfänglichen Anteil Ionenbeschuss vor oder während einer Anfangsphase zum Abscheiden von Tantal oder Tantalnitrid oder eine Kombination aus Tantal oder Tantalnitrid bewirkt werden kann. Dazu wird die Vorspannung zwischen der ionisierenden Sputter-Atmosphäre und Substrat 201 in geeigneter Weise ausgewählt. Entsprechende Anlageneinstellungen sind für das Zurücksputtern von Tantalnitrid, wie es später beschrieben wird, gut etabliert, oder entsprechende Anlageneinstellungen können in einfacher Weise auf der Grundlage momentan verfügbarer Sputterrezepte ermittelt werden.
  • 2c zeigt schematisch die Halbleiterstruktur 200, auf der eine Barrierenschicht 212 mit einem zweiten Barrierenmaterial gebildet ist. In einer speziellen Ausführungsform weist die Barrierenschicht 212 Tantal oder Tantalnitrid oder eine Kombination aus Tantal und Tantalnitrid auf. In anderen Ausführungsformen kann die Barrierenschicht 212 ein beliebiges anderes geeignetes Material, etwa Titan, oder andere Materialzusammensetzungen, die zum Erreichen der erforderlichen Barrieren- und Hafteigenschaften für das abzuscheidende Material als geeignet erachtet werden. Wie zuvor erläutert ist, kann das Abscheiden des Barrierenmaterials 212 wirksam durch die Benetzungseigenschaften der darunter liegenden Titannitridbeschichtung 210 gefördert werden, wobei die Anforderungen hinsichtlich des Maßes an Konformität der Barrierenschicht 212 deutlich reduziert sind, da die Titannitridbeschichtung 210 zuverlässig alle Oberflächen der dielektrischen Schicht 207, selbst innerhalb der Hohlräume 211, wenn die dielektrische Schicht 207 poröses Material aufweist, bedeckt. Somit kann Tantal und/oder Tantalnitrid vorteilhafterweise durch das Sputter-Abscheideverfahren aufgebracht werden, wodurch die gewünschte Benetzbarkeit in Bezug auf einen nachfolgenden Abscheideschritt zur Herstellung einer Kupfersaatschicht erreicht wird. Obwohl das Bereitstellen der Titannitridbeschichtung 210 insbesondere vorteilhaft ist in Verbindung mit einer nachfolgenden Sputter-Abscheidung eines Barrierenmaterials, das Tantal enthält, kann die vorteilhafte Wirkung der Titannitridbeschichtung 210 auch für andere Materialien und andere Abscheidetechniken genutzt werden, die mit dem nachfolgenden Auffüllen eines Metalls, etwa Kupfer, verträglich sind. Beispielsweise können in künftigen Bauteilgenerationen andere komplexe Barrieren materialzusammensetzungen das Abscheiden mehrerer unterschiedlicher Materialschichten erfordern, wobei eine oder mehrere dieser Schichten durch moderne CVD- oder ALD-Techniken aufgebracht werden können, sofern geeignete Vorstufenmaterialien verfügbar sind. Auch in diesen Fällen kann die Titannitridbeschichtung 210 als eine zuverlässige Benetzungsschicht dienen, die effizient in einer erforderlichen geringen Dicke abgeschieden werden kann.
  • In einer weiteren Ausführungsform kann die Barrierenschicht 212 durch ionisierte physikalische Dampfabscheidung unter Ausnutzung gut etablierter Prozessrezepte hergestellt werden, wie dies durch das Bezugszeichen 221 gekennzeichnet ist, wobei während der Tantal- und/oder Tantalnitridabscheidung die Prozessparameter so eingestellt werden, um eine gewünschte Dicke 212a an der Unterseite 208b zu erreichen. Beispielsweise kann der Anteil an ionisierten Tantalatomen im Vergleich zu ionisierten Trägergasatomen, etwa Argon, verringert werden, oder das Sputter-Target kann im Wesentlichen ohne Spannung betrieben werden, so dass ein durch Argon hervorgerufenes Zurücksputtern an der Unterseite 208b auftritt, wodurch Material von der Unterseite auf die Seitenwände der Kontaktdurchführung 208 umverteilt wird. In ähnlicher Weise kann die Dicke 210a der Titannitridbeschichtung 210 vor oder während einer Anfangsphase des Ionenbeschusses 221 reduziert werden, wodurch die Leitfähigkeit der Kontaktdurchführung 208 nach dem Auffüllen mit einem Metall verbessert wird.
  • Während der Umverteilung von Material der Barrierenschicht 212 an der Unterseite 208b der Kontaktdurchführung bleibt in ähnlicher Weise, wie dies zuvor in Bezug auf die Materialumverteilung der Titannitridbeschichtung 210 in dem Graben 209 erläutert ist, die Dicke an der Grabenunterseite im Wesentlichen von dem durch das Argon bewirkten Zurücksputterung an der Unterseite 208b der Kontaktdurchführung unbeeinflusst. Danach kann die Halbleiterstruktur 200 für die Aufnahme eines in das Verbindungsstrukturelement 250 einzufüllende Metall vorbereitet werden. In einer speziellen Ausführungsform weist das Metall Kupfer auf und gemäß gut etablierter Prozessstrategien wird eine Kupfersaatschicht 214 vor dem Einfüllen des Hauptanteils des Kupfers durch elektrochemische Abscheideverfahren, etwa stromloses Plattieren oder Elektroplattieren, aufgebracht. Somit wird in einer Ausführungsform eine Kupfersaatschicht auf der Barrierenschicht 212 durch beispielsweise ionisierte physikalische Dampfabscheidung gebildet, wobei im Gegensatz zu der konventionellen ALD-Barrierenschicht eine ausgezeichnete Stufenbedeckung der Kupfersaatschicht innerhalb des Verbindungsstrukturelements 250 auf Grund der besseren Benetzungseigenschaften der durch Sputterung abgeschiedenen Barrierenschicht 212 erreicht wird. Beispielsweise kann die zuvor beschriebene Prozesssequenz mit der Sputter-Abscheidung der Kupfersaatschicht in einer Mehrfachprozessanlage ausgeführt werden, wobei auf Grund des äußerst effizienten Aufbringens der Titannitridbeschichtung 210, gefolgt von der Sputterabscheidung der tantalenthaltenden Barrierenschicht 212, ein deutlich höherer Durchsatz erreicht wird im Vergleich zu einer Abscheidesequenz, in der ein ALD-Prozess zum Bereitstellen einer Barrierenschicht auf Tantalbasis erforderlich ist. Die Dicke der Kupfersaatschicht kann entsprechend den Prozesserfordernissen ausgewählt werden und kann in einem Bereich von ungefähr 3 bis 10 nm liegen, abhängig von den Abmessungen des Verbindungsstrukturelements 250.
  • In anderen Ausführungsformen kann eine Kupfersaatschicht durch stromloses Abscheiden aufgebracht werden, wobei während des Abscheidens der Barrierenschicht 212 ein katalytisches Material, etwa Platin, Palladium, Kobalt, Kupfer und dergleichen, mit eingebaut wird, um die elektrochemische Reaktion zur Bildung einer Kupfersaatschicht zu bewirken. Da ein katalytisches Material nicht notwendigerweise die Gesamtheit der inneren Oberflächen des Verbindungsstrukturelements 250 bedecken muss, ist typischerweise ein relativ geringer Anteil an katalytischem Material ausreichend, um das gewünschte katalytische Verhalten zu erreichen. Danach kann das Verbindungsstrukturelement 250 mit dem Metall, beispielsweise mit Kupfer, gefüllt werden und überschüssiges Material wird dann nachfolgend durch Ätzen und/oder chemisch-mechanisches Polieren entfernt, wie dies auch mit Bezug zu 1c beschrieben ist.
  • 2d zeigt schematisch die Halbleiterstruktur 200 nach Abschluss der oben beschriebenen Prozesssequenz. Somit umfasst die Halbleiterstruktur 200 das Verbindungsstrukturelement 250 mit einer Kupfersaatschicht 214 mit geeigneter Dicke und einem Metallgebiet 213, beispielsweise einem Kupfergebiet, das den Graben 209 und die Kontaktdurchführung 208 vollständig füllt, wobei die Bildung von Unregelmäßigkeiten, die durch die reduzierten Benetzbarkeitseigenschaften einer ALD-Barrierenschicht auf Tantalbasis hervorgerufen werden können, deutlich unterdrückt ist. In einem tatsächlichen Bauteil kann die Kupfersaatschicht 214 mit dem in dem Graben ausgebildeten Material verbunden sein. Daher muss die Kupfersaatschicht 214 nicht als unterscheidbare separate Schicht auftreten, wie dies in den Zeichnungen dargestellt ist.
  • Es gilt also: die vorliegende Erfindung stellt eine verbesserte Prozesstechnologie zur Ausbildung einer wirksamen aber äußerst dünnen Barrierenschicht für die 90 nm-Technologie, die 65 nm-Technologie und für Technologien darunter bereit, wobei eine äußerst dünne Titannitridbeschichtung konform durch chemische Dampfabscheidung aufgebracht wird, wobei möglicherweise keine Plasmabehandlung erforderlich ist, wodurch eine Oberfläche bereitgestellt wird, die eine verbesserte Benetzbarkeit für ein nachfolgend abgeschiedenes Barrierenmaterial aufweist. Folglich können bewährte Sputter-Abscheidetechniken zum Aufbringen einer Barrierenschicht auf der Grundlage von Tantal und/oder Tantalnitrid erfolgreich verwendet werden, wodurch ein deutlich höherer Durchsatz ermöglicht wird, im Vergleich zu der konventionellen Vorgehensweise mit der Verwendung der Technik des Abscheidens atomarer Monoschichten. Ferner kann die Prozesssequenz zur Herstellung eines Verbindungsstrukturelements mit der dünnen CVD-Titannitridbeschichtung in einfacher Weise in die Prozesssequenz mit Cluster-Anlagen integriert werden und kann daher wirksam in die verfügbare Anlagenausstattung bestehender Halbleiterproduktionslinien implementiert werden.

Claims (20)

  1. Halbleiterstruktur mit: einem Kupfer aufweisenden Metallgebiet, das in einer dielektrischen Schicht angeordnet ist; einer ersten Barrierenschicht mit Titannitrid, die zwischen der dielektrischen Schicht und dem Metallgebiet angeordnet ist, wobei die Dicke der ersten Barrierenschicht ungefähr 20 nm oder weniger beträgt; und einer zweiten Barrierenschicht, die als Diffusionsbarriere für Kupfer dient und die zwischen der ersten Barrierenschicht und dem Metallgebiet angeordnet ist.
  2. Halbleiterstruktur nach Anspruch 1, wobei die Dicke der ersten Barrierenschicht ungefähr 1,5 nm oder weniger beträgt.
  3. Halbleiterstruktur nach Anspruch 1, wobei die dielektrische Schicht eine relative Permittivität von ungefähr 3 oder weniger aufweist.
  4. Halbleiterstruktur nach Anspruch 3, wobei die dielektrische Schicht ein poröses Material aufweist.
  5. Halbleiterstruktur nach Anspruch 1, die ferner ein erstes leitendes Gebiet und ein zweites leitendes Gebiet, das über dem ersten leitenden Gebiet gebildet ist, aufweist, wobei das Metallgebiet das erste und das zweite leitende Gebiet verbindet.
  6. Halbleiterstruktur nach Anspruch 1, die ferner ein Kontaktgebiet aufweist, das mit einem unteren Bereich des Metallgebiets in Kontakt ist.
  7. Halbleiterstruktur nach Anspruch 6, wobei der untere Bereich des Metallgebiets im Wesentlichen kein Metall der ersten Barrierenschicht aufweist.
  8. Halbleiterstruktur nach Anspruch 1, wobei die zweite Barrierenschicht Tantal aufweist.
  9. Halbleiterstruktur nach Anspruch 1, wobei die zweite Barrierenschicht Tantalnitrid aufweist.
  10. Verfahren mit: Abscheiden einer Titannitridbeschichtung über einer dielektrischen Schicht mit einer darin gebildeten Öffnung für ein Verbindungsstrukturelement, wobei die Titannitridbeschichtung mit einer Dicke von ungefähr 2 nm oder weniger abgeschieden wird; Bilden einer Barrierenschicht, die als Diffusionsbarriere für Kupfer dient, auf der abgeschiedenen Titannitridbeschichtung in der Öffnung; und Füllen der Öffnung mit einem Kupfer aufweisenden Metall.
  11. Verfahren nach Anspruch 10, wobei die Titannitridbeschichtung mit einer Dicke von ungefähr 1,5 nm oder weniger abgeschieden wird.
  12. Verfahren nach Anspruch 10, wobei die Titannitridbeschichtung durch einen chemischen Dampfabscheideprozess gebildet wird.
  13. Verfahren nach Anspruch 10, wobei Bilden der Barrierenschicht das Abscheiden der Barrierenschicht durch physikalische Dampfabscheidung oder Atomschichtabscheidung umfasst.
  14. Verfahren nach Anspruch 13, wobei die Barrierenschicht Tantal oder Tantalnitrid aufweist.
  15. Verfahren nach Anspruch 10, das ferner Entfernen von Titannitrid von einer Unterseite der Öffnung vor oder während der Herstellung der Barrierenschicht umfasst.
  16. Verfahren nach Anspruch 10, wobei Füllen der Öffnung mit einem Metall umfasst: Bilden einer ersten Metallschicht mittels CVD und/oder PVD und/oder elektrochemischer Abscheidung; und Einfüllen des Metalls, wobei die erste Metallschicht als eine Benetzungsschicht dient.
  17. Verfahren nach Anspruch 10, wobei die Öffnung in einem dielektrischen Material mit kleinem ε gebildet ist.
  18. Verfahren nach Anspruch 17, wobei das dielektrische Material mit kleinem ε ein poröses Material umfasst.
  19. Verfahren nach Anspruch 10, wobei das Bilden der Barrierenschicht das Abscheiden einer tantalenthaltenden Barrierenschicht durch ionisierte Sputter-Abscheidung umfasst.
  20. Verfahren nach Anspruch 19, wobei Titannitrid von einer Unterseite der Öffnung während der ionisierten Sputter-Abscheidung entfernt wird.
DE10351005A 2003-10-31 2003-10-31 Barrierenschicht mit einer Titannitridbeschichtung für eine Kupfermetallisierungsschicht, die ein Dielektrikum mit kleinem ε aufweist Expired - Lifetime DE10351005B4 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE10351005A DE10351005B4 (de) 2003-10-31 2003-10-31 Barrierenschicht mit einer Titannitridbeschichtung für eine Kupfermetallisierungsschicht, die ein Dielektrikum mit kleinem ε aufweist
US10/865,199 US20050093155A1 (en) 2003-10-31 2004-06-10 Barrier layer including a titanium nitride liner for a copper metallization layer including a low-k dielectric

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE10351005A DE10351005B4 (de) 2003-10-31 2003-10-31 Barrierenschicht mit einer Titannitridbeschichtung für eine Kupfermetallisierungsschicht, die ein Dielektrikum mit kleinem ε aufweist

Publications (2)

Publication Number Publication Date
DE10351005A1 DE10351005A1 (de) 2005-06-16
DE10351005B4 true DE10351005B4 (de) 2008-07-03

Family

ID=34530001

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10351005A Expired - Lifetime DE10351005B4 (de) 2003-10-31 2003-10-31 Barrierenschicht mit einer Titannitridbeschichtung für eine Kupfermetallisierungsschicht, die ein Dielektrikum mit kleinem ε aufweist

Country Status (2)

Country Link
US (1) US20050093155A1 (de)
DE (1) DE10351005B4 (de)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004015862B4 (de) * 2004-03-31 2006-11-16 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer leitenden Barrierenschicht in kritischen Öffnungen mittels eines abschließenden Abscheideschritts nach einer Rück-Sputter-Abscheidung
US7465652B2 (en) 2005-08-16 2008-12-16 Sony Corporation Method of forming a catalyst layer on the barrier layer of a conductive interconnect of a semiconductor device
US7422975B2 (en) 2005-08-18 2008-09-09 Sony Corporation Composite inter-level dielectric structure for an integrated circuit
US8586468B2 (en) 2005-08-24 2013-11-19 Sony Corporation Integrated circuit chip stack employing carbon nanotube interconnects
US7251799B2 (en) 2005-08-30 2007-07-31 Sony Corporation Metal interconnect structure for integrated circuits and a design rule therefor
DE102005046976B4 (de) * 2005-09-30 2011-12-08 Advanced Micro Devices, Inc. Verfahren zur Herstellung einer Wolframverbindungsstruktur mit verbesserter Seitenwandbedeckung der Barrierenschicht
JP4986462B2 (ja) * 2006-01-27 2012-07-25 シャープ株式会社 太陽電池ストリングおよびその製造方法、ならびに、その太陽電池ストリングを用いる太陽電池モジュール
US9960118B2 (en) 2016-01-20 2018-05-01 Globalfoundries Inc. Contact using multilayer liner
JP6834207B2 (ja) * 2016-07-13 2021-02-24 富士電機株式会社 半導体装置の製造方法
US11309192B2 (en) * 2018-06-05 2022-04-19 Intel Corporation Integrated circuit package supports

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6146517A (en) * 1999-05-19 2000-11-14 Infineon Technologies North America Corp. Integrated circuits with copper metallization for interconnections
WO2002056364A2 (en) * 2001-01-11 2002-07-18 Advanced Micro Devices, Inc. Conductor reservoir volume for integrated circuit interconnects
EP1351291A2 (de) * 2002-03-27 2003-10-08 Texas Instruments Incorporated Kupfer-dotierte Übergangsschicht zur Verbesserung der Zuverlässigkeit von Kupferleitungsverbindungen

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6218256B1 (en) * 1999-04-13 2001-04-17 Micron Technology, Inc. Electrode and capacitor structure for a semiconductor device and associated methods of manufacture
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US7135408B2 (en) * 2002-10-30 2006-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Metal barrier integrity via use of a novel two step PVD-ALD deposition procedure

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6146517A (en) * 1999-05-19 2000-11-14 Infineon Technologies North America Corp. Integrated circuits with copper metallization for interconnections
WO2002056364A2 (en) * 2001-01-11 2002-07-18 Advanced Micro Devices, Inc. Conductor reservoir volume for integrated circuit interconnects
EP1351291A2 (de) * 2002-03-27 2003-10-08 Texas Instruments Incorporated Kupfer-dotierte Übergangsschicht zur Verbesserung der Zuverlässigkeit von Kupferleitungsverbindungen

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
J.S.JENG und J.S.CHEN: Interdiffusions and Reactions in Cu/TiN/Ti/Thermal SiO<SUB>2</SUB> and Cu/TiN/ Ti//Hydrogen Silsesquioxane Multilayer Structures, in Journal of the Electrochem. Society 149, (8), 2002, S. G 455-460 *
J.S.JENG und J.S.CHEN: Interdiffusions and Reactions in Cu/TiN/Ti/Thermal SiO2 and Cu/TiN/ Ti//Hydrogen Silsesquioxane Multilayer Structures, in Journal of the Electrochem. Society 149, (8), 2002, S. G 455-460

Also Published As

Publication number Publication date
US20050093155A1 (en) 2005-05-05
DE10351005A1 (de) 2005-06-16

Similar Documents

Publication Publication Date Title
DE102008007001B4 (de) Vergrößern des Widerstandsverhaltens gegenüber Elektromigration in einer Verbindungsstruktur eines Halbleiterbauelements durch Bilden einer Legierung
DE112006000465B4 (de) Halbleiterbauelemente mit Barriereschichten für leitende Strukturmerkmale sowie zugehörige Herstellungsverfahren
DE102007004860B4 (de) Verfahren zur Herstellung einer Kupfer-basierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein verbessertes Integrationsschema
DE102005052000B3 (de) Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
DE69836114T2 (de) Kupferverdrahtung mit verbessertem Elektromigrationswiderstand und reduzierter Defektempfindlichkeit
DE102008016424B4 (de) Verfahren mit einem Bilden einer Kontaktloshöffnung und eines Grabens in einer dielektrischen Schicht mit kleinem ε
DE102009023377B4 (de) Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt
DE102005046975A1 (de) Technik zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht
DE102008021568B3 (de) Verfahren zum Reduzieren der Erosion einer Metalldeckschicht während einer Kontaktlochstrukturierung in Halbleiterbauelementen und Halbleiterbauelement mit einem schützenden Material zum Reduzieren der Erosion der Metalldeckschicht
DE102005052052B4 (de) Ätzstoppschicht für Metallisierungsschicht mit verbesserter Haftung, Ätzselektivität und Dichtigkeit und Verfahren zur Herstellung eines dielektrischen Schichtstapels
DE102005057075B4 (de) Halbleiterbauelement mit einer Kupferlegierung als Barrierenschicht in einer Kupfermetallisierungsschicht und Verfahren zu dessen Herstellung
DE102006001253B4 (de) Verfahren zur Herstellung einer Metallschicht über einem strukturierten Dielektrikum mittels einer nasschemischen Abscheidung mit einer stromlosen und einer leistungsgesteuerten Phase
DE102008049775B4 (de) Herstellungsverfahren einer Metalldeckschicht mit besserer Ätzwiderstandsfähigkeit für kupferbasierte Metallgebiete in Halbleiterbauelementen
DE102008016431B4 (de) Metalldeckschicht mit erhöhtem Elektrodenpotential für kupferbasierte Metallgebiete in Halbleiterbauelementen sowie Verfahren zu ihrer Herstellung
DE102009006798B4 (de) Verfahren zur Herstellung eines Metallisierungssystems eines Halbleiterbauelements unter Anwendung einer Hartmaske zum Definieren der Größe der Kontaktdurchführung
DE10261466B4 (de) Verfahren zur Herstellung einer leitenden Barrierenschicht mit verbesserten Haft- und Widerstandseigenschaften
DE102007046846A1 (de) Seitenwandschutzschicht
DE102005020060A1 (de) Verfahren zum Strukturieren eines Dielektrikums mit kleinem ε unter Anwendung einer Hartmaske
DE102006056626A1 (de) Verfahren zum selektiven Herstellen einer leitenden Barrierenschicht durch ALD
DE102010063294B4 (de) Verfahren zur Herstellung von Metallisierungssystemen von Halbleiterbauelementen, die eine Kupfer/Silizium-Verbindung als ein Barrierenmaterial aufweisen
DE102007009912B4 (de) Verfahren zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein fortschrittliches Integrationsschema
DE10351005B4 (de) Barrierenschicht mit einer Titannitridbeschichtung für eine Kupfermetallisierungsschicht, die ein Dielektrikum mit kleinem ε aufweist
DE102009039421B4 (de) Doppelkontaktmetallisierung mit stromloser Plattierung in einem Halbleiterbauelement
DE102004003863B4 (de) Technik zur Herstellung eingebetteter Metallleitungen mit einer erhöhten Widerstandsfähigkeit gegen durch Belastung hervorgerufenen Materialtransport
DE102008044964B4 (de) Verringerung der Leckströme und des dielektrischen Durchschlags in dielektrischen Materialien von Metallisierungssystemen von Halbleiterbauelementen durch die Herstellung von Aussparungen

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
R071 Expiry of right