US20150171104A1 - Complementary sonos integration into cmos flow - Google Patents

Complementary sonos integration into cmos flow Download PDF

Info

Publication number
US20150171104A1
US20150171104A1 US14/305,122 US201414305122A US2015171104A1 US 20150171104 A1 US20150171104 A1 US 20150171104A1 US 201414305122 A US201414305122 A US 201414305122A US 2015171104 A1 US2015171104 A1 US 2015171104A1
Authority
US
United States
Prior art keywords
sonos
region
mos
devices
tunm
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/305,122
Inventor
Venkatraman Prabhakar
Krishnaswamy Ramkumar
Igor Kouznetsov
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Longitude Flash Memory Solutions Ltd
Original Assignee
Morgan Stanley Senior Funding Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to US14/305,122 priority Critical patent/US20150171104A1/en
Application filed by Morgan Stanley Senior Funding Inc filed Critical Morgan Stanley Senior Funding Inc
Assigned to CYPRESS SEMICONDUCTOR CORPORATION reassignment CYPRESS SEMICONDUCTOR CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KOUZNETSOV, IGOR, PRABHAKAR, VENKATRAMAN, RAMKUMAR, KRISHNASWAMY
Priority to TW103139545A priority patent/TW201535486A/en
Priority to PCT/US2014/066545 priority patent/WO2015088731A1/en
Priority to CN201480050479.8A priority patent/CN105531828B/en
Assigned to MORGAN STANLEY SENIOR FUNDING, INC. reassignment MORGAN STANLEY SENIOR FUNDING, INC. SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CYPRESS SEMICONDUCTOR CORPORATION, SPANSION LLC
Publication of US20150171104A1 publication Critical patent/US20150171104A1/en
Priority to US15/077,021 priority patent/US9997528B2/en
Priority to US15/708,008 priority patent/US10002878B2/en
Assigned to LONGITUDE FLASH MEMORY SOLUTIONS LTD. reassignment LONGITUDE FLASH MEMORY SOLUTIONS LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CYPRESS SEMICONDUCTOR CORPORATION
Assigned to CYPRESS SEMICONDUCTOR CORPORATION, SPANSION LLC reassignment CYPRESS SEMICONDUCTOR CORPORATION RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: MORGAN STANLEY SENIOR FUNDING, INC.
Assigned to MORGAN STANLEY SENIOR FUNDING, INC. reassignment MORGAN STANLEY SENIOR FUNDING, INC. CORRECTIVE ASSIGNMENT TO CORRECT THE 8647899 PREVIOUSLY RECORDED ON REEL 035240 FRAME 0429. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTERST. Assignors: CYPRESS SEMICONDUCTOR CORPORATION, SPANSION LLC
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • H01L27/11573
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • H01L27/11568
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/4234Gate electrodes for transistors with charge trapping gate insulator
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants

Definitions

  • the present disclosure relates generally to semiconductor devices, and more particularly to memory cells including embedded or integrally formed silicon-oxide-nitride-oxide-semiconductor (SONOS) devices and metal-oxide-semiconductor (MOS) devices and methods for fabricating the same.
  • SONOS silicon-oxide-nitride-oxide-semiconductor
  • MOS metal-oxide-semiconductor
  • MOS devices are typically fabricated using a standard or baseline complimentary-metal-oxide-semiconductor (CMOS) process flows.
  • CMOS devices include charge-trapping gate stacks in which a stored or trapped charge changes a threshold voltage of the non-volatile memory device to store information as a logic 1 or 0.
  • the method begins with depositing a hardmask (HM) over a substrate including a P-SONOS region and an N-SONOS region.
  • the substrate further includes a MOS region in which a number of MOS devices are to be formed and the HM is concurrently deposited over the MOS region.
  • a first tunnel mask (TUNM) is formed over the HM exposing a first portion of the HM in the N-SONOS region. The first portion of the HM is etched, a channel for a N-type SONOS device implanted through a first pad oxide overlying the N-SONOS region and the first TUNM removed.
  • a second TUNM is formed exposing a second portion of the HM in the P-SONOS region.
  • the second portion of the HM is etched, a channel for a P-type SONOS device implanted through a second pad oxide overlying the P-SONOS region and the second TUNM removed.
  • the first and second pad oxides are concurrently etched, and the HM removed.
  • FIG. 1 is a flowchart illustrating an embodiment of a method for fabricating a memory cell including complementary silicon-oxide-nitride-oxide-semiconductor (SONOS) devices and a metal-oxide-semiconductor (MOS) devices;
  • SONOS complementary silicon-oxide-nitride-oxide-semiconductor
  • MOS metal-oxide-semiconductor
  • FIGS. 2A-2S are block diagrams illustrating cross-sectional views of a portion of a memory cell during fabrication of the memory cell according to the method of FIG. 1 ;
  • FIG. 3 is a flowchart illustrating another embodiment of a method for fabricating a memory cell including complementary SONOS devices and a metal-oxide-semiconductor (MOS) devices; and
  • FIGS. 4A-4J are block diagrams illustrating cross-sectional views of a portion of a memory cell during fabrication of the memory cell according to the method of FIG. 3 ;
  • FIG. 5 is a block diagram illustrating cross-sectional views of a portion of a memory cell during fabrication of the memory cell according to an alternative embodiment of either the method of FIG. 1 or FIG. 3 .
  • Embodiments of methods of integrating complimentary silicon-oxide-nitride-oxide-semiconductor (CSONOS) into a complimentary metal-oxide-semiconductor (CMOS) fabrication process or process flow to produce non-volatile memory (NVM) cells are described herein with reference to figures. However, particular embodiments may be practiced without one or more of these specific details, or in combination with other known methods, materials, and apparatuses. In the following description, numerous specific details are set forth, such as specific materials, dimensions and processes parameters etc. to provide a thorough understanding of the present invention. In other instances, well-known semiconductor design and fabrication techniques have not been described in particular detail to avoid unnecessarily obscuring the present invention.
  • the terms “over,” “under,” “between,” and “on” as used herein refer to a relative position of one layer with respect to other layers.
  • one layer deposited or disposed over or under another layer may be directly in contact with the other layer or may have one or more intervening layers.
  • one layer deposited or disposed between layers may be directly in contact with the layers or may have one or more intervening layers.
  • a first layer “on” a second layer is in contact with that second layer.
  • the relative position of one layer with respect to other layers is provided assuming operations deposit, modify and remove films relative to a starting substrate without consideration of the absolute orientation of the substrate.
  • the method begins with depositing a hardmask (HM) over a surface of a substrate including a first-SONOS region and a second-SONOS region in which a pair of complementary SONOS devices are to be formed.
  • HM hardmask
  • a first tunnel mask (TUNM) is formed over the HM exposing a first portion of the HM in the second-SONOS region, the first portion of the HM is etched, and a channel for a first SONOS device implanted through a first pad oxide overlying the second-SONOS region after which the first TUNM is removed.
  • a second TUNM is formed over the HM exposing a second portion of the HM in the first-SONOS region, the second portion of the HM is etched, and a channel for a second SONOS device implanted through a second pad oxide overlying the first-SONOS region after which the second TUNM is removed.
  • the first and second pad oxides in the first-SONOS region and second-SONOS regions are concurrently etched, and the HM removed.
  • the first and second SONOS regions are or will be doped with opposite types of dopants.
  • the first-SONOS region is described as being a P-SONOS region and the second-SONOS region as an N-SONOS region, It will be understood that in other embodiments, the first-SONOS region may be an N-SONOS region and the second-SONOS region a P-SONOS region without departing from the scope of the invention.
  • the CSONOS devices may include devices or transistors implemented using Silicon-Oxide-Nitride-Oxide-Silicon (SONOS) or Metal-Oxide-Nitride-Oxide-Silicon (MONOS) technology.
  • SONOS Silicon-Oxide-Nitride-Oxide-Silicon
  • MONOS Metal-Oxide-Nitride-Oxide-Silicon
  • FIG. 1 is a flowchart illustrating an embodiment of a method or process flow in which a hardmask is deposited over a surface of substrate before tunnel masks (TUNM) are formed for the CSONOS and SONOS wells and/or channels implanted.
  • FIGS. 2A-2S are block diagrams illustrating cross-sectional views of a portion of a memory cell 200 , including a pair of complementary SONOS devices and a pair or a number of MOS devices, two of which are shown, during fabrication of the memory cell according to the method of FIG. 1 .
  • the pair of MOS devices is a pair of complementary MOS (CMOS) devices.
  • CMOS complementary MOS
  • the process begins with forming a number of isolation structures 202 in a wafer or substrate 204 (step 102 ).
  • the isolation structures 202 isolate the memory cell being formed from memory cells formed in adjoining areas (not shown) of the substrate 204 and/or isolate the pair of complementary SONOS devices 206 a - 206 b being formed in a SONOS region 208 of the substrate from one another, and from a number of MOS devices 210 a - 210 b being formed in one or more adjoining MOS regions 212 , only one of which is shown.
  • the pair of complementary SONOS devices include a p-type SONOS device (P-SONOS 206 a ) formed in a P-SONOS region 208 a , and a N-type SONOS device (N-SONOS 206 b ) formed in a N-SONOS region 208 b .
  • P-type SONOS device it is meant a device having a channel region doped with a P-type, acceptor dopant such as boron.
  • N-type SONOS device it is meant a device having a channel region doped with an N-type, donor dopant such as phosphorus or arsenic.
  • MOS devices 210 a - 210 b can include both low-voltage field effect transistors (LV-FET) in a core of a non-volatile memory (NVM) and high-voltage field effect transistors (HV-FET) in an input/output (I/O) circuit of the NVM.
  • LV-FET low-voltage field effect transistors
  • HV-FET high-voltage field effect transistors
  • I/O input/output circuit of the NVM.
  • MOS devices 210 a - 210 b are shown as including a LV-FET 210 a in the core of the NVM and a HV-FET 210 b in the I/O circuit of the NVM.
  • MOS devices 210 a - 210 b can be and generally are one half of a complementary pairs of CMOS in the core and/or the I/O circuit of the NVM, all of which are integrally and concurrently formed along with the pair of the CSONOS devices.
  • the isolation structures 202 include a dielectric material, such as an oxide or nitride, and may be formed by any conventional technique, including but not limited to shallow trench isolation (STI) or local oxidation of silicon (LOCOS).
  • the substrate 204 may be a bulk wafer composed of any single crystal or polycrystalline material suitable for semiconductor device fabrication, or may include a top epitaxial layer of a suitable material formed on a substrate. Suitable materials include, but are not limited to, silicon, germanium, silicon-germanium or a III-V compound semiconductor material.
  • a pad oxide 214 is formed over a surface 216 of the substrate 204 in both the NVM region 208 and the MOS regions 212 .
  • the pad oxide 214 can be silicon dioxide (SiO 2 ) having a thickness of from about 10 nanometers (nm) to about 20 nm and can be grown by a thermal oxidation process or in-situ steam generation (ISSG).
  • dopants are then implanted into substrate 204 through the pad oxide 214 to form channels 218 and wells 220 for one or more of the MOS devices 210 a - 210 b that will be formed in the MOS region 212 (step 104 ).
  • this involves several separate deposition, lithography, implant and stripping processes to implant wells and channels for different types of devices, formed in different areas, i.e., the core or I/O circuit of the NVM.
  • a photoresist layer is deposited and patterned using standard lithographic techniques to block or shield both the SONOS region 208 and P-type devices in the MOS region 212 , and implanting an appropriate ion species at an appropriate energy to an appropriate concentration.
  • BF 2 can be implanted at an energy of from about 10 to about 100 kilo-electron volts (keV), and a dose of from about 1e12 cm ⁇ 2 to about 1e14 cm ⁇ 2 to form an N-type MOS (NMOS) transistor.
  • a P-type MOS (PMOS) transistor may likewise be formed by implantation of Arsenic or Phosphorous ions at any suitable dose and energy. It is to be understood that implantation can be used to form channels 218 , in all of the MOS regions 212 at the same time, or at separate times using standard lithographic techniques, including a patterned photoresist layer to mask one of the MOS regions. After the implants have been performed, the patterned resist layer is stripped in either an ashing process using oxygen plasma, or in a photoresist strip using a commercially available strip or solvent.
  • Another photoresist layer is deposited and patterned to block or shield both the SONOS region 208 and N-type devices in the MOS region 212 prior to performing well and channel implants of a P-type MOS device in the core. The process is then repeated for the MOS devices in the MOS device in the I/O circuit, I/O MOS 210 b.
  • a hardmask 222 is deposited over the surface 216 of the substrate 204 (step 106 ).
  • the hardmask 222 is formed concurrently over both the P-SONOS region 208 a and the N-SONOS region 208 b .
  • the hardmask 222 is formed concurrently over substantially the entire surface 216 of the substrate 204 , including both the P-SONOS region 208 a and the N-SONOS region 208 b and the MOS region 212 .
  • the hardmask 222 can include one or more layers of material that can be patterned or opened using photoresist and standard lithographic techniques, but which is not itself photosensitive and protects underlying surface and structures formed therein from the photoresist and lithographic processes as well as from implants and etch process performed through openings formed in the hardmask.
  • Suitable materials for the hardmask 222 include, for example, a layer of from about 5 to about 20 nm of silicon nitride (Si x N y ), or silicon oxynitride (SiON) deposited by any known nitride deposition process.
  • a nitride hardmask is formed in step 106 in a low pressure chemical vapor deposition (LPCVD) process using a silicon source, such as silane (SiH 4 ), dichlorosilane (SiH 2 Cl 2 ), tetrachlorosilane (SiCl 4 ) or Bis-TertiaryButylAmino Silane (BTBAS), and a nitrogen source, such as NH 3 and N 2 O.
  • a silicon source such as silane (SiH 4 ), dichlorosilane (SiH 2 Cl 2 ), tetrachlorosilane (SiCl 4 ) or Bis-TertiaryButylAmino Silane (BTBAS)
  • a nitrogen source such as NH 3 and N 2 O.
  • a first tunnel mask (TUNM 224 ) is formed by depositing a photoresist layer on or overlying substantially an entire surface of the hardmask 222 , patterning the photoresist layer using standard lithographic techniques (step 108 ). Because an opening 226 in the patterned photoresist layer exposes a first portion of the HM 222 in the N-SONOS region 208 b , the first TUNM 224 may also be referred to as the N-TUNM.
  • the first portion of the HM exposed through the opening 226 in the first or N-TUNM 224 is etched or removed using any suitable wet or dry etching technique, depending on the material of the hardmask and the underlying structures or layers (step 110 ).
  • the hardmask 222 includes a layer of silicon nitride overlying a pad oxide 214
  • the hardmask can be etched using a standard low pressure nitride etch at a moderate power (about 500 W) in a plasma of a fluorine containing gas, such as CF 4 , or CHF 3 , which exhibits good selectivity to silicon oxides, such as the silicon dioxide (SiO2) of the underlying pad oxide 214 and/or the STI 202 structures.
  • a fluorine containing gas such as CF 4 , or CHF 3
  • dopants of an appropriate energy and concentration are implanted through the opening in the hardmask 222 and the pad oxide 214 to form a channel 228 for the N-SONOS device 206 b , and, optionally, a well (not shown in this figure) in which the channel for the N-SONOS device is formed (step 112 ).
  • the well can be implanted with boron ions (BF 2 ) at an energy of from about 100 to about 500 kilo-electron volts (keV), and a dose of from about 1E12/cm 2 to about 5E13/cm 2 to form a Pwell.
  • the channel 228 can be implanted with Arsenic or Phosphorous ions at an energy of from about 50 to about 500 kilo-electron volts (keV), and a dose of from about 5e11cm ⁇ 2 to about 5e12 cm ⁇ 2 to form a N-SONOS device 206 b.
  • Arsenic or Phosphorous ions at an energy of from about 50 to about 500 kilo-electron volts (keV), and a dose of from about 5e11cm ⁇ 2 to about 5e12 cm ⁇ 2 to form a N-SONOS device 206 b.
  • the first or N-TUNM 224 is removed or stripped in either an ashing process using oxygen plasma, or a photoresist strip or solvent (step 114 ).
  • a second tunnel mask (TUNM 230 ) is formed by depositing a photoresist layer on or over substantially an entire surface of the hardmask 222 and the surface 216 of the substrate 204 exposed by the first hardmask etch step, and patterned using standard lithographic techniques (step 116 ). Because an opening 232 in the patterned photoresist layer exposes a second portion of the hardmask 222 in the P-SONOS region 208 a , the second TUNM 230 may also be referred to as the P-TUNM. It is noted that the pad oxide 214 isolates the surface 216 of the substrate 204 from the photoresist of second TUNM 230 in the N-SONOS region 208 b.
  • the second portion of the hardmask 222 exposed through the opening 232 in the second or P-TUNM 230 is etched or removed using any suitable wet or dry etching technique, depending on the material of the hardmask and the underlying structures or layers (step 118 ).
  • the hardmask 222 in those embodiments in which the hardmask 222 includes a layer of silicon nitride overlying a pad oxide 214 , the hardmask can be etched using a standard low pressure nitride etch at a moderate power (about 500 W) in a plasma of a fluorine containing gas, such as CF 4 , or CHF 3 , which exhibits good selectivity to silicon oxides, such as the silicon dioxide (SiO2) of the underlying pad oxide 214 and/or the STI 202 structures.
  • a fluorine containing gas such as CF 4 , or CHF 3
  • dopants of an appropriate energy and concentration are implanted through the opening in the hardmask 222 and the pad oxide 214 to form a channel 234 and a well or deep well 236 in which the P-SONOS device 206 a is formed (step 120 ).
  • the well can be implanted with Arsenic or Phosphorous at an energy of from about 200 to about 1000 kilo-electron volts (keV), and a dose of from about 1E12/cm 2 to about 5E13/cm 2 to form a deep Nwell.
  • the channel 234 can be implanted with boron ions (BF 2 ) at an energy of from about 10 to about 100 kilo-electron volts (keV), and a dose of from about 1e12 cm ⁇ 2 to about 1e13 cm ⁇ 2 to form a P-SONOS device 206 a.
  • boron ions BF 2
  • keV kilo-electron volts
  • the second or P-TUNM 230 is removed or stripped in either an ashing process using oxygen plasma, or a photoresist strip or solvent (step 122 ).
  • the pad oxide 214 over both the P-SONOS region 208 a and the N-SONOS region 208 b is concurrently removed in a tunnel mask etch through the openings previously formed in the hardmask 222 (step 124 ).
  • the tunnel mask etch can be accomplished, for example, in a wet clean process using a 10:1 buffered oxide etch (BOE) containing a surfactant.
  • BOE buffered oxide etch
  • the wet clean process can be performed using a 20:1 BOE wet etch, a 50 : 1 hydrofluoric (HF) wet etch, a pad etch, or any other similar hydrofluoric-based wet etching chemistry.
  • the hardmask 222 is substantially entirely stripped or removed (step 126 ).
  • the hardmask 222 can be removed using the same process and chemistry previously used to form openings in the hardmask.
  • the hardmask 222 includes a silicon nitride layer
  • it can be removed using a standard low pressure nitride etch at a moderate power (about 500 W) in a plasma of a fluorine containing gas, such as CF 4 , or CHF 3 , which exhibits good selectivity to silicon oxides, such as the silicon dioxide (SiO2) of the pad oxide 214 remaining over the MOS devices 210 a - 210 b in the MOS region 212 and the STI 202 structures, and to the underlying silicon of the substrate in the SONOS region 208 .
  • the silicon nitride can also be removed by a wet etch using Phosphoric acid (H 3 PO 4 ) at a temperature of about
  • a number of dielectric or oxide-nitride-oxide (ONO) layers are formed or deposited over the surface 216 of the substrate 204 , a mask formed on or overlying the dielectric layers, and the dielectric layers etched to form ONO or dielectric stacks 240 of the N-SONOS device 206 b and the P-SONOS device 206 a in the N-SONOS region 208 b and the P-SONOS region 208 a (step 128 ).
  • ONO or dielectric stacks 240 of the N-SONOS device 206 b and the P-SONOS device 206 a in the N-SONOS region 208 b and the P-SONOS region 208 a step 128 .
  • the number of dielectric layers 238 includes a tunneling layer 242 overlying the surface 216 of the substrate 204 , a charge-trapping layer 244 overlying the tunneling layer and a blocking layer 246 overlying the charge-trapping layer.
  • the tunneling layer 242 may be any material and have any thickness suitable to allow charge carriers to tunnel into an overlying charge-trapping layer under an applied gate bias while maintaining a suitable barrier to leakage when the SONOS devices (P-SONOS device 206 a and N-SONOS device 206 b ) are unbiased.
  • tunneling layer 242 is silicon dioxide, silicon oxy-nitride, or a combination thereof and can be grown by a thermal oxidation process, using ISSG or radical oxidation.
  • a silicon dioxide tunneling layer 242 may be thermally grown in a thermal oxidation process.
  • a layer of silicon dioxide may be grown utilizing dry oxidation at 750 degrees centigrade (° C.)-800° C. in an oxygen containing gas or atmosphere, such as oxygen (O 2 ) gas.
  • the thermal oxidation process is carried out for a duration approximately in the range of 50 to 150 minutes to effect growth of a tunneling layer 242 having a thickness of from about 1.0 nanometers (nm) to about 3.0 nm by oxidation and consumption of the exposed surface of substrate.
  • a silicon dioxide tunneling layer 242 may be grown in a radical oxidation process involving flowing hydrogen (H 2 ) and oxygen (O 2 ) gas into a processing chamber at a ratio to one another of approximately 1:1 without an ignition event, such as forming of a plasma, which would otherwise typically be used to pyrolyze the H 2 and O 2 to form steam.
  • the H 2 and O 2 are permitted to react at a temperature approximately in the range of about 900° C. to about 1000° C. at a pressure approximately in the range of about 0.5 to about 5 Torr to form radicals, such as, an OH radical, an HO 2 radical or an oxygen (O) diradical, at the surface of substrate.
  • the radical oxidation process is carried out for a duration approximately in the range of about 1 to about 10 minutes to effect growth of a tunneling layer 242 having a thickness of from about 1.0 nanometers (nm) to about 4.0 nm by oxidation and consumption of the exposed surface of substrate. It will be understood that in this and in subsequent figures the thickness of tunneling layer 242 is exaggerated relative to the pad oxide 214 , which is approximately 7 times thicker, for the purposes of clarity.
  • a tunneling layer 242 grown in a radical oxidation process is both denser and is composed of substantially fewer hydrogen atoms/cm 3 than a tunneling layer formed by wet oxidation techniques, even at a reduced thickness.
  • the radical oxidation process is carried out in a batch-processing chamber or furnace capable of processing multiple substrates to provide a high quality tunneling layer 242 without impacting the throughput (wafers/hr.) requirements that a fabrication facility may require.
  • tunneling layer 242 is deposited by chemical vapor deposition (CVD) or atomic layer deposition and is composed of a dielectric layer which may include, but is not limited to silicon dioxide, silicon oxy-nitride, silicon nitride, aluminum oxide, hafnium oxide, zirconium oxide, hafnium silicate, zirconium silicate, hafnium oxy-nitride, hafnium zirconium oxide and lanthanum oxide.
  • CVD chemical vapor deposition
  • atomic layer deposition is composed of a dielectric layer which may include, but is not limited to silicon dioxide, silicon oxy-nitride, silicon nitride, aluminum oxide, hafnium oxide, zirconium oxide, hafnium silicate, zirconium silicate, hafnium oxy-nitride, hafnium zirconium oxide and lanthanum oxide.
  • tunneling layer 242 is a multilayer tunneling layer including at least a bottom layer of a material such as, but not limited to, silicon dioxide or silicon oxy-nitride and a top layer of a material which may include, but is not limited to silicon nitride, aluminum oxide, hafnium oxide, zirconium oxide, hafnium silicate, zirconium silicate, hafnium oxy-nitride, hafnium zirconium oxide and lanthanum oxide.
  • a material such as, but not limited to, silicon dioxide or silicon oxy-nitride
  • a top layer of a material which may include, but is not limited to silicon nitride, aluminum oxide, hafnium oxide, zirconium oxide, hafnium silicate, zirconium silicate, hafnium oxy-nitride, hafnium zirconium oxide and lanthanum oxide.
  • a charge-trapping layer 244 is formed on or overlying the tunneling layer 242 .
  • the charge-trapping layer is a multilayer charge-trapping layer having multiple layers including at least an oxygen-rich, substantially charge trap free lower or first charge-trapping layer 244 a closer to the tunneling layer 242 , and an upper or second charge-trapping layer 244 b that is silicon-rich and oxygen-lean relative to the first charge-trapping layer and comprises a majority of a charge traps distributed in multilayer charge-trapping layer.
  • the first charge-trapping layer 244 a of a multilayer charge-trapping layer 244 can include a silicon nitride (Si 3 N 4 ), silicon-rich silicon nitride or a silicon oxy-nitride (SiO x N y (H z )).
  • the first charge-trapping layer 244 a can include a silicon oxynitride layer having a thickness of between about 1.5 nm and about 4.0 nm formed by a CVD process using dichlorosilane (DCS)/ammonia (NH 3 ) and nitrous oxide (N 2 O)/NH 3 gas mixtures in ratios and at flow rates tailored to provide a silicon-rich and oxygen-rich oxynitride layer.
  • the second charge-trapping layer 244 b of the multilayer charge-trapping layer is then formed over the first charge-trapping layer 244 a .
  • the second charge-trapping layer 244 b can include a silicon nitride and silicon oxy-nitride layer having a stoichiometric composition of oxygen, nitrogen and/or silicon different from that of the first charge-trapping layer 244 a .
  • the second charge-trapping layer 244 b can include a silicon oxynitride layer having a thickness of between about 2.0 nm and about 10.0 nm, and may be formed or deposited by a CVD process using a process gas including DCS/NH 3 and N 2 O/NH 3 gas mixtures in ratios and at flow rates tailored to provide a silicon-rich, oxygen-lean top nitride layer.
  • oxygen-rich and “silicon-rich” are relative to a stoichiometric silicon nitride, or “nitride,” commonly employed in the art having a composition of (Si 3 N 4 ) and with a refractive index (RI) of approximately 2.0.
  • nitride commonly employed in the art having a composition of (Si 3 N 4 ) and with a refractive index (RI) of approximately 2.0.
  • RI refractive index
  • films described herein as “silicon-rich” entail a shift from stoichiometric silicon nitride toward a higher wt. % of silicon with less oxygen than an “oxygen-rich” film.
  • a silicon-rich silicon oxynitride film is therefore more like silicon and the RI is increased toward the 3.5 RI of pure silicon.
  • the number of dielectric layers further includes a blocking dielectric layer or blocking layer 246 that is formed on or overlying the charge-trapping layer 244 .
  • the blocking layer 246 can include an oxidized portion of the silicon nitride of the underlying second charge-trapping layer 244 b , which is subsequently oxidized by in-situ-steam-generation (ISSG), or radical oxidation to form the blocking layer 246 .
  • the blocking layer 246 can include a silicon oxide (SiO 2 ) or a silicon oxynitride (SiON), deposited by CVD, and performed in a batch or single substrate processing chamber with or without an ignition event such as plasma.
  • the blocking layer 246 can be a single layer of silicon oxide, having a substantially homogeneous composition, a single layer of silicon oxynitride having a gradient in stoichiometric composition, or, as in embodiments described below, can be a multilayer blocking layer including at least a lower or first blocking layer overlying the second charge-trapping layer 244 b , and a second blocking layer overlying the first blocking layer.
  • the blocking layer 246 can include a silicon nitride, a silicon-rich silicon nitride or a silicon-rich silicon oxynitride layer having a thickness of between 2.0 nm and 4.0 nm formed by a CVD process using N 2 O/NH 3 and DCS/NH 3 gas mixtures.
  • a gate oxide or GOX preclean is performed, and gate oxides for MOS transistors 210 a - 210 b formed (step 130 ).
  • the GOX preclean removes the remaining pad oxides 214 in the MOS regions 212 and at least a portion of the blocking layer 246 in a highly selective cleaning process. This cleaning process prepares the substrate 204 in the MOS region 212 for gate oxide growth.
  • the pad oxide 214 is removed in a wet clean process.
  • the wet clean process can be performed using a 20:1 BOE wet etch, a 50 : 1 hydrofluoric (HF) wet etch, a pad etch, or any other similar hydrofluoric-based wet etching chemistry.
  • the cleaning process chemistry is chosen so as to remove only a negligible portion of the blocking layer 246 .
  • the oxidation process to form gate oxides for MOS transistors 210 a - 210 b is a dual gate oxidation process to enable fabrication of both a first, thick, gate oxide 248 over the surface 216 of the substrate 204 in part of the MOS region 212 for a HV transistor, such as I/O MOSFET 210 b in the I/O circuit of the NVM, and a second, thinner gate oxide 250 for LV transistors, such as core MOSFET 210 a .
  • the dual gate oxidation process involves forming the thicker gate oxide 248 over substantially all of the MOS region 212 , using any known oxidation process in accordance with the methods described herein, forming a patterned photoresist mask using standard lithographic techniques covering the I/O MOSFET 210 b and NVM region 208 , and removing the thick gate oxide covering core MOSFET 210 a by a wet etch process using a 10:1 buffered oxide etch (BOE) containing a surfactant, after which the photoresist mask is stripped or removed, and the second, thinner gate oxides 250 grown or deposited.
  • the thinner gate oxides 250 can be grown, for example, to a thickness from about 1 nm to about 3 nm.
  • the oxidation process to form the thick gate oxide 248 is also used to concurrently form a high temperature oxide (HTO) over the dielectric stack 240 of the SONOS devices 206 to provide a thicker oxide blocking layer 246 or an additional HTO layer of a multilayer blocking layer.
  • HTO high temperature oxide
  • the oxidation process can include in-situ-steam-generation (ISSG), CVD, or radical oxidation performed in a batch or single substrate processing chamber with or without an ignition event such as plasma.
  • the thick gate oxide 248 and the additional or thicker oxide layer of the blocking layer 246 may be grown in a radical oxidation process involving flowing hydrogen (H 2 ) and oxygen (O 2 ) gas into a processing chamber at a ratio to one another of approximately 1:1 without an ignition event, such as forming of a plasma, which would otherwise typically be used to pyrolyze the H 2 and O 2 to form steam.
  • the H 2 and O 2 are permitted to react at a temperature approximately in the range of 800-1000° C. at a pressure approximately in the range of 0.5-10 Torr to form radicals, such as, an OH radical, an HO 2 radical or an 0 diradical radicals at a surface of the blocking layer 246 .
  • the oxidation process is carried out for a duration approximately in the range of 1-5 minutes for a single substrate using an ISSG process, or 10-15 minutes for a batch furnace process to effect growth of the blocking layer 246 having a thickness of from about 2 nm to about 4.5 nm, and a thick gate oxide 248 having a thickness of from about 3 nm to about 7 nm.
  • a gate layer is deposited and patterned to concurrently form a gates 252 for the MOS devices 210 a , 210 b , and the SONOS devices 206 a , 206 b (step 132 ).
  • the gate layer is a conductive, conformal layer deposited over substantially the entire surface 216 of the substrate 204 and all layers and structures formed thereon.
  • a patterned photoresist mask (not shown) is then formed using standard lithographic techniques and the gate layer etched to remove the gate layer from areas not protected by the mask, stopping on top surfaces of the gate oxides 248 , 250 , and the dielectric stack (blocking layer 246 ) of the SONOS devices 206 a , 206 b.
  • the gate layer includes a doped polysilicon or poly layer deposited using chemical vapor deposition (CVD) to a thickness of from about 30 nm to about 100 nm, and etched using standard polysilicon etch chemistries, such as CHF 3 or C 2 H 2 or HBr/O 2 which are highly selective to the underlying material of the gate oxides 248 , 250 and the dielectric stack 240 .
  • the polysilicon can be doped using phosphorus implant for NMOS and Boron implant for PMOS transistors. The implant doses are in the range of 1E15 to 1E16/cm 2 at energies of 2 to 50 KeV.
  • the gate layer is a multi-layer gate layer including one or more layers of a high work function or P+ metal, such as aluminum, Titanium or compounds or alloys thereof, in addition to or instead of polysilicon to form multi-layer gates 252 including a first, high work function metal layer 252 a and a second polysilicon layer 252 b.
  • a high work function or P+ metal such as aluminum, Titanium or compounds or alloys thereof
  • a spacer layer is deposited and etched to form sidewall spacers 254 (spacer 1 ) adjacent to the gates 252 of the MOS and SONOS devices (step 134 ).
  • the spacer layer can include a conformal layer of a dielectric material, such as silicon oxide (SiO2) or silicon nitride (SiN), deposited to a thickness of from about 10 nm to about 30 nm, using any known CVD technique as described herein.
  • the etch may be accomplished or performed in a number of different ways including, for example, a low pressure blanket or spacer etch at a moderate power (about 500 W) in a plasma of a fluorine containing gas, such as CF 4 , or CHF 3 . Because no mask is used and the etching is highly anisotropic, substantially all of the spacer layer is etched or removed from the exposed surface 216 of the substrate 204 , as well as horizontal surface of the gates 252 , parallel to the surface of the substrate leaving spacers 254 adjacent to sidewalls of the gates of the of the MOS and SONOS devices.
  • any of the dielectric stack 240 as well as any of the GOX 248 , 250 remaining on the surface 216 of the substrate 204 and not covered by the gates 252 is advantageously removed along with portions of the spacer layer removed to form the spacers 254 .
  • a patterned mask (not shown) is formed and source and drain (S/D) implants are performed to form source and drain (S/D) regions 256 for both the MOS devices 210 a , 210 b , and the SONOS devices 206 a , 206 b (step 136 ).
  • the patterned mask can include a photoresist mask or a hardmask patterned to expose only the S/D regions of the SONOS and MOS devices. It is noted that in FIG. 2Q and following FIG.
  • a silicide 258 is formed over the surface 216 of the substrate 204 in all S/D regions and a local interconnect and a metallization performed interconnecting some of the devices (step 138 ).
  • the silicide process may be any commonly employed in the art, typically including a pre-clean etch, nickel metal deposition, anneal and wet strip.
  • the metallization process can be used to form a first metal layer 260 a or local interconnect (LI) electrically coupling or connecting the drain of the N-SONOS device to the drain of the PSONOS device.
  • LI local interconnect
  • the sources of the P-SONOS device 206 a and N-SONOS device 206 b may be further connected by a second metal layer 260 b or LI to one of the MOS devices 210 a , 210 b , as shown in FIG.
  • the metallization process may be any commonly employed in the art, typically including a pre-clean etch, metal deposition by CVD or PECVD, anneal and wet strip. Suitable metals for the metallization process include titanium (Ti), tantalum (Ta), tungsten (W) and nitrides or alloys thereof.
  • the metal layers 260 a , 260 b are tungsten (W) deposited by CVD over a titanium (Ti) seed layer, and a titanium-nitride (TiN) barrier layer.
  • CMOS process flow is continued to substantially complete the front end fabrication a non-volatile memory including a pair of complementary SONOS devices integrally formed with a number of MOS devices, including at least one pair of CMOS devices.
  • FIG. 3 is a flowchart illustrating an embodiment of a method or process flow in which a hardmask is deposited over a surface of substrate after tunnel masks (TUNM) are formed for the CSONOS and SONOS wells and/or channels implanted.
  • FIGS. 4A through 4J are block diagrams illustrating cross-sectional views of a portion of a memory cell 200 , including a pair of complementary SONOS devices and a number of MOS devices, two of which are shown, during fabrication of the memory cell according to the method of FIG. 3 .
  • the process begins with forming a number of isolation structures 202 in a wafer or substrate 204 and implanting dopants into substrate 204 through the pad oxide 214 to form channels 218 and wells 220 for one or more of the MOS devices 210 a - 210 b .
  • the memory cell 200 is substantially identical to that described above following steps 102 and 104 , and shown in FIG. 2A .
  • a first tunnel mask (TUNM 224 ) is formed by depositing a photoresist layer on or overlying substantially an entire surface 216 of the substrate 204 , patterning the photoresist layer using standard lithographic techniques (step 302 ). The deposition and patterning is accomplished in the same manner as that described in connection with step 108 above. It is noted that the pad oxides 214 isolates the surface 216 of the substrate 204 from the photoresist of first TUNM 224 in all active regions of the SONOS and MOS devices 206 , 210 .
  • dopants of an appropriate energy and concentration are implanted through the pad oxide 214 to form a channel 228 for the N-SONOS device 206 b , and, optionally, a well (not shown in this figure) in which the channel for the N-SONOS device is formed (step 304 ).
  • the first or N-TUNM 224 is removed or stripped in either an ashing process using oxygen plasma, or a photoresist strip or solvent (step 306 ).
  • a second tunnel mask (TUNM 230 ) is formed by depositing a photoresist layer on or over substantially an entire surface of the surface 216 and patterned using standard lithographic techniques (step 308 ). Because an opening 232 in the patterned photoresist layer exposes the P-SONOS region 208 a , the second TUNM 230 may also be referred to as the P-TUNM.
  • dopants of an appropriate energy and concentration are implanted through the pad oxide 214 to form a channel 234 and a well or deep well 236 in which the P-SONOS device 206 a is formed (step 310 ).
  • the second or P-TUNM 230 is removed or stripped in either an ashing process using oxygen plasma, or a photoresist strip or solvent (step 312 ).
  • a hardmask 264 is deposited over the surface 216 of the substrate 204 (step 314 ).
  • the hardmask 264 is formed concurrently over both the P-SONOS region 208 a and the N-SONOS region 208 b .
  • the hardmask 264 is formed concurrently over substantially the entire surface 216 of the substrate 204 , including both the P-SONOS region 208 a and the N-SONOS region 208 b and the MOS region 212 .
  • the hardmask 264 can include can one or more layers of material that can be patterned or opened using photoresist and standard lithographic techniques, but which is not itself photosensitive and protects underlying surface and structures formed therein from the photoresist and lithographic processes as well as from implants and etch process performed through openings formed in the hardmask.
  • Suitable materials for the hardmask 264 include, for example, a layer of from about 5 to about 20 nm of silicon nitride (Si x N y ), or silicon oxynitride (SiON) deposited by any known nitride deposition process.
  • a nitride hardmask is formed in step 314 in a low pressure chemical vapor deposition (LPCVD) process using a silicon source, such as silane (SiH 4 ), dichlorosilane (SiH 2 Cl 2 ), tetrachlorosilane (SiCl 4 ) or Bis-TertiaryButylAmino Silane (BTBAS), and a nitrogen source, such as NH 3 and N 2 O.
  • a silicon source such as silane (SiH 4 ), dichlorosilane (SiH 2 Cl 2 ), tetrachlorosilane (SiCl 4 ) or Bis-TertiaryButylAmino Silane (BTBAS)
  • a nitrogen source such as NH 3 and N 2 O.
  • a third tunnel mask (TUNM 266 ) is formed by depositing a photoresist layer on or over substantially an entire surface of the hardmask 264 , and patterned using standard lithographic techniques (step 316 ). Because an opening 268 in the patterned photoresist layer exposes a portion of the hardmask 264 in both the P-SONOS region 208 a and the N-SONOS region 208 b the third TUNM 266 may also be referred to as a complementary tunnel mask or C-TUNM.
  • the portion of the hardmask 264 exposed through the opening 268 in the third or C-TUNM 266 is etched or removed using any suitable wet or dry etching technique, depending on the material of the hardmask and the underlying structures or layers (step 318 ).
  • the hardmask 264 in those embodiments in which the hardmask 264 includes a layer of silicon nitride overlying a pad oxide 214 , the hardmask can be etched using a standard low pressure nitride etch at a moderate power (about 500 W) in a plasma of a fluorine containing gas, such as CF 4 , or CHF 3 , which exhibits good selectivity to silicon oxides, such as the silicon dioxide (SiO2) of the underlying pad oxide 214 and/or the STI 202 structures.
  • a fluorine containing gas such as CF 4 , or CHF 3
  • the second or C-TUNM 266 is removed or stripped in either an ashing process using oxygen plasma, or a photoresist strip or solvent (step 320 ).
  • the pad oxide 214 over both the P-SONOS region 208 a and the N-SONOS region 208 b is concurrently removed in a tunnel mask etch through the opening formed in the hardmask 466 (step 322 ).
  • the tunnel mask etch can be accomplished, for example, in a wet clean process using a 10:1 buffered oxide etch (BOE) containing a surfactant.
  • BOE buffered oxide etch
  • the wet clean process can be performed using a 20:1 BOE wet etch, a 50 : 1 hydrofluoric (HF) wet etch, a pad etch, or any other similar hydrofluoric-based wet etching chemistry.
  • the hardmask 264 is substantially entirely stripped or removed (step 324 ).
  • the hardmask 264 can be removed using the same process and chemistry previously used to form openings in the hardmask.
  • the hardmask 264 includes a silicon nitride layer
  • it can be removed using a standard low pressure nitride etch at a moderate power (about 500 W) in a plasma of a fluorine containing gas, such as CF 4 , or CHF 3 , which exhibits good selectivity to silicon oxides, such as the silicon dioxide (SiO2) of the pad oxide 214 remaining over the MOS devices 210 a - 210 b in the MOS region 212 and the STI 202 structures, and to the underlying silicon of the substrate in the SONOS region 208 .
  • the silicon nitride can also be removed by a wet etch using Phosphoric acid (H 3 PO 4 ) at a temperature of about 150
  • CMOS process flow is performed to substantially complete the front end fabrication of a non-volatile memory including a pair of complementary SONOS devices integrally formed with a number of MOS devices, including at least one pair of CMOS devices.
  • FIG. 5 is a block diagram illustrating cross-sectional views of a portion of a memory cell fabricated to include a deep Nwell 270 concurrently formed in the P-SONOS region 208 a and the MOS region 212 in which the core MOS 210 a is subsequently formed.
  • the deep Nwell 270 can be implanted with Arsenic or Phosphorous at an energy of from about 500 to about 2000 kilo-electron volts (keV), and a dose of from about 5E12/cm 2 to about 2E13/cm 2 to form a deep Nwell.
  • keV kilo-electron volts
  • the P-SONOS region 208 a is shown as having been relocated to be adjacent to the MOS region 212 in which the core MOS 210 a is formed and the deep Nwell 270 is shown as being one contiguous well, it will be understood that this need not be the case in every embodiment, and the wells can concurrently be formed while remaining separate or non-contiguous by appropriate patterning of an implant mask.

Abstract

Methods of integrating complementary SONOS devices into a CMOS process flow are described. In one embodiment, the method begins with depositing a hardmask (HM) over a substrate including a first-SONOS region and a second-SONOS region. A first tunnel mask (TUNM) is formed over the HM exposing a first portion of the HM in the second-SONOS region. The first portion of the HM is etched, a channel for a first SONOS device implanted through a first pad oxide overlying the second-SONOS region and the first TUNM removed. A second TUNM is formed exposing a second portion of the HM in the first-SONOS region. The second portion of the HM is etched, a channel for a second SONOS device implanted through a second pad oxide overlying the first-SONOS region and the second TUNM removed. The first and second pad oxides are concurrently etched, and the HM removed.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims the benefit of priority under 35 U.S.C. 119(e) to U.S. Provisional Patent Application Ser. No. 61/936,506, filed Feb. 6, 2014, which is incorporated by reference herein.
  • TECHNICAL FIELD
  • The present disclosure relates generally to semiconductor devices, and more particularly to memory cells including embedded or integrally formed silicon-oxide-nitride-oxide-semiconductor (SONOS) devices and metal-oxide-semiconductor (MOS) devices and methods for fabricating the same.
  • BACKGROUND
  • For many applications, such as system-on-chip (SOC) architecture, it is desirable to integrate logic devices and interface circuits based upon MOS transistors or devices and silicon-oxide-nitride-oxide-semiconductor (SONOS) transistors or devices, on a single chip or substrate to create non-volatile memory (NVM). MOS devices are typically fabricated using a standard or baseline complimentary-metal-oxide-semiconductor (CMOS) process flows. SONOS devices include charge-trapping gate stacks in which a stored or trapped charge changes a threshold voltage of the non-volatile memory device to store information as a logic 1 or 0. The integration of these dissimilar devices in SOC architecture is challenging and becomes even more problematic when attempting to form complementary N and P-type SONOS devices with CMOS devices on a single chip or integrated circuit (IC).
  • SUMMARY
  • Methods of integrating complementary SONOS devices into a CMOS process flow are described. The method begins with depositing a hardmask (HM) over a substrate including a P-SONOS region and an N-SONOS region. In several embodiments, the substrate further includes a MOS region in which a number of MOS devices are to be formed and the HM is concurrently deposited over the MOS region. A first tunnel mask (TUNM) is formed over the HM exposing a first portion of the HM in the N-SONOS region. The first portion of the HM is etched, a channel for a N-type SONOS device implanted through a first pad oxide overlying the N-SONOS region and the first TUNM removed. A second TUNM is formed exposing a second portion of the HM in the P-SONOS region. The second portion of the HM is etched, a channel for a P-type SONOS device implanted through a second pad oxide overlying the P-SONOS region and the second TUNM removed. The first and second pad oxides are concurrently etched, and the HM removed.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Embodiments of the present invention will be understood more fully from the detailed description that follows and from the accompanying drawings and the appended claims provided below, where:
  • FIG. 1 is a flowchart illustrating an embodiment of a method for fabricating a memory cell including complementary silicon-oxide-nitride-oxide-semiconductor (SONOS) devices and a metal-oxide-semiconductor (MOS) devices;
  • FIGS. 2A-2S are block diagrams illustrating cross-sectional views of a portion of a memory cell during fabrication of the memory cell according to the method of FIG. 1; and
  • FIG. 3 is a flowchart illustrating another embodiment of a method for fabricating a memory cell including complementary SONOS devices and a metal-oxide-semiconductor (MOS) devices; and
  • FIGS. 4A-4J are block diagrams illustrating cross-sectional views of a portion of a memory cell during fabrication of the memory cell according to the method of FIG. 3; and
  • FIG. 5 is a block diagram illustrating cross-sectional views of a portion of a memory cell during fabrication of the memory cell according to an alternative embodiment of either the method of FIG. 1 or FIG. 3.
  • DETAILED DESCRIPTION
  • Embodiments of methods of integrating complimentary silicon-oxide-nitride-oxide-semiconductor (CSONOS) into a complimentary metal-oxide-semiconductor (CMOS) fabrication process or process flow to produce non-volatile memory (NVM) cells are described herein with reference to figures. However, particular embodiments may be practiced without one or more of these specific details, or in combination with other known methods, materials, and apparatuses. In the following description, numerous specific details are set forth, such as specific materials, dimensions and processes parameters etc. to provide a thorough understanding of the present invention. In other instances, well-known semiconductor design and fabrication techniques have not been described in particular detail to avoid unnecessarily obscuring the present invention. Reference throughout this specification to “an embodiment” means that a particular feature, structure, material, or characteristic described in connection with the embodiment is included in at least one embodiment of the invention. Thus, the appearances of the phrase “in an embodiment” in various places throughout this specification are not necessarily referring to the same embodiment of the invention. Furthermore, the particular features, structures, materials, or characteristics may be combined in any suitable manner in one or more embodiments.
  • The terms “over,” “under,” “between,” and “on” as used herein refer to a relative position of one layer with respect to other layers. As such, for example, one layer deposited or disposed over or under another layer may be directly in contact with the other layer or may have one or more intervening layers. Moreover, one layer deposited or disposed between layers may be directly in contact with the layers or may have one or more intervening layers. In contrast, a first layer “on” a second layer is in contact with that second layer. Additionally, the relative position of one layer with respect to other layers is provided assuming operations deposit, modify and remove films relative to a starting substrate without consideration of the absolute orientation of the substrate.
  • Briefly, in one embodiment the method begins with depositing a hardmask (HM) over a surface of a substrate including a first-SONOS region and a second-SONOS region in which a pair of complementary SONOS devices are to be formed. A first tunnel mask (TUNM) is formed over the HM exposing a first portion of the HM in the second-SONOS region, the first portion of the HM is etched, and a channel for a first SONOS device implanted through a first pad oxide overlying the second-SONOS region after which the first TUNM is removed. Next, a second TUNM is formed over the HM exposing a second portion of the HM in the first-SONOS region, the second portion of the HM is etched, and a channel for a second SONOS device implanted through a second pad oxide overlying the first-SONOS region after which the second TUNM is removed. Finally, the first and second pad oxides in the first-SONOS region and second-SONOS regions are concurrently etched, and the HM removed. The first and second SONOS regions are or will be doped with opposite types of dopants. Thus, although in the following exemplary embodiments the first-SONOS region is described as being a P-SONOS region and the second-SONOS region as an N-SONOS region, It will be understood that in other embodiments, the first-SONOS region may be an N-SONOS region and the second-SONOS region a P-SONOS region without departing from the scope of the invention.
  • The CSONOS devices may include devices or transistors implemented using Silicon-Oxide-Nitride-Oxide-Silicon (SONOS) or Metal-Oxide-Nitride-Oxide-Silicon (MONOS) technology.
  • An embodiment of a method for integrating or embedding CSONOS into a CMOS process flow will now be described in detail with reference to FIG. 1 and FIGS. 2A through 2S. FIG. 1 is a flowchart illustrating an embodiment of a method or process flow in which a hardmask is deposited over a surface of substrate before tunnel masks (TUNM) are formed for the CSONOS and SONOS wells and/or channels implanted. FIGS. 2A-2S are block diagrams illustrating cross-sectional views of a portion of a memory cell 200, including a pair of complementary SONOS devices and a pair or a number of MOS devices, two of which are shown, during fabrication of the memory cell according to the method of FIG. 1. In one embodiment, the pair of MOS devices is a pair of complementary MOS (CMOS) devices.
  • Referring to FIG. 1 and FIG. 2A, the process begins with forming a number of isolation structures 202 in a wafer or substrate 204 (step 102). The isolation structures 202 isolate the memory cell being formed from memory cells formed in adjoining areas (not shown) of the substrate 204 and/or isolate the pair of complementary SONOS devices 206 a-206 b being formed in a SONOS region 208 of the substrate from one another, and from a number of MOS devices 210 a-210 b being formed in one or more adjoining MOS regions 212, only one of which is shown.
  • It is noted that in the embodiment shown the pair of complementary SONOS devices include a p-type SONOS device (P-SONOS 206 a) formed in a P-SONOS region 208 a, and a N-type SONOS device (N-SONOS 206 b) formed in a N-SONOS region 208 b. By P-type SONOS device it is meant a device having a channel region doped with a P-type, acceptor dopant such as boron. Similarly, by N-type SONOS device it is meant a device having a channel region doped with an N-type, donor dopant such as phosphorus or arsenic.
  • It is noted that the number of MOS devices 210 a-210 b can include both low-voltage field effect transistors (LV-FET) in a core of a non-volatile memory (NVM) and high-voltage field effect transistors (HV-FET) in an input/output (I/O) circuit of the NVM. For purposes of explanation and to simplify the figures the MOS devices 210 a-210 b are shown as including a LV-FET 210 a in the core of the NVM and a HV-FET 210 b in the I/O circuit of the NVM. Although not shown in this figure, it will be understood the MOS devices 210 a-210 b can be and generally are one half of a complementary pairs of CMOS in the core and/or the I/O circuit of the NVM, all of which are integrally and concurrently formed along with the pair of the CSONOS devices.
  • The isolation structures 202 include a dielectric material, such as an oxide or nitride, and may be formed by any conventional technique, including but not limited to shallow trench isolation (STI) or local oxidation of silicon (LOCOS). The substrate 204 may be a bulk wafer composed of any single crystal or polycrystalline material suitable for semiconductor device fabrication, or may include a top epitaxial layer of a suitable material formed on a substrate. Suitable materials include, but are not limited to, silicon, germanium, silicon-germanium or a III-V compound semiconductor material.
  • A pad oxide 214 is formed over a surface 216 of the substrate 204 in both the NVM region 208 and the MOS regions 212. The pad oxide 214 can be silicon dioxide (SiO2) having a thickness of from about 10 nanometers (nm) to about 20 nm and can be grown by a thermal oxidation process or in-situ steam generation (ISSG).
  • Referring again to FIG. 1 and FIG. 2A, dopants are then implanted into substrate 204 through the pad oxide 214 to form channels 218 and wells 220 for one or more of the MOS devices 210 a-210 b that will be formed in the MOS region 212 (step 104). Generally, this involves several separate deposition, lithography, implant and stripping processes to implant wells and channels for different types of devices, formed in different areas, i.e., the core or I/O circuit of the NVM. For example, to perform well and channel implants of a N-type MOS device in the core, core MOS 210 a, a photoresist layer is deposited and patterned using standard lithographic techniques to block or shield both the SONOS region 208 and P-type devices in the MOS region 212, and implanting an appropriate ion species at an appropriate energy to an appropriate concentration. For example, BF2 can be implanted at an energy of from about 10 to about 100 kilo-electron volts (keV), and a dose of from about 1e12 cm−2 to about 1e14 cm−2 to form an N-type MOS (NMOS) transistor. A P-type MOS (PMOS) transistor may likewise be formed by implantation of Arsenic or Phosphorous ions at any suitable dose and energy. It is to be understood that implantation can be used to form channels 218, in all of the MOS regions 212 at the same time, or at separate times using standard lithographic techniques, including a patterned photoresist layer to mask one of the MOS regions. After the implants have been performed, the patterned resist layer is stripped in either an ashing process using oxygen plasma, or in a photoresist strip using a commercially available strip or solvent. Another photoresist layer is deposited and patterned to block or shield both the SONOS region 208 and N-type devices in the MOS region 212 prior to performing well and channel implants of a P-type MOS device in the core. The process is then repeated for the MOS devices in the MOS device in the I/O circuit, I/O MOS 210 b.
  • Next, referring to FIG. 1 and FIG. 2B a hardmask 222 is deposited over the surface 216 of the substrate 204 (step 106). Generally, the hardmask 222 is formed concurrently over both the P-SONOS region 208 a and the N-SONOS region 208 b. In some embodiments, such as that shown, the hardmask 222 is formed concurrently over substantially the entire surface 216 of the substrate 204, including both the P-SONOS region 208 a and the N-SONOS region 208 b and the MOS region 212.
  • Generally, the hardmask 222 can include one or more layers of material that can be patterned or opened using photoresist and standard lithographic techniques, but which is not itself photosensitive and protects underlying surface and structures formed therein from the photoresist and lithographic processes as well as from implants and etch process performed through openings formed in the hardmask. Suitable materials for the hardmask 222 include, for example, a layer of from about 5 to about 20 nm of silicon nitride (SixNy), or silicon oxynitride (SiON) deposited by any known nitride deposition process. For example, in one embodiment a nitride hardmask is formed in step 106 in a low pressure chemical vapor deposition (LPCVD) process using a silicon source, such as silane (SiH4), dichlorosilane (SiH2Cl2), tetrachlorosilane (SiCl4) or Bis-TertiaryButylAmino Silane (BTBAS), and a nitrogen source, such as NH3 and N2O.
  • Next, referring to FIG. 1 and FIG. 2C a first tunnel mask (TUNM 224) is formed by depositing a photoresist layer on or overlying substantially an entire surface of the hardmask 222, patterning the photoresist layer using standard lithographic techniques (step 108). Because an opening 226 in the patterned photoresist layer exposes a first portion of the HM 222 in the N-SONOS region 208 b, the first TUNM 224 may also be referred to as the N-TUNM.
  • Referring to FIG. 1 and FIG. 2D, the first portion of the HM exposed through the opening 226 in the first or N-TUNM 224 is etched or removed using any suitable wet or dry etching technique, depending on the material of the hardmask and the underlying structures or layers (step 110). For example, in those embodiments in which the hardmask 222 includes a layer of silicon nitride overlying a pad oxide 214, the hardmask can be etched using a standard low pressure nitride etch at a moderate power (about 500 W) in a plasma of a fluorine containing gas, such as CF4, or CHF3, which exhibits good selectivity to silicon oxides, such as the silicon dioxide (SiO2) of the underlying pad oxide 214 and/or the STI 202 structures.
  • Next, referring again to FIG. 1 and FIG. 2D, dopants of an appropriate energy and concentration are implanted through the opening in the hardmask 222 and the pad oxide 214 to form a channel 228 for the N-SONOS device 206 b, and, optionally, a well (not shown in this figure) in which the channel for the N-SONOS device is formed (step 112). In one embodiment, the well can be implanted with boron ions (BF2) at an energy of from about 100 to about 500 kilo-electron volts (keV), and a dose of from about 1E12/cm2 to about 5E13/cm2 to form a Pwell. The channel 228 can be implanted with Arsenic or Phosphorous ions at an energy of from about 50 to about 500 kilo-electron volts (keV), and a dose of from about 5e11cm−2 to about 5e12 cm−2 to form a N-SONOS device 206 b.
  • Referring to FIG. 1 and FIG. 2E, the first or N-TUNM 224 is removed or stripped in either an ashing process using oxygen plasma, or a photoresist strip or solvent (step 114).
  • Next, referring to FIG. 1 and FIG. 2F a second tunnel mask (TUNM 230) is formed by depositing a photoresist layer on or over substantially an entire surface of the hardmask 222 and the surface 216 of the substrate 204 exposed by the first hardmask etch step, and patterned using standard lithographic techniques (step 116). Because an opening 232 in the patterned photoresist layer exposes a second portion of the hardmask 222 in the P-SONOS region 208 a, the second TUNM 230 may also be referred to as the P-TUNM. It is noted that the pad oxide 214 isolates the surface 216 of the substrate 204 from the photoresist of second TUNM 230 in the N-SONOS region 208 b.
  • Referring to FIG. 1 and FIG. 2G, the second portion of the hardmask 222 exposed through the opening 232 in the second or P-TUNM 230 is etched or removed using any suitable wet or dry etching technique, depending on the material of the hardmask and the underlying structures or layers (step 118). For example, as described above in connection with N-TUNM 224, in those embodiments in which the hardmask 222 includes a layer of silicon nitride overlying a pad oxide 214, the hardmask can be etched using a standard low pressure nitride etch at a moderate power (about 500 W) in a plasma of a fluorine containing gas, such as CF4, or CHF3, which exhibits good selectivity to silicon oxides, such as the silicon dioxide (SiO2) of the underlying pad oxide 214 and/or the STI 202 structures.
  • Referring again to FIG. 1 and FIG. 2G, dopants of an appropriate energy and concentration are implanted through the opening in the hardmask 222 and the pad oxide 214 to form a channel 234 and a well or deep well 236 in which the P-SONOS device 206 a is formed (step 120). In one embodiment, the well can be implanted with Arsenic or Phosphorous at an energy of from about 200 to about 1000 kilo-electron volts (keV), and a dose of from about 1E12/cm2 to about 5E13/cm2 to form a deep Nwell. The channel 234 can be implanted with boron ions (BF2) at an energy of from about 10 to about 100 kilo-electron volts (keV), and a dose of from about 1e12 cm−2 to about 1e13 cm−2 to form a P-SONOS device 206 a.
  • Referring to FIG. 1 and FIG. 2H, the second or P-TUNM 230 is removed or stripped in either an ashing process using oxygen plasma, or a photoresist strip or solvent (step 122).
  • Next, referring to FIG. 1 and FIG. 2I, the pad oxide 214 over both the P-SONOS region 208 a and the N-SONOS region 208 b is concurrently removed in a tunnel mask etch through the openings previously formed in the hardmask 222 (step 124). The tunnel mask etch can be accomplished, for example, in a wet clean process using a 10:1 buffered oxide etch (BOE) containing a surfactant. Alternatively, the wet clean process can be performed using a 20:1 BOE wet etch, a 50:1 hydrofluoric (HF) wet etch, a pad etch, or any other similar hydrofluoric-based wet etching chemistry.
  • Referring to FIG. 1 and FIG. 2J, the hardmask 222 is substantially entirely stripped or removed (step 126). The hardmask 222 can be removed using the same process and chemistry previously used to form openings in the hardmask. For example, in embodiments in which the hardmask 222 includes a silicon nitride layer, it can be removed using a standard low pressure nitride etch at a moderate power (about 500 W) in a plasma of a fluorine containing gas, such as CF4, or CHF3, which exhibits good selectivity to silicon oxides, such as the silicon dioxide (SiO2) of the pad oxide 214 remaining over the MOS devices 210 a-210 b in the MOS region 212 and the STI 202 structures, and to the underlying silicon of the substrate in the SONOS region 208. Alternatively, the silicon nitride can also be removed by a wet etch using Phosphoric acid (H3PO4) at a temperature of about 150° C. to 160° C.
  • Referring to FIG. 1 and FIGS. 2K through 2L, a number of dielectric or oxide-nitride-oxide (ONO) layers, shown collectively as dielectric layers 238 in FIG. 2L, are formed or deposited over the surface 216 of the substrate 204, a mask formed on or overlying the dielectric layers, and the dielectric layers etched to form ONO or dielectric stacks 240 of the N-SONOS device 206 b and the P-SONOS device 206 a in the N-SONOS region 208 b and the P-SONOS region 208 a (step 128).
  • Referring to FIG. 2L, the number of dielectric layers 238 includes a tunneling layer 242 overlying the surface 216 of the substrate 204, a charge-trapping layer 244 overlying the tunneling layer and a blocking layer 246 overlying the charge-trapping layer. The tunneling layer 242 may be any material and have any thickness suitable to allow charge carriers to tunnel into an overlying charge-trapping layer under an applied gate bias while maintaining a suitable barrier to leakage when the SONOS devices (P-SONOS device 206 a and N-SONOS device 206 b) are unbiased. In certain embodiments, tunneling layer 242 is silicon dioxide, silicon oxy-nitride, or a combination thereof and can be grown by a thermal oxidation process, using ISSG or radical oxidation.
  • In one embodiment a silicon dioxide tunneling layer 242 may be thermally grown in a thermal oxidation process. For example, a layer of silicon dioxide may be grown utilizing dry oxidation at 750 degrees centigrade (° C.)-800° C. in an oxygen containing gas or atmosphere, such as oxygen (O2) gas. The thermal oxidation process is carried out for a duration approximately in the range of 50 to 150 minutes to effect growth of a tunneling layer 242 having a thickness of from about 1.0 nanometers (nm) to about 3.0 nm by oxidation and consumption of the exposed surface of substrate.
  • In another embodiment a silicon dioxide tunneling layer 242 may be grown in a radical oxidation process involving flowing hydrogen (H2) and oxygen (O2) gas into a processing chamber at a ratio to one another of approximately 1:1 without an ignition event, such as forming of a plasma, which would otherwise typically be used to pyrolyze the H2 and O2 to form steam. Instead, the H2 and O2 are permitted to react at a temperature approximately in the range of about 900° C. to about 1000° C. at a pressure approximately in the range of about 0.5 to about 5 Torr to form radicals, such as, an OH radical, an HO2 radical or an oxygen (O) diradical, at the surface of substrate. The radical oxidation process is carried out for a duration approximately in the range of about 1 to about 10 minutes to effect growth of a tunneling layer 242 having a thickness of from about 1.0 nanometers (nm) to about 4.0 nm by oxidation and consumption of the exposed surface of substrate. It will be understood that in this and in subsequent figures the thickness of tunneling layer 242 is exaggerated relative to the pad oxide 214, which is approximately 7 times thicker, for the purposes of clarity. A tunneling layer 242 grown in a radical oxidation process is both denser and is composed of substantially fewer hydrogen atoms/cm3 than a tunneling layer formed by wet oxidation techniques, even at a reduced thickness. In certain embodiments, the radical oxidation process is carried out in a batch-processing chamber or furnace capable of processing multiple substrates to provide a high quality tunneling layer 242 without impacting the throughput (wafers/hr.) requirements that a fabrication facility may require.
  • In another embodiment, tunneling layer 242 is deposited by chemical vapor deposition (CVD) or atomic layer deposition and is composed of a dielectric layer which may include, but is not limited to silicon dioxide, silicon oxy-nitride, silicon nitride, aluminum oxide, hafnium oxide, zirconium oxide, hafnium silicate, zirconium silicate, hafnium oxy-nitride, hafnium zirconium oxide and lanthanum oxide. In another embodiment, tunneling layer 242 is a multilayer tunneling layer including at least a bottom layer of a material such as, but not limited to, silicon dioxide or silicon oxy-nitride and a top layer of a material which may include, but is not limited to silicon nitride, aluminum oxide, hafnium oxide, zirconium oxide, hafnium silicate, zirconium silicate, hafnium oxy-nitride, hafnium zirconium oxide and lanthanum oxide.
  • Referring again to FIG. 2L, a charge-trapping layer 244 is formed on or overlying the tunneling layer 242. Generally, as in the embodiment shown, the charge-trapping layer is a multilayer charge-trapping layer having multiple layers including at least an oxygen-rich, substantially charge trap free lower or first charge-trapping layer 244 a closer to the tunneling layer 242, and an upper or second charge-trapping layer 244 b that is silicon-rich and oxygen-lean relative to the first charge-trapping layer and comprises a majority of a charge traps distributed in multilayer charge-trapping layer.
  • The first charge-trapping layer 244 a of a multilayer charge-trapping layer 244 can include a silicon nitride (Si3N4), silicon-rich silicon nitride or a silicon oxy-nitride (SiOxNy (Hz)). For example, the first charge-trapping layer 244 a can include a silicon oxynitride layer having a thickness of between about 1.5 nm and about 4.0 nm formed by a CVD process using dichlorosilane (DCS)/ammonia (NH3) and nitrous oxide (N2O)/NH3 gas mixtures in ratios and at flow rates tailored to provide a silicon-rich and oxygen-rich oxynitride layer.
  • The second charge-trapping layer 244 b of the multilayer charge-trapping layer is then formed over the first charge-trapping layer 244 a. The second charge-trapping layer 244 b can include a silicon nitride and silicon oxy-nitride layer having a stoichiometric composition of oxygen, nitrogen and/or silicon different from that of the first charge-trapping layer 244 a. The second charge-trapping layer 244 b can include a silicon oxynitride layer having a thickness of between about 2.0 nm and about 10.0 nm, and may be formed or deposited by a CVD process using a process gas including DCS/NH3 and N2O/NH3 gas mixtures in ratios and at flow rates tailored to provide a silicon-rich, oxygen-lean top nitride layer.
  • As used herein, the terms “oxygen-rich” and “silicon-rich” are relative to a stoichiometric silicon nitride, or “nitride,” commonly employed in the art having a composition of (Si3N4) and with a refractive index (RI) of approximately 2.0. Thus, “oxygen-rich” silicon oxynitride entails a shift from stoichiometric silicon nitride toward a higher wt. % of silicon and oxygen (i.e. reduction of nitrogen). An oxygen rich silicon oxynitride film is therefore more like silicon dioxide and the RI is reduced toward the 1.45 RI of pure silicon dioxide. Similarly, films described herein as “silicon-rich” entail a shift from stoichiometric silicon nitride toward a higher wt. % of silicon with less oxygen than an “oxygen-rich” film. A silicon-rich silicon oxynitride film is therefore more like silicon and the RI is increased toward the 3.5 RI of pure silicon.
  • Referring again to FIG. 2L, the number of dielectric layers further includes a blocking dielectric layer or blocking layer 246 that is formed on or overlying the charge-trapping layer 244. In one embodiment, the blocking layer 246 can include an oxidized portion of the silicon nitride of the underlying second charge-trapping layer 244 b, which is subsequently oxidized by in-situ-steam-generation (ISSG), or radical oxidation to form the blocking layer 246. In other embodiments, the blocking layer 246 can include a silicon oxide (SiO2) or a silicon oxynitride (SiON), deposited by CVD, and performed in a batch or single substrate processing chamber with or without an ignition event such as plasma. The blocking layer 246 can be a single layer of silicon oxide, having a substantially homogeneous composition, a single layer of silicon oxynitride having a gradient in stoichiometric composition, or, as in embodiments described below, can be a multilayer blocking layer including at least a lower or first blocking layer overlying the second charge-trapping layer 244 b, and a second blocking layer overlying the first blocking layer.
  • In one embodiment, the blocking layer 246 can include a silicon nitride, a silicon-rich silicon nitride or a silicon-rich silicon oxynitride layer having a thickness of between 2.0 nm and 4.0 nm formed by a CVD process using N2O/NH3 and DCS/NH3 gas mixtures.
  • Referring to FIGS. 1 and 2M, a gate oxide or GOX preclean is performed, and gate oxides for MOS transistors 210 a-210 b formed (step 130). Referring to FIG. 2M, the GOX preclean removes the remaining pad oxides 214 in the MOS regions 212 and at least a portion of the blocking layer 246 in a highly selective cleaning process. This cleaning process prepares the substrate 204 in the MOS region 212 for gate oxide growth. In one exemplary implementation the pad oxide 214 is removed in a wet clean process. Alternatively, the wet clean process can be performed using a 20:1 BOE wet etch, a 50:1 hydrofluoric (HF) wet etch, a pad etch, or any other similar hydrofluoric-based wet etching chemistry. In other embodiments, the cleaning process chemistry is chosen so as to remove only a negligible portion of the blocking layer 246.
  • In some embodiments, such as that shown in FIG. 2M, the oxidation process to form gate oxides for MOS transistors 210 a-210 b is a dual gate oxidation process to enable fabrication of both a first, thick, gate oxide 248 over the surface 216 of the substrate 204 in part of the MOS region 212 for a HV transistor, such as I/O MOSFET 210 b in the I/O circuit of the NVM, and a second, thinner gate oxide 250 for LV transistors, such as core MOSFET 210 a. Generally, the dual gate oxidation process involves forming the thicker gate oxide 248 over substantially all of the MOS region 212, using any known oxidation process in accordance with the methods described herein, forming a patterned photoresist mask using standard lithographic techniques covering the I/O MOSFET 210 b and NVM region 208, and removing the thick gate oxide covering core MOSFET 210 a by a wet etch process using a 10:1 buffered oxide etch (BOE) containing a surfactant, after which the photoresist mask is stripped or removed, and the second, thinner gate oxides 250 grown or deposited. The thinner gate oxides 250 can be grown, for example, to a thickness from about 1 nm to about 3 nm. It will be understood that, by controlling the thickness of the thick gate oxide 248 as initially formed there is no need to form an additional photoresist mask over the I/O MOSFET 210 b during subsequent formation of the thinner gate oxide 250 since the additional oxide merely adds insubstantially to the thickness of the thick gate oxide. Similarly, the oxidation process to form the thinner gate oxides 250 will have little to no detrimental impact on the blocking layer 246.
  • In another embodiment, the oxidation process to form the thick gate oxide 248 is also used to concurrently form a high temperature oxide (HTO) over the dielectric stack 240 of the SONOS devices 206 to provide a thicker oxide blocking layer 246 or an additional HTO layer of a multilayer blocking layer. The oxidation process can include in-situ-steam-generation (ISSG), CVD, or radical oxidation performed in a batch or single substrate processing chamber with or without an ignition event such as plasma. For example, in one embodiment the thick gate oxide 248 and the additional or thicker oxide layer of the blocking layer 246 may be grown in a radical oxidation process involving flowing hydrogen (H2) and oxygen (O2) gas into a processing chamber at a ratio to one another of approximately 1:1 without an ignition event, such as forming of a plasma, which would otherwise typically be used to pyrolyze the H2 and O2 to form steam. Instead, the H2 and O2 are permitted to react at a temperature approximately in the range of 800-1000° C. at a pressure approximately in the range of 0.5-10 Torr to form radicals, such as, an OH radical, an HO2 radical or an 0 diradical radicals at a surface of the blocking layer 246. The oxidation process is carried out for a duration approximately in the range of 1-5 minutes for a single substrate using an ISSG process, or 10-15 minutes for a batch furnace process to effect growth of the blocking layer 246 having a thickness of from about 2 nm to about 4.5 nm, and a thick gate oxide 248 having a thickness of from about 3 nm to about 7 nm.
  • Next, referring to FIGS. 1 and 2N-2O, a gate layer is deposited and patterned to concurrently form a gates 252 for the MOS devices 210 a, 210 b, and the SONOS devices 206 a, 206 b (step 132). Generally, the gate layer is a conductive, conformal layer deposited over substantially the entire surface 216 of the substrate 204 and all layers and structures formed thereon. A patterned photoresist mask (not shown) is then formed using standard lithographic techniques and the gate layer etched to remove the gate layer from areas not protected by the mask, stopping on top surfaces of the gate oxides 248, 250, and the dielectric stack (blocking layer 246) of the SONOS devices 206 a, 206 b.
  • In one embodiment, the gate layer includes a doped polysilicon or poly layer deposited using chemical vapor deposition (CVD) to a thickness of from about 30 nm to about 100 nm, and etched using standard polysilicon etch chemistries, such as CHF3 or C2H2 or HBr/O2 which are highly selective to the underlying material of the gate oxides 248, 250 and the dielectric stack 240. The polysilicon can be doped using phosphorus implant for NMOS and Boron implant for PMOS transistors. The implant doses are in the range of 1E15 to 1E16/cm2 at energies of 2 to 50 KeV.
  • Referring to FIG. 20, in some embodiments the gate layer is a multi-layer gate layer including one or more layers of a high work function or P+ metal, such as aluminum, Titanium or compounds or alloys thereof, in addition to or instead of polysilicon to form multi-layer gates 252 including a first, high work function metal layer 252 a and a second polysilicon layer 252 b.
  • Referring to FIGS. 1 and 2P a spacer layer is deposited and etched to form sidewall spacers 254 (spacer 1) adjacent to the gates 252 of the MOS and SONOS devices (step 134). The spacer layer can include a conformal layer of a dielectric material, such as silicon oxide (SiO2) or silicon nitride (SiN), deposited to a thickness of from about 10 nm to about 30 nm, using any known CVD technique as described herein. In an embodiment, where the spacer layer 254 includes silicon nitride, the etch may be accomplished or performed in a number of different ways including, for example, a low pressure blanket or spacer etch at a moderate power (about 500 W) in a plasma of a fluorine containing gas, such as CF4, or CHF3. Because no mask is used and the etching is highly anisotropic, substantially all of the spacer layer is etched or removed from the exposed surface 216 of the substrate 204, as well as horizontal surface of the gates 252, parallel to the surface of the substrate leaving spacers 254 adjacent to sidewalls of the gates of the of the MOS and SONOS devices.
  • Referring to FIG. 2P, it is noted that in embodiments in which the spacer layer includes an oxide, such as silicon-dioxide (SiO2), any of the dielectric stack 240 as well as any of the GOX 248, 250, remaining on the surface 216 of the substrate 204 and not covered by the gates 252 is advantageously removed along with portions of the spacer layer removed to form the spacers 254.
  • Referring to FIGS. 1 and 2Q, a patterned mask (not shown) is formed and source and drain (S/D) implants are performed to form source and drain (S/D) regions 256 for both the MOS devices 210 a,210 b, and the SONOS devices 206 a,206 b (step 136). The patterned mask can include a photoresist mask or a hardmask patterned to expose only the S/D regions of the SONOS and MOS devices. It is noted that in FIG. 2Q and following FIG. 2R only a portion of the substrate 204 including N-SONOS device 206 b and core MOS device 210 a are shown in order to more clearly show details of the S/D implants and silicides formed in subsequent step. It will be understood that as described in the detailed description above and below, the above S/D implant step as well as the silicides step below are performed on the P-SONOS device 206 a and I/O MOS device 210 b as well.
  • Referring to FIGS. 1, 2R and 2S, a silicide 258 is formed over the surface 216 of the substrate 204 in all S/D regions and a local interconnect and a metallization performed interconnecting some of the devices (step 138). The silicide process may be any commonly employed in the art, typically including a pre-clean etch, nickel metal deposition, anneal and wet strip. Advantageously, because the MOS devices 210 a, 210 b, and the complementary pair of SONOS devices (P-SONOS 206 a and N-SONOS 206 b) are integrally formed on the same substrate 204, the metallization process can be used to form a first metal layer 260 a or local interconnect (LI) electrically coupling or connecting the drain of the N-SONOS device to the drain of the PSONOS device. Optionally, as shown in the sources of the P-SONOS device 206 a and N-SONOS device 206 b may be further connected by a second metal layer 260 b or LI to one of the MOS devices 210 a,210 b, as shown in FIG. 2S, or connected to ohmic contacts (not shown) formed in the substrate 204. The metallization process may be any commonly employed in the art, typically including a pre-clean etch, metal deposition by CVD or PECVD, anneal and wet strip. Suitable metals for the metallization process include titanium (Ti), tantalum (Ta), tungsten (W) and nitrides or alloys thereof. In one embodiment, the metal layers 260 a, 260 b, are tungsten (W) deposited by CVD over a titanium (Ti) seed layer, and a titanium-nitride (TiN) barrier layer.
  • Finally, the standard or baseline CMOS process flow is continued to substantially complete the front end fabrication a non-volatile memory including a pair of complementary SONOS devices integrally formed with a number of MOS devices, including at least one pair of CMOS devices.
  • An embodiment of another method for integrating or embedding CSONOS into a CMOS process flow process flow will now be described in detail with reference to FIG. 3 and FIGS. 4A through 4J. FIG. 3 is a flowchart illustrating an embodiment of a method or process flow in which a hardmask is deposited over a surface of substrate after tunnel masks (TUNM) are formed for the CSONOS and SONOS wells and/or channels implanted. FIGS. 4A through 4J are block diagrams illustrating cross-sectional views of a portion of a memory cell 200, including a pair of complementary SONOS devices and a number of MOS devices, two of which are shown, during fabrication of the memory cell according to the method of FIG. 3.
  • As with the hardmask-first method described above the process begins with forming a number of isolation structures 202 in a wafer or substrate 204 and implanting dopants into substrate 204 through the pad oxide 214 to form channels 218 and wells 220 for one or more of the MOS devices 210 a-210 b. At this point the memory cell 200 is substantially identical to that described above following steps 102 and 104, and shown in FIG. 2A.
  • Next, referring to FIGS. 3 and 4A, a first tunnel mask (TUNM 224) is formed by depositing a photoresist layer on or overlying substantially an entire surface 216 of the substrate 204, patterning the photoresist layer using standard lithographic techniques (step 302). The deposition and patterning is accomplished in the same manner as that described in connection with step 108 above. It is noted that the pad oxides 214 isolates the surface 216 of the substrate 204 from the photoresist of first TUNM 224 in all active regions of the SONOS and MOS devices 206,210.
  • Referring again to FIG. 3 and FIG. 4A, dopants of an appropriate energy and concentration are implanted through the pad oxide 214 to form a channel 228 for the N-SONOS device 206 b, and, optionally, a well (not shown in this figure) in which the channel for the N-SONOS device is formed (step 304).
  • Referring to FIG. 3 and FIG. 4B, the first or N-TUNM 224 is removed or stripped in either an ashing process using oxygen plasma, or a photoresist strip or solvent (step 306).
  • Next, referring to FIG. 3 and FIG. 4C, a second tunnel mask (TUNM 230) is formed by depositing a photoresist layer on or over substantially an entire surface of the surface 216 and patterned using standard lithographic techniques (step 308). Because an opening 232 in the patterned photoresist layer exposes the P-SONOS region 208 a, the second TUNM 230 may also be referred to as the P-TUNM.
  • Referring again to FIG. 3 and FIG. 4C, dopants of an appropriate energy and concentration are implanted through the pad oxide 214 to form a channel 234 and a well or deep well 236 in which the P-SONOS device 206 a is formed (step 310).
  • Referring to FIG. 3 and FIG. 4D, the second or P-TUNM 230 is removed or stripped in either an ashing process using oxygen plasma, or a photoresist strip or solvent (step 312).
  • Next, referring to FIG. 3 and FIG. 4E a hardmask 264 is deposited over the surface 216 of the substrate 204 (step 314). The hardmask 264 is formed concurrently over both the P-SONOS region 208 a and the N-SONOS region 208 b. In some embodiments, such as that shown, the hardmask 264 is formed concurrently over substantially the entire surface 216 of the substrate 204, including both the P-SONOS region 208 a and the N-SONOS region 208 b and the MOS region 212.
  • Generally, the hardmask 264, like the hardmask 222 described above, can include can one or more layers of material that can be patterned or opened using photoresist and standard lithographic techniques, but which is not itself photosensitive and protects underlying surface and structures formed therein from the photoresist and lithographic processes as well as from implants and etch process performed through openings formed in the hardmask. Suitable materials for the hardmask 264 include, for example, a layer of from about 5 to about 20 nm of silicon nitride (SixNy), or silicon oxynitride (SiON) deposited by any known nitride deposition process. For example, in one embodiment a nitride hardmask is formed in step 314 in a low pressure chemical vapor deposition (LPCVD) process using a silicon source, such as silane (SiH4), dichlorosilane (SiH2Cl2), tetrachlorosilane (SiCl4) or Bis-TertiaryButylAmino Silane (BTBAS), and a nitrogen source, such as NH3 and N2O.
  • Next, referring to FIG. 3 and FIG. 4F, a third tunnel mask (TUNM 266) is formed by depositing a photoresist layer on or over substantially an entire surface of the hardmask 264, and patterned using standard lithographic techniques (step 316). Because an opening 268 in the patterned photoresist layer exposes a portion of the hardmask 264 in both the P-SONOS region 208 a and the N-SONOS region 208 b the third TUNM 266 may also be referred to as a complementary tunnel mask or C-TUNM.
  • Referring to FIG. 3 and FIG. 4G, the portion of the hardmask 264 exposed through the opening 268 in the third or C-TUNM 266 is etched or removed using any suitable wet or dry etching technique, depending on the material of the hardmask and the underlying structures or layers (step 318). For example, as described above in connection with N-TUNM 224 and P-TUNM 230, in those embodiments in which the hardmask 264 includes a layer of silicon nitride overlying a pad oxide 214, the hardmask can be etched using a standard low pressure nitride etch at a moderate power (about 500 W) in a plasma of a fluorine containing gas, such as CF4, or CHF3, which exhibits good selectivity to silicon oxides, such as the silicon dioxide (SiO2) of the underlying pad oxide 214 and/or the STI 202 structures.
  • Referring to FIG. 3 and FIG. 4H, the second or C-TUNM 266 is removed or stripped in either an ashing process using oxygen plasma, or a photoresist strip or solvent (step 320).
  • Next, referring to FIG. 3 and FIG. 4I, the pad oxide 214 over both the P-SONOS region 208 a and the N-SONOS region 208 b is concurrently removed in a tunnel mask etch through the opening formed in the hardmask 466 (step 322). The tunnel mask etch can be accomplished, for example, in a wet clean process using a 10:1 buffered oxide etch (BOE) containing a surfactant. Alternatively, the wet clean process can be performed using a 20:1 BOE wet etch, a 50:1 hydrofluoric (HF) wet etch, a pad etch, or any other similar hydrofluoric-based wet etching chemistry.
  • Finally, referring to FIG. 3 and FIG. 4J, the hardmask 264 is substantially entirely stripped or removed (step 324). The hardmask 264 can be removed using the same process and chemistry previously used to form openings in the hardmask. For example, in embodiments in which the hardmask 264 includes a silicon nitride layer, it can be removed using a standard low pressure nitride etch at a moderate power (about 500 W) in a plasma of a fluorine containing gas, such as CF4, or CHF3, which exhibits good selectivity to silicon oxides, such as the silicon dioxide (SiO2) of the pad oxide 214 remaining over the MOS devices 210 a-210 b in the MOS region 212 and the STI 202 structures, and to the underlying silicon of the substrate in the SONOS region 208. The silicon nitride can also be removed by a wet etch using Phosphoric acid (H3PO4) at a temperature of about 150° C. to 160C.
  • The method then continues as provided in steps 128 through 138 as described above, and a standard or baseline CMOS process flow is performed to substantially complete the front end fabrication of a non-volatile memory including a pair of complementary SONOS devices integrally formed with a number of MOS devices, including at least one pair of CMOS devices.
  • In an alternative embodiment of either of the methods shown in FIGS. 1 and 3, prior to depositing the hardmask 222/264 a well or wells are concurrently implanted for at least one of the number of MOS devices in the MOS region and a well for one of the pair of complementary SONOS devices in the P-SONOS region or the N-SONOS region. FIG. 5 is a block diagram illustrating cross-sectional views of a portion of a memory cell fabricated to include a deep Nwell 270 concurrently formed in the P-SONOS region 208 a and the MOS region 212 in which the core MOS 210 a is subsequently formed. As noted above, the deep Nwell 270 can be implanted with Arsenic or Phosphorous at an energy of from about 500 to about 2000 kilo-electron volts (keV), and a dose of from about 5E12/cm2 to about 2E13/cm2 to form a deep Nwell. Furthermore, although the P-SONOS region 208 a is shown as having been relocated to be adjacent to the MOS region 212 in which the core MOS 210 a is formed and the deep Nwell 270 is shown as being one contiguous well, it will be understood that this need not be the case in every embodiment, and the wells can concurrently be formed while remaining separate or non-contiguous by appropriate patterning of an implant mask.
  • Thus, embodiments of methods for fabricating memory cells including embedded or integrally pair of complementary SONOS devices and a number of MOS devices have been described. Although the present disclosure has been described with reference to specific exemplary embodiments, it will be evident that various modifications and changes may be made to these embodiments without departing from the broader spirit and scope of the disclosure. Accordingly, the specification and drawings are to be regarded in an illustrative rather than a restrictive sense.
  • The Abstract of the Disclosure is provided to comply with 37 C.F.R. §1.72(b), requiring an abstract that will allow the reader to quickly ascertain the nature of one or more embodiments of the technical disclosure. It is submitted with the understanding that it will not be used to interpret or limit the scope or meaning of the claims. In addition, in the foregoing Detailed Description, it can be seen that various features are grouped together in a single embodiment for the purpose of streamlining the disclosure. This method of disclosure is not to be interpreted as reflecting an intention that the claimed embodiments require more features than are expressly recited in each claim. Rather, as the following claims reflect, inventive subject matter lies in less than all features of a single disclosed embodiment. Thus, the following claims are hereby incorporated into the Detailed Description, with each claim standing on its own as a separate embodiment.
  • Reference in the description to one embodiment or an embodiment means that a particular feature, structure, or characteristic described in connection with the embodiment is included in at least one embodiment of the circuit or method. The appearances of the phrase one embodiment in various places in the specification do not necessarily all refer to the same embodiment.

Claims (17)

What is claimed is:
1. A method of manufacturing of a complementary silicon-oxide-nitride-oxide-silicon (SONOS) device, comprising:
depositing a hardmask (HM) over a surface of a substrate including a first-SONOS region and a second-SONOS region in which a pair of complementary P-SONOS and N-SONOS are to be formed concurrently;
forming a first tunnel mask (TUNM) over the HM exposing a first portion of the HM in the second-SONOS region;
etching the first portion of the HM, implanting a first channel for a first SONOS device through a first pad oxide overlying the second-SONOS region and removing the first TUNM;
forming a second TUNM over the HM exposing a second portion of the HM in the first-SONOS region;
etching the second portion of the HM, implanting a second channel for a second SONOS device through a second pad oxide overlying the first-SONOS region and removing the second TUNM, wherein the first and second channels include doping with opposite types of dopants; and
concurrently etching the first and second pad oxides in the second-SONOS region and the first-SONOS region, and removing the HM in the first- and second-SONOS regions immediately afterwards.
2. The method of claim 1 wherein the first-SONOS region comprises a P-SONOS region and the second-SONOS region comprises an N-SONOS region.
3. The method of claim 1 wherein the first-SONOS region comprises an N-SONOS region and the second-SONOS region comprises a P-SONOS region.
4. The method of claim 1 wherein forming the second TUNM comprises depositing photoresist over the HM and wherein the first pad oxide isolates the surface of the substrate from the photoresist in the second-SONOS region.
5. The method of claim 1 wherein the first-SONOS region comprises a P-SONOS region, and further comprising implanting a Nwell in the first-SONOS region through the second pad oxide prior to removing the second TUNM.
6. The method of claim 1 wherein the second-SONOS region comprises a N-SONOS region, and further comprising implanting a Pwell in the SONOS region through the first pad oxide prior to removing the first TUNM.
7. The method of claim 1 wherein the substrate further includes a MOS region in which a number of MOS devices are to be formed.
8. The method of claim 7 wherein the number of MOS devices include a pair of complementary MOS devices.
9. The method of claim 7 further comprising prior to depositing the HM concurrently implanting a well for at least one of the number of MOS devices in the MOS region and a well for one of the pair of complementary SONOS devices in the first SONOS region or the second-SONOS region.
10. The method of claim 7 further comprising after removing the HM depositing a gate layer over ONO stacks formed in the first-SONOS region, the second SONOS region and a gate oxide (GOx) in the MOS region and patterning the gate layer to concurrently form gates for the pair of complementary SONOS devices and at least one of the number of MOS devices.
11. The method of claim 10 further comprising after removing the HM:
forming source and drains for the pair of complementary SONOS devices and the number of MOS devices; and
concurrently forming a metal layer over the first-SONOS region, the second SONOS region and the MOS region to electrically couple a drain of the first SONOS device to drain of the second SONOS device, and to electrically couple a source of at least one of the pair of complementary SON OS devices to one of the number of MOS devices.
12-17. (canceled)
18. A method of manufacturing a SONOS device,
comprising:
depositing a hardmask (HM) over a surface of a substrate including a MOS region in which a number of MOS devices are to be formed, a P-SONOS region and a NSONOS region in which a pair of complementary SONOS devices are to be formed;
forming a first tunnel mask (TUNM) over the HM exposing a first portion of the HM in the N-SONOS region;
etching the first portion of the HM, implanting a first channel for a N-type SONOS device through a first pad oxide overlying the N-SONOS region and removing the first TUNM;
forming a second TUNM over the HM exposing a second portion of the HM in the P-SONOS region;
etching the second portion of the HM, implanting a second channel for a P-type SONOS device through a second pad oxide overlying the P-SONOS region and removing the second TUNM, wherein the first and second channels include doping with opposite types of dopants; and
concurrently etching the first and second pad oxides in the N-SONOS region and the P-SONOS region, and concurrently removing the HM from the N-SONOS region, the P-SONOS region and the MOS region immediately afterwards.
19. (canceled)
20. The method of claim 18 further comprising:
depositing a number of dielectric layers over the surface of the substrate, the dielectric layers include a tunneling layer overlying the surface of the substrate, a charge-trapping layer overlying the tunneling layer and a blocking layer overlying the charge-trapping layer; and
etching the number of dielectric layers to form dielectric stacks for the pair of complementary SONOS devices in the N-SONOS region and the P-SONOS region.
21. The method of claim 20 further comprising depositing a gate oxide (GOx) in the MOS region, wherein depositing the GOx comprises concurrently forming a high temperature oxide (HTO) on the blocking layer of the dielectric stacks for the pair of complementary SONOS devices.
22. The method of claim 20 further comprising depositing a gate layer over the dielectric stacks in the N-SONOS region, the P-SONOS region and the GOx in the MOS region and patterning the gate layer to concurrently form gates for the pair of complementary SONOS devices and at least one of the number of MOS devices.
US14/305,122 2013-12-12 2014-06-16 Complementary sonos integration into cmos flow Abandoned US20150171104A1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
US14/305,122 US20150171104A1 (en) 2013-12-12 2014-06-16 Complementary sonos integration into cmos flow
TW103139545A TW201535486A (en) 2013-12-12 2014-11-14 Complementary SONOS integration into CMOS flow
PCT/US2014/066545 WO2015088731A1 (en) 2013-12-12 2014-11-20 Complementary sonos integration into cmos flow
CN201480050479.8A CN105531828B (en) 2013-12-12 2014-11-20 Complementary SONOS integration flow into CMOS
US15/077,021 US9997528B2 (en) 2013-12-12 2016-03-22 Complimentary SONOS integration into CMOS flow
US15/708,008 US10002878B2 (en) 2013-12-12 2017-09-18 Complementary SONOS integration into CMOS flow

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361915390P 2013-12-12 2013-12-12
US201461936506P 2014-02-06 2014-02-06
US14/305,122 US20150171104A1 (en) 2013-12-12 2014-06-16 Complementary sonos integration into cmos flow

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US15/077,021 Continuation US9997528B2 (en) 2013-12-12 2016-03-22 Complimentary SONOS integration into CMOS flow
US15/708,008 Division US10002878B2 (en) 2013-12-12 2017-09-18 Complementary SONOS integration into CMOS flow

Publications (1)

Publication Number Publication Date
US20150171104A1 true US20150171104A1 (en) 2015-06-18

Family

ID=53369470

Family Applications (3)

Application Number Title Priority Date Filing Date
US14/305,122 Abandoned US20150171104A1 (en) 2013-12-12 2014-06-16 Complementary sonos integration into cmos flow
US15/077,021 Active US9997528B2 (en) 2013-12-12 2016-03-22 Complimentary SONOS integration into CMOS flow
US15/708,008 Active US10002878B2 (en) 2013-12-12 2017-09-18 Complementary SONOS integration into CMOS flow

Family Applications After (2)

Application Number Title Priority Date Filing Date
US15/077,021 Active US9997528B2 (en) 2013-12-12 2016-03-22 Complimentary SONOS integration into CMOS flow
US15/708,008 Active US10002878B2 (en) 2013-12-12 2017-09-18 Complementary SONOS integration into CMOS flow

Country Status (4)

Country Link
US (3) US20150171104A1 (en)
CN (1) CN105531828B (en)
TW (1) TW201535486A (en)
WO (1) WO2015088731A1 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180218907A1 (en) * 2017-01-30 2018-08-02 International Business Machines Corporation Plasma shallow doping and wet removal of depth control cap
US20190027486A1 (en) * 2017-07-18 2019-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and method for fabricating the same
JP2021503177A (en) * 2017-11-14 2021-02-04 ロンギチュード フラッシュ メモリー ソリューションズ リミテッド Bias method and prohibited disturbance reduction for word programming in non-volatile memory
US20210118876A1 (en) * 2019-10-18 2021-04-22 Taiwan Semiconductor Manufacturing Co., Ltd. High Voltage Transistor Structures
WO2023043785A1 (en) * 2021-09-15 2023-03-23 Infineon Technologies LLC Method of integrating sonos into hkmg flow
US11973130B2 (en) 2020-01-28 2024-04-30 X-Fab France SAS Method of forming asymmetric differential spacers for optimized MOSFET performance and optimized MOSFET and SONOS co-integration

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9824895B1 (en) 2016-09-27 2017-11-21 Cypress Semiconductor Corporation Method of integration of ONO stack formation into thick gate oxide CMOS flow
US10062573B1 (en) 2017-06-14 2018-08-28 Cypress Semiconductor Corporation Embedded SONOS with triple gate oxide and manufacturing method of the same
US10153039B1 (en) * 2017-07-05 2018-12-11 Micron Technology, Inc. Memory cells programmed via multi-mechanism charge transports
US20190103414A1 (en) 2017-10-04 2019-04-04 Cypress Semiconductor Corporation Embedded sonos with a high-k metal gate and manufacturing methods of the same

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6201725B1 (en) * 1998-12-22 2001-03-13 Oki Semiconductor Nonvolatile memory cell structure for integration with semiconductor logic devices and method of using same
US6998309B2 (en) * 2003-02-24 2006-02-14 Samsung Electronics Co., Ltd. Method of manufacturing a non-volatile semiconductor memory device
US7390718B2 (en) * 2004-02-20 2008-06-24 Tower Semiconductor Ltd. SONOS embedded memory with CVD dielectric
US20100149625A1 (en) * 2008-12-16 2010-06-17 Joshua Lu Method of Fabricating an Integrated Device
US7787303B2 (en) * 2007-09-20 2010-08-31 Cypress Semiconductor Corporation Programmable CSONOS logic element
US8067284B1 (en) * 2007-05-25 2011-11-29 Cypress Semiconductor Corporation Oxynitride bilayer formed using a precursor inducing a high charge trap density in a top layer of the bilayer
US8071453B1 (en) * 2009-04-24 2011-12-06 Cypress Semiconductor Corporation Method of ONO integration into MOS flow
US8163660B2 (en) * 2008-05-15 2012-04-24 Cypress Semiconductor Corporation SONOS type stacks for nonvolatile change trap memory devices and methods to form the same
WO2012125656A2 (en) * 2011-03-14 2012-09-20 Applied Materials, Inc. Methods for etch of sin films
US8409950B1 (en) * 2010-11-08 2013-04-02 Northrop Grumman Systems Corporation Method for integrating SONOS non-volatile memory into a sub-90 nm standard CMOS foundry process flow
US20130249010A1 (en) * 2012-03-20 2013-09-26 Taiwan Semiconductor Manufacturing Company, Ltd., ("Tsmc") Metal gate semiconductor device
US8546226B2 (en) * 2011-07-25 2013-10-01 United Microelectronics Corp. SONOS non-volatile memory cell and fabricating method thereof

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4628340A (en) * 1983-02-22 1986-12-09 Tokyo Shibaura Denki Kabushiki Kaisha CMOS RAM with no latch-up phenomenon
KR100493061B1 (en) * 2003-06-20 2005-06-02 삼성전자주식회사 Single chip data processing device having embeded nonvolatile memory
DE102004037089A1 (en) * 2004-07-30 2006-03-16 Advanced Micro Devices, Inc., Sunnyvale A technique for making a passivation layer prior to depositing a barrier layer in a copper metallization layer
US6946349B1 (en) * 2004-08-09 2005-09-20 Chartered Semiconductor Manufacturing Ltd. Method for integrating a SONOS gate oxide transistor into a logic/analog integrated circuit having several gate oxide thicknesses
US7816728B2 (en) 2005-04-12 2010-10-19 International Business Machines Corporation Structure and method of fabricating high-density trench-based non-volatile random access SONOS memory cells for SOC applications
US7598545B2 (en) * 2005-04-21 2009-10-06 International Business Machines Corporation Using metal/metal nitride bilayers as gate electrodes in self-aligned aggressively scaled CMOS devices
US20080150002A1 (en) 2006-12-22 2008-06-26 Jeong-Mo Hwang Simultaneous Formation of a Top Oxide Layer in a Silicon-Oxide-Nitride-Oxide-Silicon (SONOS) Transistor and a Gate Oxide in a Metal Oxide Semiconductor (MOS)
US8093128B2 (en) * 2007-05-25 2012-01-10 Cypress Semiconductor Corporation Integration of non-volatile charge trap memory devices and logic CMOS devices
US7790622B2 (en) * 2007-07-14 2010-09-07 Samsung Electronics Co., Ltd. Methods for removing gate sidewall spacers in CMOS semiconductor fabrication processes
US7848148B2 (en) 2007-10-18 2010-12-07 Macronix International Co., Ltd. One-transistor cell semiconductor on insulator random access memory
US8228726B2 (en) 2008-12-14 2012-07-24 Chip Memory Technology, Inc. N-channel SONOS non-volatile memory for embedded in logic
US7776757B2 (en) * 2009-01-15 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating high-k metal gate devices
US8637916B2 (en) 2010-04-12 2014-01-28 United Microelectronics Corp. Semiconductor device with mini SONOS cell
CN102263131B (en) * 2010-05-25 2013-05-01 中国科学院微电子研究所 Semiconductor device and formation method thereof
US8685813B2 (en) * 2012-02-15 2014-04-01 Cypress Semiconductor Corporation Method of integrating a charge-trapping gate stack into a CMOS flow

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6201725B1 (en) * 1998-12-22 2001-03-13 Oki Semiconductor Nonvolatile memory cell structure for integration with semiconductor logic devices and method of using same
US6998309B2 (en) * 2003-02-24 2006-02-14 Samsung Electronics Co., Ltd. Method of manufacturing a non-volatile semiconductor memory device
US7390718B2 (en) * 2004-02-20 2008-06-24 Tower Semiconductor Ltd. SONOS embedded memory with CVD dielectric
US8067284B1 (en) * 2007-05-25 2011-11-29 Cypress Semiconductor Corporation Oxynitride bilayer formed using a precursor inducing a high charge trap density in a top layer of the bilayer
US7787303B2 (en) * 2007-09-20 2010-08-31 Cypress Semiconductor Corporation Programmable CSONOS logic element
US8163660B2 (en) * 2008-05-15 2012-04-24 Cypress Semiconductor Corporation SONOS type stacks for nonvolatile change trap memory devices and methods to form the same
US20100149625A1 (en) * 2008-12-16 2010-06-17 Joshua Lu Method of Fabricating an Integrated Device
US8071453B1 (en) * 2009-04-24 2011-12-06 Cypress Semiconductor Corporation Method of ONO integration into MOS flow
US8409950B1 (en) * 2010-11-08 2013-04-02 Northrop Grumman Systems Corporation Method for integrating SONOS non-volatile memory into a sub-90 nm standard CMOS foundry process flow
WO2012125656A2 (en) * 2011-03-14 2012-09-20 Applied Materials, Inc. Methods for etch of sin films
US8546226B2 (en) * 2011-07-25 2013-10-01 United Microelectronics Corp. SONOS non-volatile memory cell and fabricating method thereof
US20130249010A1 (en) * 2012-03-20 2013-09-26 Taiwan Semiconductor Manufacturing Company, Ltd., ("Tsmc") Metal gate semiconductor device

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180218908A1 (en) * 2017-01-30 2018-08-02 International Business Machines Corporation Plasma shallow doping and wet removal of depth control cap
US20180218909A1 (en) * 2017-01-30 2018-08-02 International Business Machines Corporation Plasma shallow doping and wet removal of depth control cap
US10276384B2 (en) * 2017-01-30 2019-04-30 International Business Machines Corporation Plasma shallow doping and wet removal of depth control cap
US20180218907A1 (en) * 2017-01-30 2018-08-02 International Business Machines Corporation Plasma shallow doping and wet removal of depth control cap
US11158647B2 (en) * 2017-07-18 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device
US20190027486A1 (en) * 2017-07-18 2019-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and method for fabricating the same
US10510765B2 (en) * 2017-07-18 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and method for fabricating the same
JP2021503177A (en) * 2017-11-14 2021-02-04 ロンギチュード フラッシュ メモリー ソリューションズ リミテッド Bias method and prohibited disturbance reduction for word programming in non-volatile memory
JP7430138B2 (en) 2017-11-14 2024-02-09 ロンギチュード フラッシュ メモリー ソリューションズ リミテッド Biasing method and inhibition disturbance reduction for word programming in non-volatile memory
US20210118876A1 (en) * 2019-10-18 2021-04-22 Taiwan Semiconductor Manufacturing Co., Ltd. High Voltage Transistor Structures
US11942475B2 (en) * 2019-10-18 2024-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. High voltage transistor structure
US11973130B2 (en) 2020-01-28 2024-04-30 X-Fab France SAS Method of forming asymmetric differential spacers for optimized MOSFET performance and optimized MOSFET and SONOS co-integration
WO2023043785A1 (en) * 2021-09-15 2023-03-23 Infineon Technologies LLC Method of integrating sonos into hkmg flow

Also Published As

Publication number Publication date
CN105531828A (en) 2016-04-27
CN105531828B (en) 2019-12-06
US10002878B2 (en) 2018-06-19
US20160204120A1 (en) 2016-07-14
WO2015088731A1 (en) 2015-06-18
TW201535486A (en) 2015-09-16
US20180040625A1 (en) 2018-02-08
US9997528B2 (en) 2018-06-12

Similar Documents

Publication Publication Date Title
US10784277B2 (en) Integration of a memory transistor into High-k, metal gate CMOS process flow
US10854625B2 (en) Method of integrating a charge-trapping gate stack into a CMOS flow
US10002878B2 (en) Complementary SONOS integration into CMOS flow
US9911613B2 (en) Method of fabricating a charge-trapping gate stack using a CMOS process flow
US9893172B2 (en) Methods to integrate SONOS into CMOS flow
TWI779080B (en) Embedded sonos with a high-k metal gate and manufacturing methods of the same
US10103244B2 (en) Drain extended MOS transistors with split channel

Legal Events

Date Code Title Description
AS Assignment

Owner name: CYPRESS SEMICONDUCTOR CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:PRABHAKAR, VENKATRAMAN;RAMKUMAR, KRISHNASWAMY;KOUZNETSOV, IGOR;REEL/FRAME:033108/0194

Effective date: 20140612

AS Assignment

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., NEW YORK

Free format text: SECURITY INTEREST;ASSIGNORS:CYPRESS SEMICONDUCTOR CORPORATION;SPANSION LLC;REEL/FRAME:035240/0429

Effective date: 20150312

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: LONGITUDE FLASH MEMORY SOLUTIONS LTD., IRELAND

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:CYPRESS SEMICONDUCTOR CORPORATION;REEL/FRAME:049086/0803

Effective date: 20190503

AS Assignment

Owner name: SPANSION LLC, CALIFORNIA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:MORGAN STANLEY SENIOR FUNDING, INC.;REEL/FRAME:049109/0573

Effective date: 20190503

Owner name: CYPRESS SEMICONDUCTOR CORPORATION, CALIFORNIA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:MORGAN STANLEY SENIOR FUNDING, INC.;REEL/FRAME:049109/0573

Effective date: 20190503

AS Assignment

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., NEW YORK

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE 8647899 PREVIOUSLY RECORDED ON REEL 035240 FRAME 0429. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTERST;ASSIGNORS:CYPRESS SEMICONDUCTOR CORPORATION;SPANSION LLC;REEL/FRAME:058002/0470

Effective date: 20150312