CN1841676A - 使用原子层沉积法的氮化硅膜的形成方法 - Google Patents

使用原子层沉积法的氮化硅膜的形成方法 Download PDF

Info

Publication number
CN1841676A
CN1841676A CNA2006100662163A CN200610066216A CN1841676A CN 1841676 A CN1841676 A CN 1841676A CN A2006100662163 A CNA2006100662163 A CN A2006100662163A CN 200610066216 A CN200610066216 A CN 200610066216A CN 1841676 A CN1841676 A CN 1841676A
Authority
CN
China
Prior art keywords
reaction chamber
chemical species
ammonia
silicon nitride
radicals
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006100662163A
Other languages
English (en)
Other versions
CN100524650C (zh
Inventor
松浦广行
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1841676A publication Critical patent/CN1841676A/zh
Application granted granted Critical
Publication of CN100524650C publication Critical patent/CN100524650C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明涉及可以在低温下形成高应力的氮化硅膜的、利用ALD法,形成氮化硅膜的方法。此方法包括:(a)将二氯硅烷供给到反应室内,使得以二氯硅烷为前体的化学种吸附在半导体晶片上的工序;(b)对所述化学种中所含的氯进行氢化,从所述化学种中去除的工序;(c)将氨自由基供给到所述反应室内,利用此氨自由基,对已去除氯的所述化学种进行氮化,将其结果得到的硅氮化物堆积在所述被处理体上的工序,通过按所述工序(a)、(b)、(c)的顺序多次反复进行,在半导体晶片上形成期望厚度的氮化硅膜。

Description

使用原子层沉积法的氮化硅膜的形成方法
技术领域
本发明涉及使用原子层沉积法(ALD:Atomic Layer Deposition)形成氮化硅膜的技术。
背景技术
在半导体装置的制造工序中,包括使用ALD法在被处理体,例如在半导体晶片上形成氮化硅膜的工序。
ALD法是一种在某种成膜条件(温度、时间等)下,将用于成膜的两种(或两种以上)原料构成的气体,一种种交替供给到基板上,以一个原子层单位吸附,利用表面反应进行成膜的方法。例如,沿被处理体表面,交替流动第一原料气体和第二原料气体,使第一原料气体中的原料气体分子吸附在被处理体表面,使第二原料气体中的原料气体分子与此吸附的第一原料气体中的原料气体分子反应,由此形成一个分子层厚度的膜。通过反复该步骤,在被处理体表面形成高质量的电介质膜,特别是形成高介电常数的(high-k)膜。
特开2004-281853号公报记载有如下技术。通过ALD法,在交替提供二氯硅烷(DCS:SiH2Cl2)和氨(NH3),形成氮化硅膜的情况下,通过提供用等离子体使氨活化的氨自由基(NH3 *),在300℃~600℃的低温下,氮化硅膜可以成膜。
可是,使用ALD法在低温下成膜的氮化硅膜的湿蚀刻速率大,因此相对于氧化膜的蚀刻选择性(选择比)小。此外,在低温下成膜的氮化硅膜的膜应力低,不能实现所期望的应力强度。
发明内容
鉴于上述问题,本发明的目的是提供一种在低温下形成高应力的氮化硅膜的技术。
此外,本发明的目的在于提供一种形成湿蚀刻速率低的氮化硅膜的技术。
此外,本发明的另一目的在于提供一种控制氮化硅膜的应力的技术。
为了达到上述目的,根据本发明的第一观点,提供一种方法,在氮化硅膜的形成方法中,按工序(a)、(b)、(c)的顺序多次反复进行工序(a)、(b)、(c),包括:(a)向容纳被处理体的反应室内提供二氯硅烷,使得以二氯硅烷为前体的化学种吸附在上述被处理体上的工序;(b)奖氨自由基供给到上述反应室内,利用此氨自由基,对已去除氯的上述化学种进行氮化,将其结果得到的硅氮化物堆积在上述被处理体上的工序。
优选在上述工序(a)中,上述反应室内的压力为40Pa~100Pa。
优选在上述工序(c)中,将氨供给压力为70Pa~600Pa的等离子体发生部,形成氨自由基,将此氨自由基从上述等离子体发生部供给上述反应室。
优选在上述工序(b)中含有将氢自由基供给上述反应室内,使该氢自由基和上述化学种反应,由此,对上述化学种中所含的氯进行氢化的过程。
优选在上述工序(b)中,使上述反应室内的压力为40Pa~100Pa。
优选在上述工序(b)中,将氢供给压力为70Pa~400Pa的等离子体发生部,形成氢自由基,将该氢自由基从上述等离子体发生部供给上述反应室。
优选在上述工序(c)中,使上述反应室内的温度为200℃~500℃。
在按上述工序(a)、(b)、(c)的顺序多次反复进行前,可以不进行上述工序(b),多次反复进行上述工序(a)、(c)。
根据本发明的第二观点提供一种装置,在用于形成氮化硅膜的装置中,包括:容纳被处理体的反应室;对上述反应室内的气体进行排气的排气单元;将二氯硅烷供给到上述反应室内的二氯硅烷供给单元;将氢自由基供给到上述反应室内的氢自由基供给单元;将氨自由基供给上述反应室内的氨自由基供给单元,和控制单元,该控制单元控制上述二氯硅烷供给单元、上述氢自由基供给单元、上述氨自由基供给单元和上述排气单元,可以按照(a)、(b)、(c)的工序多次反复实施下述工序:(a)控制上述二氯硅烷供给单元,向上述反应室内供给二氯硅烷,使得以二氯硅烷为前体的化学种吸附在上述被处理体上的工序;(b)控制上述氢自由基供给单元,将氢自由基供给上述反应室内,对上述化学种中所含的氯进行氢化,从上述化学种中除去的工序;(c)控制上述排气单元,将反应室内的压力调节到40Pa~100Pa,同时控制上述氨自由基供给单元,将氨自由基供给上述反应室内,利用该氨自由基对已除去氯的上述化学种进行氮化,将其结果得到的硅氮化物堆积在上述被处理体上的工序。
根据本发明的第三观点,提供一种记录介质,记录有可利用处理装置的控制计算机实施的程序,通过实施该程序,上述控制计算机控制上述装置,实施在被处理体上形成氮化硅膜的方法,上述方法具有:(a)向容纳有被处理体的反应室内供给二氯硅烷,使得以二氯硅烷为前体的化学种吸附在上述被处理体上的工序;(b)对上述化学种中所含的氯进行氢化,从所述化学种中去除的工序;(c)将氨自由基供给上述反应室内,利用此氨自由基对已除去氯的上述化学种进行氮化,将其结果得到的硅氮化物堆积在上述被处理体上的工序,其特征在于,按(a)、(b)、(c)的顺序多次反复进行上述工序。
附图说明
图1是简要表示本发明的一实施方式的处理装置构成的纵截面图。
图2是简要的表示图1所示的处理装置构成的横截面图。
图3是表示图1所示处理装置的控制部分的构成的框图。
图4是表示本发明的形成氮化硅膜方法的处理方法的一个例子的图。
具体实施方式
下面参照附图说明本发明优选的实施方式。在下面说明的示例的实施方式中,使用间歇式纵型处理装置作为氮化硅膜的形成装置。
如图1所示,处理装置1的长度方向有朝向垂直方向的大体为圆筒型的反应管2。反应管2通过耐热和耐腐蚀性优异的材料制成,例如通过石英制成。
排气部3配置在反应管2的一侧的侧部,用于排出反应管2内的气体。排气部3设置在反应管2的侧壁上,同时通过沿反应管2向上延伸的未图示的开口与反应管2连通。排气部3的上端与配置在反应管2上部的排气口4连接。此排气口4上连接有未图示的排气管,排气管上设置有未图示的阀或后述的真空泵127等的压力调整机构。利用此压力调整机构,通过未图示的开口、排气部3、排气口4,将反应管2内的气体排向未图示的排气管,将反应管2内控制在所期望的压力(真空度)。
在反应管2的下方配置有盖体5。盖体5利用耐热和耐蚀性优异的材料形成,例如利用石英形成。此外,盖体5利用后述的舟升降机128,可以上下移动。盖体5通过舟升降机128上升,可关闭反应管2的下部开口(炉口),盖体5下降可以打开反应管2的下部开口(炉口)。
在盖体5上载置有晶舟6。晶舟6例如由石英制成。晶舟6可以在垂直方向以规定间隔容纳多块半导体晶片W。可以在盖体5上设置防止由于反应管2的炉口散热降低反应管2内的温度的保温筒、以及/或使得载置在其上的晶舟6旋转的旋转台,晶舟6也可以通过这些部件载置在盖体5上。此时,可以容易得将容纳在晶舟6内的半导体晶片W控制在均匀的温度。
在反应管2的周围包围有多个升温用加热器7。各加热器7可以由电阻发热体构成。通过加热器7将反应管2内部加热到规定温度,其结果将半导体晶片W加热到规定温度。
在反应管2的下端附近侧面上插通有多个处理气体供给管8、9,将处理气体(例如二氯硅烷(DCS:SiH2Cl2)、氨(NH3)、氢(H2)、氮(N2))供给到反应管2内。各处理气体供气管8、9通过后述的质量流量控制器(MFC)125,连接在未图示的处理气体供给源上。其中,在图1中仅表示了供给后述的有关等离子体处理的处理气体的处理气体供给管8(在本实施方式中,是供给氨和氢的处理气体供给管)。此外,在图2中表示了供给氨和氢的处理气体供气管8,和供给与后述的等离子体处理无关的处理气体的处理气体供气管9(在本实施方式中,是供给二氯硅烷和氮的处理气体供气管)。例如使用分散喷射器作为处理气体供气管9。
在反应管2另一侧的侧部,即在与排气部3相反侧的侧部,设置有等离子体发生部10。等离子体发生部10用耐热和耐蚀性优异的材料制成,例如用石英制成。等离子体发生部10具有:供给氨和氢的处理气体供气管8,和一对电极11。处理气体供气管8配置在电极11之间,使得可以将氨和氢供给到电极11之间。电极11连接在未图示的高频电源和匹配器上。通过匹配器从高频电源将高频电力施加在电极11之间,使得供给电极11之间的含有氨气的气体等离子体激发(活化),生成含有氨自由基(NH3 *)的活性种,将其从等离子体发生部10供给到反应管2内。
此外,在反应管2内配置有多个温度传感器122,例如由热电偶构成,用于测量反应管2内的温度,并且设置有多个压力计123,用于测量反应管2内的压力。
处理装置1具有对构成此处理装置1的各种功能部件进行控制的控制部100。图3表示控制部100的构成。如图3所示,控制部100上连接有操作面板121、多个温度传感器122、多个压力计123、加热器控制器124、MFC125、阀控制部126、真空泵127、舟升降机128、等离子体控制部129等。
操作面板121具有显示画面和操作按钮,操作员的操作指示传送给控制部100,将来自控制部100的各种各样的信息显示在显示画面上。
温度传感器122测量反应管2内和排气部3内的各部位的温度,将其测量值通知控制部100。压力计123测量反应管2内和排气部3内的各部位的压力,将测量值通知控制部100。
加热器控制器124用于分别控制各加热器7,响应来自控制部100的指示,对加热器7通电进行加热,此外,分别测量各加热器7的电能消耗,通知控制部100。
MFC125配置在处理气体供气管8、9等的各配管上,将流经各配管的气体流量控制在控制部100指示的流量,同时测量实际流过的气体流量,通知控制部100。
阀控制部126配置在各配管上,将配置在各配管上的阀开度控制为控制部100指示的值。真空泵127连接在排气管上,排放反应管2内的气体。
舟升降机128通过使盖体5上升,将搭载有半导体晶片W的晶舟6装载在反应管2内,通过使盖体5下降,将晶舟6从反应管2内卸载。
等离子体控制部129用于控制等离子体发生部10,响应控制部100的指示,控制等离子体发生部10,使供给到等离子体发生部10内的处理气体(例如氨气)活化,生成由处理气体得到的活性种(例如氨自由基(NH3 *))。
控制部100由方法存储部111、ROM112、RAM113、I/O端口114、CPU115、和将它们相互连接的总线116构成。
在方法存储部11 1中存储设置(setup)用方法和多个处理(process)用方法。在最初制造处理装置1时,仅在方法存储部111中装入设置用的方法。设置用的方法是在生成对应于各处理装置的热模式等时实施的。处理用方法是用户在每个实际进行的热处理(工艺)中准备的方法,规定了从向反应管2装载半导体晶片W到将处理后的半导体晶片W卸载的处理装置各部分的温度变化、反应管2内的压力变化、开始和停止供给处理气体的时间和供应量等。
ROM112由EEPROM、闪存器、硬盘或它们的组合等构成,是存储CPU115的动作程序等的记录介质。RAM113具有CPU115的工作区等的功能。
I/O端口114与操作面板121、温度传感器122、压力计123、加热器控制器124、MFC125、阀控制部126、真空泵127、舟升降机128、等离子体控制部129等连接,控制数据或信号的输入输出。
CPU(Central Processing Unit)115构成控制部100的中枢,实施存储在ROM112中的控制程序,按照操作面板121的指示,沿着存储在方法存储部111中的方法(工艺用方法),控制处理装置1的各功能部件的动作。即,CPU115通过温度传感器122、压力计123、MFC125等检测仪器测量反应管2内和排气管内的温度、压力、流量等,根据此测量的结果,向加热器控制器124、MFC125、阀控制部126、真空泵127等功能部件输出控制信号等,实现处理用方法中规定的处理条件。总线116在各要素之间传递信息。
下面说明使用上述处理装置1形成氮化硅膜的方法。在此利用ALD法在半导体晶片W上形成氮化硅膜。如图4的方法所示,形成氮化硅膜的方法具有DCS的供给步骤、氯的去除步骤和氨的供给步骤,这些步骤构成ALD法的一个周期。通过多次反复实施此周期,例如反复实施200周期,在半导体晶片W上形成所期望的氮化硅膜。
其中,在以下的说明中,构成处理装置1的功能部件的动作利用控制部100(CPU115)进行控制。此外,在各步骤中的反应管2内的温度、压力、气体的流量等的处理条件如上所述,通过控制部100(CPU115)控制加热器控制器124(升温用加热器7)、MFC125(处理气体供气管8、9)、阀控制部126、真空泵127、等离子体控制部129(等离子体发生部10)等,使得以图4所示的方法(时序)中规定的方式进行。
首先将作为被处理体的半导体晶片W装载到反应管2内。详细地说,首先,用加热器7将反应管2内保持在规定的装载温度,向反应管2内供给规定量的氮。接着,将容纳有半导体晶片W的晶舟6载置在盖体5上。然后通过舟升降机128使盖体5上升,将晶舟6装载到反应管2内。
然后,实施DCS的供给步骤。如图4(c)所示,从处理气体供给管9向反应管2内供给例如0.5slm的规定流量的氮,同时如图4(a)所示,用加热器7使反应管2内达到例如400℃的规定温度。此外,如图4(b)所示,将反应管2内的气体排出,使反应管2内达到例如400Pa的规定的压力。此操作持续到反应管2稳定在规定的压力和温度(稳定化阶段)。
反应管2内稳定在规定的压力和温度下时,如图4(d)所示,例如以1slm的规定流量从处理气体供给管9向反应管2内提供DCS,此外,如图4(c)所示,以例如0.5slm的规定的流量将氮供给到反应管2内。
反应管2内的温度优选为200℃~500℃。如低于200℃,有可能不能使氮化硅膜成膜,如高于500℃,没有必要氢化。反应管2内的温度更优选为390℃~410℃。通过设定在这样范围的温度下,可以提高氮化硅膜的成膜速率,同时可以实现提高最终得到的氮化硅膜的膜厚均匀性、湿蚀刻速率、膜的应力等各种特性。
其中,从管理成膜条件的观点出发,在ALD法中,优选不使反应管2内的温度变化,所以如后述,在氯的去除步骤和氨的供给步骤中,使反应管2内的温度也与DCS供给步骤时相同,为400℃。
反应管2内的压力优选为400Pa~1200Pa。通过将压力设定在此范围,可以提高半导体晶片W表面的氮原子和DCS的反应速度。反应管2内的压力更优选为800Pa~1000Pa。通过将压力设定在此范围,容易控制反应管2内的压力。
此外,DCS的供给量优选为1slm~5slm。如少于1slm,有可能不能在半导体晶片W表面的氮原子上供给充分的DCS,如多于5slm,有可能使得不与半导体晶片W表面的氮原子反应的DCS过多。DCS的供给量更优选为3slm~4.5slm。通过设定在此范围,能促进半导体晶片W表面的氮原子和DCS的反应。
供给的DCS在反应管2内被加热活化,如下面的反应式1所示,与半导体晶片W表面的NH2反应,吸附在半导体晶片W的表面。
反应式1
吸附规定量的DCS后,停止从处理气体供气管9供给DCS。对反应管2内抽气,同时如图4(c)所示,从处理气体供气管9向反应管2内提供例如5slm的规定量的氮,由此排出反应管2内的气体,使反应管2净化(净化、真空(Vacuum)阶段)。
随后实施氯的去除步骤。氯的去除步骤是指:在DCS的供给步骤中,对在吸附在半导体晶片W上的以DCS为前体的化学种(-SiH2Cl)中所含的氯进行氢化,从该化学种中除去的工序。在本实施方式中,通过向半导体晶片W提供氢自由基,将所述氯置换成氢。
在去除氯的步骤中,首先如图4(c)所示,从处理气体供气管9向反应管2内提供例如0.5slm的规定量的氮,同时如图4(a)所示,利用加热器7使反应管2内成为例如400℃的规定温度。此外,排出反应管2内的气体,如图4(b)所示,使反应管2内成为例如40Pa的规定压力。持续此操作,直到反应管2内稳定在规定的压力和温度(稳定化阶段)。
反应管2内稳定在规定的压力和温度后,如图4(g)所示,从未图示的高频电源通过匹配器,将高频电力施加(RF:ON)在电极11之间,并且如图4(e)所示,例如以3slm的规定流量从处理气体供气管8向一对电极11之间(等离子体发生部10内)提供氢。供给到电极11之间的氢被等离子体激发(活化),变成氢自由基(H2 *、H*),将其从等离子体发生部10供给反应管2内。此外,如图4(c)所示,从处理气体供气管9以规定流量,例如0.5slm向反应管2内供给氮(流动(flow)阶段)。
其中,氢流量优选为0.5slm~5slm。通过设定在此范围,可以无障碍的生成等离子体,可以提供充分的氢自由基,将半导体晶片W上的氯置换为氢。氢流量更优选为1.5slm~2.3slm。通过设定在此范围,可以进一步降低形成的膜中的氧浓度。
RF功率优选为50W~1000W,更优选为100W~300W。超过300W,有可能使得构成等离子体发生部10的石英壁受到损害。
反应管2内的压力优选为40Pa~100Pa。通过将压力设定在此范围,容易生成氢自由基,并且在放置半导体晶片W的空间中,氢自由基的平均自由程大。反应管2内的压力更优选为50Pa~70Pa。通过将压力设定在此范围,容易控制反应管2内的压力。
此外,等离子体发生部10的压力优选为70Pa~400Pa。更优选为350Pa~400Pa。通过将压力设定在此范围,可以没有问题的生成等离子体,可以提供充分的氢自由基,用于将半导体晶片W上的氯置换成氢。
向反应管2内提供氢自由基时,如反应式2所示,在来自DCS的化学种(-SiH2Cl)中含有的氯(Cl)和氢自由基(反应式2中的H2)反应,将所述化学种中的氯置换成氢,同时所述氯和氢结合形成氯化氢,从所述化学种中除去。
反应式2
由此,通过进行氯的去除步骤除去氯,可以使最终形成的氮化硅膜中的氯浓度降低。使氮化硅膜中的氯浓度降低,如后所述,可以抑制湿蚀刻速率增大。
从半导体晶片W上去除期望量的氯后,停止从处理气体供气管8供给氢,同时停止从高频电源施加高频电力。接着,从反应管2内抽气,同时如图4(c)所示,从处理气体供气管9以例如0.5slm的规定流量向反应管2内供给氮,将反应管2内的气体排出到反应管2外(真空(Vacuum)阶段)。
然后实施氨的供给步骤。首先,如图4(c)所示,从处理气体供气管9向反应管2内提供例如0.5slm的规定流量的氮,同时如图4(a)所示,利用加热器7使反应管2内成为例如400℃的规定温度。此外,从反应管2内抽气,如图4(b)所示,使反应管2成为例如40Pa的规定压力。然后持续此操作,直到反应管2稳定在规定的压力和温度(稳定化阶段)。
反应管2内稳定在规定的压力和温度后,如图4(g)所示,从未图示的高频电源通过匹配器,将高频电力施加(RF:ON)在电极11之间,同时如图4(f)所示,例如以3slm的规定流量从处理气体供气管8将氨供给到一对电极11之间(等离子体发生部10内)。供给到电极11之间的氨被等离子体激发(活化),形成氢自由基(NH3 *),将其从等离子体发生部10供给到反应管2内。此外,如图4(c)所示,从处理气体供气管9向反应管2内提供例如0.5slm的规定流量的氮(流动(flow)阶段)。
其中,氨供给量优选为1slm~5slm。更优选为3slm~5slm。通过设定在此范围,可以没有问题地生成等离子体,可以提供充分的氨自由基,用于将通过氯去除步骤已去除氯的化学种(-SiH基)氮化(成为-SiNH2基)。
RF功率优选为50W~1000W,更优选为50W~300W。超过300W,有可能会使构成等离子体发生部10的石英壁受到损害。
反应管2内的压力优选为40Pa~100Pa。通过将压力设定在此范围,容易生成氨自由基,并且在放置有半导体晶片W的空间中,氨自由基的平均自由程大。反应管2内的压力更优选为50Pa~70Pa。通过将压力设定在此范围,容易控制反应管2内的压力。
此外,等离子体发生部10内的压力优选为70Pa~600Pa。更优选为280Pa~330Pa。通过将压力设定在此范围,可以没有问题地生成等离子体,可以提供充分量的氨自由基,用于将通过氯去除步骤已去除氯的化学种(-SiH基)氮化(成为-SiNH2基)。
如反应式3所示,通过将氨自由基供给到反应管2内,将半导体晶片W表面的-SiH基置换成-SiNH2基(在反应式3中,用NH3将-SiH3基置换成-Si(H2)NH2基)(氮化),由此,在半导体晶片W上形成非常薄的硅氮化物的膜(SiN膜)。
反应式3
其中,在半导体晶片W表面存在-SiCl基(此情况在本实施方式中,在不进行去除氯的步骤的情况下产生)的情况下,将氨自由基供给到反应管2内,在半导体晶片W表面上难以发生反应式4所示的反应,反应式5所示的反应起支配作用。
反应式4
反应式5
这是因为反应管2内的温度在400℃这样的低温下,生成物稳定的H2脱离的反应式5的反应起支配作用。这种情况下,在半导体晶片W上形成的氮化硅膜中的氯浓度高。于是,氮化硅膜的湿蚀刻速率变大,其结果氮化硅膜相对氧化膜的蚀刻选择性(选择比)变小。此外,氮化硅膜的膜应力(应力强度)变低,不能实现要求的应力强度。
在本实施方式中,在供给氨自由基前,供给氢自由基,如反应式2所示去除氯。因此,可以使最终得到的氮化硅膜中的氯浓度降低,可以在低温下形成高应力的氮化硅膜。此外,形成的氮化硅膜可以抑制湿蚀刻速率。
再者,通过氯的去除步骤,将Si-Cl键置换成Si-H键,因此,在氨的供给步骤中促进反应式3的反应。因此,可以提高最终得到的氮化硅膜中的氮的浓度。其结果可以在低温下得到高应力的氮化硅膜。
以上说明的DCS供给步骤、氯去除步骤和氨供给步骤作为一个周期,多次反复此周期,例如反复200次。由此,可以在半导体晶片W上形成所期望膜厚的氮化硅膜。
在半导体晶片W上形成所期望膜厚的氮化硅膜后,将半导体晶片W卸载。具体说,从处理气体供气管9向反应管2内提供规定量的氮,使反应管2内的压力返回到常压,同时利用加热器7将反应管2内保持在规定温度。然后,用舟升降机128使盖体5下降,将半导体晶片W卸载。
如上所述,按照上述的实施方式,将在以DCS为前体的吸附物中所含的氯去除后,供给氨,使上述吸附物氮化,因此,可以使形成的氮化硅膜中的氯浓度降低。因此,在低温下可以形成高应力的氮化硅膜。此外,可以抑制形成的氮化硅膜的湿蚀刻速率。
此外,本发明不限于上述的实施方式,可以有各种各样的变形和应用。下面说明可适用于本发明的其他实施方式。
在所述的实施方式中,将DCS供给步骤、氯去除步骤和氨供给步骤作为一个周期,反复进行该周期200次。替代此方式,也可以在最初的100个周期不实施氯的去除步骤,仅实施DCS的供给步骤和氨的供给步骤构成的一个周期。由此,通过调整氯的去除步骤的实施次数,可以控制氮化硅膜的应力。这种情况下,在低温下也可以形成高应力的氮化硅膜,此外,可以抑制形成的氮化硅膜的湿蚀刻速率。此外,也可以变更氯的去除步骤的流动工序的时间,可以调整氮化硅膜中的氯浓度,控制氮化硅膜的应力。
在上述实施方式中,在形成氮化硅膜时,实施了200个周期的DCS供给步骤、氯去除步骤和氨供给步骤,但也不限于此,也可以进行例如50个周期、100个周期的更少的周期数,此外,也可以实施例如300个周期、400个周期的更多的周期数。这种情况下,通过根据周期数适当调整例如DCS和氨的供给量、RF功率的工序条件,可以形成期望膜厚的氮化硅膜。
在上述实施方式中,用等离子体使氢和氨活化,生成氢自由基和氨自由基,作为其他的活化氢和氨的方法,也可以使用磁力、紫外线等。
在上述实施方式中,实施通过提供氢自由基去除氯的步骤,在本发明的方法中,在DCS的供给步骤和氨的供给步骤之间,也可以实施在膜中含有的来自DCS的氯的去除步骤,去除氯的方法不限于氢自由基。
在上述实施方式中,提供DCS等的处理气体时,可以同时供给氮作为稀释气体,也可以不提供稀释气体。但是,优选将稀释气体混合在处理气体中,从容易设定处理时间的方面考虑,优选含有稀释气体。稀释气体优选惰性气体,除了氮气以外,例如利用使用氦气(He)、氖气(Ne)、氩气(Ar)。
在上述实施方式中,使用处理装置1形成氮化硅膜,处理装置1设置有提供与等离子体处理有关的处理气体的处理气体供气管8和提供与等离子体处理无关的处理气体的处理气体供气管9,但并不限于此。例如,也可以每种处理气体设置处理气体供气管。此外,也可以在反应管2的下端附近的侧面,***多根处理气体供气管8和多根处理气体供气管9,从多根处理气体供气管同时向反应管2内提供相同气体。由此,可以更均匀地向反应管2内提供处理气体。
在上述实施方式中,作为处理装置1,使用单管结构的间歇式处理装置,但也可以使用其他形式的处理装置,例如反应管2也可以使用由内管和外管构成的双重管结构的间歇式纵型处理装置。此外,被处理体不限定半导体晶片W,例如也可以是LCD用的玻璃基板。
此外,处理装置1的控制部100不使用该处理装置专用的计算机***,使用通用的计算机***也可以实现。例如通过在通用计算机中装入实施上述处理的程序的记录介质(软盘、CD-ROM等),安装该程序,可以构成实施上述处理的控制部100。
此外,用于提供所述程序的方式是任意的。如上所述,除了通过规定的记录介质提供外,例如也可以通过通信线路、通信网络、通信***等提供。例如,也可以在电子公告板(BBS:Bulletin Board System)中公布该程序,通过通信网络将其重叠在载波上来提供。然后启动该提供的程序,在OS(Operation System)的控制下,通过与其他的应用程序同样实施,可以实施上述的处理。

Claims (10)

1.一种氮化硅膜的形成方法,其特征在于,
包括:(a)将二氯硅烷供给到容纳有被处理体的反应室内,使得以二氯硅烷为前体的化学种吸附在所述被处理体上的工序;
(b)对所述化学种中所含的氯进行氢化,从所述化学种中去除的工序;和
(c)将氨自由基供给到所述反应室内,利用此氨自由基,对已去除氯的所述化学种进行氮化,将其结果得到的硅氮化物堆积在所述被处理体上的工序,
按所述工序(a)、(b)、(c)的顺序多次反复进行。
2.如权利要求1所述的方法,其特征在于,在所述工序(a)中,所述反应室内的压力为40Pa~100Pa。
3.如权利要求1所述的方法,其特征在于,在所述工序(c)中,将氨供给到压力为70Pa~600Pa的等离子体发生部,形成氨自由基,将该氨自由基从所述等离子体发生部供给到所述反应室。
4.如权利要求1所述的方法,其特征在于,在所述工序(b)中包括将氢自由基供给到所述反应室内,使该氢自由基与所述化学种反应,由此,对所述化学种中所含的氯进行氢化的过程。
5.如权利要求4所述的方法,其特征在于,在所述工序(b)中,所述反应室内的压力为40Pa~100Pa。
6.如权利要求4所述的方法,其特征在于,在所述工序(b)中,将氢供给到压力为70Pa~400Pa的等离子体发生部,形成氢自由基,将该氢自由基从所述等离子体发生部供给到所述反应室内。
7.如权利要求1所述的方法,其特征在于,在所述工序(c)中,所述反应室内的温度为200℃~500℃。
8.如权利要求1所述的方法,其特征在于,在按所述工序(a)、(b)、(c)的顺序多次反复进行前,不进行所述工序(b),多次反复进行所述工序(a)、(c)。
9.一种用于形成氮化硅膜的装置,其特征在于,
包括:容纳被处理体的反应室;
对所述反应室内的气体进行排气的排气单元;
将二氯硅烷供给到所述反应室内的二氯硅烷供给单元;
将氢自由基供给到所述反应室内的氢自由基供给单元;
将氨自由基供给到所述反应室内的氨自由基供给单元;和
控制单元,
该控制单元控制所述二氯硅烷供给单元、所述氢自由基供给单元、所述氨自由基供给单元和所述排气单元,按照下述工序(a)、(b)、(c)的顺序多次反复实施下述工序:
(a)控制所述二氯硅烷供给单元,将二氯硅烷供给到所述反应室内,以二氯硅烷为前体的化学种吸附在所述被处理体上的工序;
(b)控制所述氢自由基供给单元,将氢自由基供给到所述反应室内,对所述化学种中所含的氯进行氢化,从所述化学种中去除的工序;
(c)控制所述排气单元,将反应室内的压力调节到40Pa~100Pa,同时,控制所述氨自由基供给单元,将氨自由基供给到所述反应室内,利用该氨自由基,对已去除氯的所述化学种进行氮化,将其结果得到的硅氮化物堆积在所述被处理体上的工序。
10.一种记录介质,记录有可利用处理装置的控制计算机实行的程序,其特征在于,通过实施该程序,所述控制计算机控制所述装置,实施在被处理体上形成氮化硅膜的方法,
所述方法包括:(a)将二氯硅烷供给到容纳有被处理体的反应室内,使得以二氯硅烷为前体的化学种吸附在所述被处理体上的工序;
(b)对所述化学种中所含的氯进行氢化,从所述化学种中去除的工序;
(c)将氨自由基供给到所述反应室内,利用此氨自由基,对已去除氯的所述化学种进行氮化,将其结果得到的硅氮化物堆积在所述被处理体上的工序,
按所述工序(a)、(b)、(c)的顺序多次反复进行。
CNB2006100662163A 2005-03-28 2006-03-28 使用原子层沉积法的氮化硅膜的形成方法 Active CN100524650C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2005092353 2005-03-28
JP2005092353A JP4607637B2 (ja) 2005-03-28 2005-03-28 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム

Publications (2)

Publication Number Publication Date
CN1841676A true CN1841676A (zh) 2006-10-04
CN100524650C CN100524650C (zh) 2009-08-05

Family

ID=37030614

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2006100662163A Active CN100524650C (zh) 2005-03-28 2006-03-28 使用原子层沉积法的氮化硅膜的形成方法

Country Status (5)

Country Link
US (1) US7625609B2 (zh)
JP (1) JP4607637B2 (zh)
KR (1) KR100944842B1 (zh)
CN (1) CN100524650C (zh)
TW (1) TWI375275B (zh)

Cited By (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101769247B (zh) * 2010-01-14 2012-02-01 友达光电股份有限公司 抽气装置
CN102560417A (zh) * 2010-12-21 2012-07-11 东京毅力科创株式会社 氮化硅膜的成膜方法和成膜装置
CN101688300B (zh) * 2007-07-03 2012-07-25 Beneq有限公司 沉积金属氧化物材料的方法
CN102844883A (zh) * 2010-02-12 2012-12-26 速力斯公司 用于制造光电池和微电子器件的半导体衬底的双面可重复使用的模板
CN101651174B (zh) * 2008-08-12 2013-01-23 昆山中辰硅晶有限公司 供半导体光电元件外延用的半导体基板及其制造方法
CN103531462A (zh) * 2012-06-28 2014-01-22 东京毅力科创株式会社 氧化硅膜的形成方法及氧化硅膜的形成装置
CN103866288A (zh) * 2014-03-27 2014-06-18 北京七星华创电子股份有限公司 一种用于原子层薄膜沉积的反应装置及方法
CN105870249A (zh) * 2016-03-24 2016-08-17 江苏微导纳米装备科技有限公司 一种晶硅太阳能电池的制造工艺
CN106057637A (zh) * 2015-04-03 2016-10-26 朗姆研究公司 通过原子层沉积和原子层蚀刻沉积共形膜
CN107614749A (zh) * 2015-05-22 2018-01-19 美国道康宁公司 五氯二硅烷
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
CN108930026A (zh) * 2017-05-24 2018-12-04 东京毅力科创株式会社 氮化硅膜的成膜方法、成膜装置以及存储介质
CN109385626A (zh) * 2017-08-09 2019-02-26 东京毅力科创株式会社 氮化硅膜的成膜方法和成膜装置
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10361076B2 (en) 2010-04-15 2019-07-23 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US10373806B2 (en) 2016-06-30 2019-08-06 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
CN110504155A (zh) * 2018-05-18 2019-11-26 台湾积体电路制造股份有限公司 通过氢处理形成低应力氮化硅层
TWI678735B (zh) * 2016-07-13 2019-12-01 日商東京威力科創股份有限公司 矽氮化膜之成膜方法
US10559468B2 (en) 2010-04-15 2020-02-11 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10679848B2 (en) 2016-07-01 2020-06-09 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10741458B2 (en) 2012-11-08 2020-08-11 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
CN113388825A (zh) * 2021-05-25 2021-09-14 上海华力集成电路制造有限公司 氮化钛膜制备方法和装置
TWI742800B (zh) * 2019-09-20 2021-10-11 日商國際電氣股份有限公司 半導體裝置之製造方法、基板處理裝置及程式
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US11646195B2 (en) 2020-10-22 2023-05-09 Nanya Technology Corporation Method for fabricating semiconductor device having etch resistive nitride layer
US12040181B2 (en) 2019-07-03 2024-07-16 Lam Research Corporation Modulated atomic layer deposition

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9255329B2 (en) * 2000-12-06 2016-02-09 Novellus Systems, Inc. Modulated ion-induced atomic layer deposition (MII-ALD)
KR101082921B1 (ko) 2004-06-03 2011-11-11 삼성전자주식회사 반도체 소자의 실리콘 산화막 형성 방법
JP4607637B2 (ja) * 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US7632354B2 (en) * 2006-08-08 2009-12-15 Tokyo Electron Limited Thermal processing system with improved process gas flow and method for injecting a process gas into a thermal processing system
US20090035463A1 (en) * 2007-08-03 2009-02-05 Tokyo Electron Limited Thermal processing system and method for forming an oxide layer on substrates
JP2009277899A (ja) * 2008-05-15 2009-11-26 Hitachi Kokusai Electric Inc 基板処理方法
JP5284182B2 (ja) * 2008-07-23 2013-09-11 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP5222652B2 (ja) * 2008-07-30 2013-06-26 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9711373B2 (en) * 2008-09-22 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a gate dielectric for high-k metal gate devices
JP5665289B2 (ja) * 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8012859B1 (en) * 2010-03-31 2011-09-06 Tokyo Electron Limited Atomic layer deposition of silicon and silicon-containing films
KR101881181B1 (ko) 2010-11-04 2018-08-16 노벨러스 시스템즈, 인코포레이티드 탄탈륨의 이온 유도 원자층 증착
JP5847566B2 (ja) * 2011-01-14 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5886531B2 (ja) * 2011-02-24 2016-03-16 東京エレクトロン株式会社 成膜方法および成膜装置
JP6022166B2 (ja) * 2011-02-28 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6088178B2 (ja) * 2011-10-07 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
KR101361673B1 (ko) * 2011-10-07 2014-02-12 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
JP5208294B2 (ja) * 2012-04-23 2013-06-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
WO2014073892A1 (ko) * 2012-11-07 2014-05-15 주식회사 유피케미칼 실리콘-함유 박막의 제조 방법
KR20140059107A (ko) 2012-11-07 2014-05-15 주식회사 유피케미칼 실리콘 질화물 박막 제조 방법
JP5839606B2 (ja) 2013-02-26 2016-01-06 東京エレクトロン株式会社 窒化膜を形成する方法
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
JP6146160B2 (ja) * 2013-06-26 2017-06-14 東京エレクトロン株式会社 成膜方法、記憶媒体及び成膜装置
JP5788448B2 (ja) * 2013-09-09 2015-09-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US9362109B2 (en) 2013-10-16 2016-06-07 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9334566B2 (en) * 2013-11-25 2016-05-10 Lam Research Corporation Multi-tray ballast vapor draw systems
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
KR101551199B1 (ko) * 2013-12-27 2015-09-10 주식회사 유진테크 사이클릭 박막 증착 방법 및 반도체 제조 방법, 그리고 반도체 소자
JP6349234B2 (ja) * 2014-02-19 2018-06-27 東京エレクトロン株式会社 シリコン酸化膜の形成方法、及び、シリコン酸化膜の形成装置
JP6084179B2 (ja) 2014-04-09 2017-02-22 東京エレクトロン株式会社 基板処理装置および基板処理方法
KR101875183B1 (ko) 2014-06-11 2018-07-06 (주)디엔에프 신규한 아미노실릴아민 화합물 및 원자층 증착법을 이용한 Si-N 결합을 포함하는 절연막의 제조방법
JP6324848B2 (ja) * 2014-08-29 2018-05-16 日本電信電話株式会社 導波路材料膜の形成方法
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
KR20170019668A (ko) 2015-08-12 2017-02-22 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
KR102454894B1 (ko) 2015-11-06 2022-10-14 삼성전자주식회사 물질막, 이를 포함하는 반도체 소자, 및 이들의 제조 방법
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
KR102458309B1 (ko) 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
JP6656103B2 (ja) * 2016-07-15 2020-03-04 東京エレクトロン株式会社 窒化膜の成膜方法および成膜装置
KR102014175B1 (ko) 2016-07-22 2019-08-27 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
JP6988629B2 (ja) * 2018-03-26 2022-01-05 東京エレクトロン株式会社 成膜方法及び成膜装置
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
JP7297743B2 (ja) * 2018-06-08 2023-06-26 株式会社半導体エネルギー研究所 金属酸化物の作製方法
WO2020081397A1 (en) * 2018-10-19 2020-04-23 Lam Research Corporation Method of depositing silicon nitride films
KR102671466B1 (ko) * 2018-11-13 2024-06-03 주성엔지니어링(주) 저온 결정질 실리콘 형성방법
JP7278123B2 (ja) * 2019-03-22 2023-05-19 東京エレクトロン株式会社 処理方法
JP7209568B2 (ja) 2019-03-27 2023-01-20 東京エレクトロン株式会社 基板処理方法及び基板処理装置
TW202111825A (zh) * 2019-07-29 2021-03-16 美商應用材料股份有限公司 原子層沉積之多層封裝堆疊
JP7330060B2 (ja) * 2019-10-18 2023-08-21 東京エレクトロン株式会社 成膜装置、制御装置及び圧力計の調整方法
JP7330091B2 (ja) * 2019-12-24 2023-08-21 東京エレクトロン株式会社 成膜方法
JP7321085B2 (ja) 2019-12-26 2023-08-04 東京エレクトロン株式会社 膜形成方法及びシステム
JP7455013B2 (ja) 2020-07-10 2024-03-25 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR102491817B1 (ko) 2020-11-16 2023-01-26 주식회사 태림 울타리 기둥의 확장연결장치
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
US11978643B2 (en) 2022-01-12 2024-05-07 Changxin Memory Technologies, Inc. Method for manufacturing semiconductor device including performing thermal treatment on substrate and semiconductor device

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4031070A1 (de) * 1990-10-02 1992-04-09 Bayer Ag Siliciumdiimid, verfahren zu dessen herstellung sowie daraus erhaltenes siliciumnitrid
US5422088A (en) * 1994-01-28 1995-06-06 Hemlock Semiconductor Corporation Process for hydrogenation of tetrachlorosilane
US6010949A (en) * 1996-10-21 2000-01-04 Micron Technology, Inc. Method for removing silicon nitride in the fabrication of semiconductor devices
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6635569B1 (en) * 1998-04-20 2003-10-21 Tokyo Electron Limited Method of passivating and stabilizing a Ti-PECVD process chamber and combined Ti-PECVD/TiN-CVD processing method and apparatus
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US20030059535A1 (en) * 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
KR20030064083A (ko) * 2002-01-25 2003-07-31 삼성전자주식회사 원자층 적층을 이용하여 실리콘 나이트라이드 박막을형성하는 방법
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
KR100439948B1 (ko) 2002-04-19 2004-07-12 주식회사 아이피에스 리모트 플라즈마 ald 장치 및 이를 이용한 ald 박막증착방법
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
KR100469126B1 (ko) * 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
JP4393071B2 (ja) * 2002-07-12 2010-01-06 東京エレクトロン株式会社 成膜方法
KR100467357B1 (ko) * 2002-09-24 2005-01-24 삼성전자주식회사 모오스 트랜지스터 제조 방법
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
JP2004281853A (ja) * 2003-03-18 2004-10-07 Hitachi Kokusai Electric Inc 基板処理装置
JP3756894B2 (ja) * 2003-06-13 2006-03-15 株式会社東芝 窒化膜の膜質改善方法、及び半導体装置の製造方法
US20050227017A1 (en) * 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
KR100560654B1 (ko) * 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
TW200603287A (en) * 2004-03-26 2006-01-16 Ulvac Inc Unit layer posttreating catalytic chemical vapor deposition apparatus and method of film formation therewith
JP4669679B2 (ja) * 2004-07-29 2011-04-13 東京エレクトロン株式会社 窒化珪素膜の製造方法及び半導体装置の製造方法
JP4546519B2 (ja) * 2005-02-17 2010-09-15 株式会社日立国際電気 半導体デバイスの製造方法
JP4607637B2 (ja) * 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
JP4456533B2 (ja) * 2005-06-14 2010-04-28 東京エレクトロン株式会社 シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム

Cited By (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101688300B (zh) * 2007-07-03 2012-07-25 Beneq有限公司 沉积金属氧化物材料的方法
CN101651174B (zh) * 2008-08-12 2013-01-23 昆山中辰硅晶有限公司 供半导体光电元件外延用的半导体基板及其制造方法
CN101769247B (zh) * 2010-01-14 2012-02-01 友达光电股份有限公司 抽气装置
US9401276B2 (en) 2010-02-12 2016-07-26 Solexel, Inc. Apparatus for forming porous silicon layers on at least two surfaces of a plurality of silicon templates
CN102844883A (zh) * 2010-02-12 2012-12-26 速力斯公司 用于制造光电池和微电子器件的半导体衬底的双面可重复使用的模板
US10559468B2 (en) 2010-04-15 2020-02-11 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US11133180B2 (en) 2010-04-15 2021-09-28 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US11011379B2 (en) 2010-04-15 2021-05-18 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10361076B2 (en) 2010-04-15 2019-07-23 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
CN102560417A (zh) * 2010-12-21 2012-07-11 东京毅力科创株式会社 氮化硅膜的成膜方法和成膜装置
CN103531462A (zh) * 2012-06-28 2014-01-22 东京毅力科创株式会社 氧化硅膜的形成方法及氧化硅膜的形成装置
US10741458B2 (en) 2012-11-08 2020-08-11 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
CN103866288A (zh) * 2014-03-27 2014-06-18 北京七星华创电子股份有限公司 一种用于原子层薄膜沉积的反应装置及方法
CN103866288B (zh) * 2014-03-27 2016-06-01 北京七星华创电子股份有限公司 一种用于原子层薄膜沉积的反应装置及方法
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
CN106057637A (zh) * 2015-04-03 2016-10-26 朗姆研究公司 通过原子层沉积和原子层蚀刻沉积共形膜
CN106057637B (zh) * 2015-04-03 2019-11-05 朗姆研究公司 通过原子层沉积和原子层蚀刻沉积共形膜
CN107614749A (zh) * 2015-05-22 2018-01-19 美国道康宁公司 五氯二硅烷
CN105870249A (zh) * 2016-03-24 2016-08-17 江苏微导纳米装备科技有限公司 一种晶硅太阳能电池的制造工艺
US10957514B2 (en) 2016-06-30 2021-03-23 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10373806B2 (en) 2016-06-30 2019-08-06 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10679848B2 (en) 2016-07-01 2020-06-09 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
TWI678735B (zh) * 2016-07-13 2019-12-01 日商東京威力科創股份有限公司 矽氮化膜之成膜方法
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
CN108930026A (zh) * 2017-05-24 2018-12-04 东京毅力科创株式会社 氮化硅膜的成膜方法、成膜装置以及存储介质
CN108930026B (zh) * 2017-05-24 2021-07-09 东京毅力科创株式会社 氮化硅膜的成膜方法、成膜装置以及存储介质
CN109385626B (zh) * 2017-08-09 2021-10-26 东京毅力科创株式会社 氮化硅膜的成膜方法和成膜装置
CN109385626A (zh) * 2017-08-09 2019-02-26 东京毅力科创株式会社 氮化硅膜的成膜方法和成膜装置
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10658172B2 (en) 2017-09-13 2020-05-19 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11393674B2 (en) 2018-05-18 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Forming low-stress silicon nitride layer through hydrogen treatment
US11830727B2 (en) 2018-05-18 2023-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Forming low-stress silicon nitride layer through hydrogen treatment
CN110504155A (zh) * 2018-05-18 2019-11-26 台湾积体电路制造股份有限公司 通过氢处理形成低应力氮化硅层
US12040181B2 (en) 2019-07-03 2024-07-16 Lam Research Corporation Modulated atomic layer deposition
CN114072540A (zh) * 2019-09-20 2022-02-18 株式会社国际电气 半导体器件的制造方法、衬底处理装置及程序
TWI742800B (zh) * 2019-09-20 2021-10-11 日商國際電氣股份有限公司 半導體裝置之製造方法、基板處理裝置及程式
US11646195B2 (en) 2020-10-22 2023-05-09 Nanya Technology Corporation Method for fabricating semiconductor device having etch resistive nitride layer
TWI802928B (zh) * 2020-10-22 2023-05-21 南亞科技股份有限公司 具有抗蝕刻氮化物層之半導體元件的製備方法
CN113388825A (zh) * 2021-05-25 2021-09-14 上海华力集成电路制造有限公司 氮化钛膜制备方法和装置

Also Published As

Publication number Publication date
KR20060103871A (ko) 2006-10-04
US20060216418A1 (en) 2006-09-28
JP4607637B2 (ja) 2011-01-05
CN100524650C (zh) 2009-08-05
TWI375275B (en) 2012-10-21
JP2006278497A (ja) 2006-10-12
US7625609B2 (en) 2009-12-01
TW200641998A (en) 2006-12-01
KR100944842B1 (ko) 2010-03-04

Similar Documents

Publication Publication Date Title
CN1841676A (zh) 使用原子层沉积法的氮化硅膜的形成方法
JP4456533B2 (ja) シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
KR100948985B1 (ko) 반도체 처리용 성막 장치, 그 사용 방법 및 컴퓨터로 판독가능한 매체
CN1891859A (zh) 氮氧化硅膜的形成方法、形成装置以及程序
JP4959733B2 (ja) 薄膜形成方法、薄膜形成装置及びプログラム
KR101577964B1 (ko) 질화 티탄막의 형성 방법, 질화 티탄막의 형성 장치 및 프로그램을 기록한 기록 매체
JP4916257B2 (ja) 酸化膜の形成方法、酸化膜の形成装置及びプログラム
TWI721271B (zh) 矽氮化膜之成膜方法及成膜裝置
JP5064296B2 (ja) シリコン炭窒化膜の形成方法および形成装置
CN1837404A (zh) 成膜装置和成膜方法
CN1480998A (zh) 采用原子层沉积工艺在基片上形成二氧化硅层的方法
JP5247781B2 (ja) シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
CN107204273B (zh) 半导体器件的制造方法、衬底处理装置及衬底处理方法
KR101139078B1 (ko) 반도체 처리용 성막 장치 및 그 사용 방법과, 컴퓨터로판독 가능한 매체
JP2008109091A (ja) シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
JP2008283126A (ja) 薄膜形成装置の洗浄方法、薄膜形成方法及び薄膜形成装置
JP2008283148A (ja) 薄膜形成装置の洗浄方法、薄膜形成方法及び薄膜形成装置
US9972486B2 (en) Nitride film forming method and storage medium
US9490122B2 (en) Method and apparatus of forming carbon-containing silicon film
JP7012563B2 (ja) 成膜方法および成膜装置
JP2016178224A (ja) シリコン窒化膜の形成方法、および、シリコン窒化膜の形成装置
JP5571233B2 (ja) 薄膜形成装置の洗浄方法、薄膜形成方法及び薄膜形成装置
JP2010050270A (ja) 薄膜形成装置の洗浄方法、薄膜形成方法、薄膜形成装置及びプログラム

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant