CN1659308A - Gas distribution showerhead - Google Patents

Gas distribution showerhead Download PDF

Info

Publication number
CN1659308A
CN1659308A CN028274970A CN02827497A CN1659308A CN 1659308 A CN1659308 A CN 1659308A CN 028274970 A CN028274970 A CN 028274970A CN 02827497 A CN02827497 A CN 02827497A CN 1659308 A CN1659308 A CN 1659308A
Authority
CN
China
Prior art keywords
panel
gas
wafer
gas distribution
elongate slit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN028274970A
Other languages
Chinese (zh)
Other versions
CN100342057C (en
Inventor
K·亚纳基拉曼
N·K·英格尔
Z·袁
S·E·贾诺拉基斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1659308A publication Critical patent/CN1659308A/en
Application granted granted Critical
Publication of CN100342057C publication Critical patent/CN100342057C/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber

Abstract

A gas distribution showerhead for use in a semiconductor fabrication process features a face plate (316) having gas outlet ports (318B) in the form of elongated slots or channels. The use of elongated gas outlet ports in accordance with embodiments of the present invention substantially reduces the incidence of undesirable spotting and streaking of deposited material where the showerhead is closely spaced from the wafer. A showerhead featuring a face plate having a tapered profile to reduce edge thickness of deposited material at close face plate-to-wafer spacings is also disclosed.

Description

Gas distribution showerhead
Technical field
Method and apparatus according to embodiments of the invention relate generally to be used for producing the semiconductor devices relates to the gas distribution showerhead that adopts in the high temperature deposition technology particularly.
Background technology
High temperature chemical vapor deposition (CVD) technology is widely used in semi-conductor industry.Figure 1A shows the simplified cross-sectional view that is used to carry out traditional high temperature chemical vapor deposition device.For illustrative purposes, another figure of Figure 1A-the application does not show in proportion.
Device 100 comprises wafer support structure 104, and it is arranged in the deposition chambers 105.In the substrate course of processing, wafer 102 can be arranged on above the supporting structure 104.
Gas distribution showerhead 106 is positioned at the top of wafer 102, and opens with gap (gap) Y branch with wafer 102.To concrete application, the big I of gap Y is controlled with respect to the height of shower nozzle 106 by adjusting wafer support structure 104.For example, in traditional deposition of not mixing up silex glass (USG) material, gap Y is reducible greater than 300 mils.
Gas distribution showerhead 106 comprises process gas inlet 108, and it has fluid to transmit with 110 of blocker plate (blocker plate) with aperture (apertures) 112.Gas distribution face plate 114 is positioned at the downstream of blocker plate 110.Panel 114 is from blocker plate 110 receiving process air-flows, and (hole) 116 is transported to wafer 102 through the hole with gas.As the result of flow of process gases, deposited material layer 118 is formed on the wafer 102.
Figure 1B shows conventional gas distribution face plate 114 perspective bottom view among Figure 1A.The hole 116 of panel 114 is distributed on the panel surface.Figure 1B only shows the example that hole 116 distributes on panel, can there be many other layouts in the hole on the panel.
With reference to Figure 1A, the effect of blocker plate 110 is to distribute the process gas flow 120 that enters in the inlet side 114a of panel 114 roughly again.Panel 114 reallocation air-flows are consistent to produce, and the air-flow of meticulous distribution is exposed under this air-flow wafer 102.The result who is exposed to the flow of process air of fine distribution forms high-quality deposited material layer 118 on wafer 102.
The conventional high-temperature deposition apparatus that is shown in Figure 1A-1B produces structure on semiconductor wafer surface be effective.One type the structure that forms by high temperature CVD be shallow trench isolation from (shallow trench isolation, STI).The cross-sectional view that Fig. 2 shows wafer 200 is amplified, this wafer 200 has semiconductor structure 202, as active transistor.Close on active semiconductor device 202 and another device by sti structure 204 electrical isolations, this sti structure 204 comprises and is marked with dielectric materials, as the groove of unadulterated silex glass (USG).
Sti structure forms by mask and etched wafer exposed region, thereby produces groove.Mask is removed then, and uses high-temperature technology, and USG is on wafer for deposition, is included in the groove.The USG that is deposited on the groove outside can remove by etching or chemically machinery polished (CMP) subsequently, to manifest final sti structure.
Be shown in conventional apparatus among Figure 1A-1B and be successfully applied to deposition material when being applied in high temperature, as USG for STI and other.Yet expectation improves the high temperature deposition Design of device.For example, by making shower nozzle obtain faster apart from wafer is nearer that sedimentation rate is known.Sedimentation rate will improve the output of deposition apparatus faster, therefore make the manager can regain the cost of purchase and maintenance facilities quickly.
Yet, wafer with respect to shower nozzle every closelyer cause sedimentary material to demonstrate uneven pattern, seem to be exactly on wafer, to form spot and striped.But the pattern reacting hole of the sedimentary material of shower nozzle distance is put in faceplate with near wafer.
Fig. 3 A-3B is the photo of explanation according to the sedimentary result of embodiment of the invention material.Fig. 3 A is the photo that shows the wafer with usg film, and this film is sedimentary with traditional shower nozzle, and this shower nozzle panel is 75 mils to wafer pitch.Wafer among Fig. 3 A shows tangible spot and striped.
Fig. 3 B is the photo that shows the wafer with usg film, and this usg film is sedimentary with traditional shower nozzle, and this shower nozzle panel is 50 mils to wafer pitch.Wafer among Fig. 3 B is more obvious than spot and striped that the wafer among Fig. 3 A demonstrates.
Therefore, expectation obtains to allow to apply the method and structure of handling gas at next-door neighbour's substrate surface place.
Summary of the invention
The gas distribution showerhead of semiconductor fabrication applications (gas distribution showerhead) comprises the have pneumatic outlet panel (face plate) of (gas outlet port), the form of this pneumatic outlet is elongate slit and passage, rather than hole independently.According to embodiments of the invention, use elongated pneumatic outlet significantly to reduce the spot of not expecting of deposition material and the appearance of striped, wherein shower nozzle and wafer are closely spaced apart.The shower nozzle with tapered profiles for the edge thickness that reduces deposition material is also disclosed.
The embodiment that is used to form the device of material on semiconductor wafer comprises the treatment chamber that formed by the chamber wall, handle gas source (gas supply) and be positioned in the treatment chamber and be configured to receive the die support of semiconductor wafer.Gas distribution showerhead is positioned at the die support top, and separate with gas distribution showerhead, gas distribution showerhead comprises the panel with inlet part, this inlet part comprises the hole, the elongate slit delivery air of itself and panel exit portion, the length of elongate slit is the twice of plate thickness at least.
Embodiment according to the gas distribution face plate of embodiments of the invention comprises having certain thickness panel body.The inlet part of structure panel is to receive flow of process air, and this inlet part comprises the aperture with certain width.The exit portion of structure panel is to transmit the processing air-flow to semiconductor wafer, and this exit portion comprises elongate slit, and the air-flow transmission is carried out in itself and aperture, and the length of this elongate slit is at least the twice of panel body thickness.
Be used to form the device of material on semiconductor wafer, this device comprises treatment chamber, processing gas source and the die support that is formed by the chamber wall, and this die support is positioned in the process cavity, and is configured to receive semiconductor wafer.Gas distribution showerhead is above die support and comprise tapered panel, this tapered panel closes on die support, this tapered panel edge demonstrates the thickness that the thickness with respect to face plate center reduces, demonstrate from the center to the thickness of edge unanimity so that be deposited on material on the wafer, this wafer contacts with die support.
The method of distribution of gas comprises the inlet part (inlet portion) that gas is flow to gas distribution face plate from gas source in semiconductor fabrication process, this gas distribution face plate has the hole, and there is certain width in this hole, with the surface that gas is flow to semiconductor wafer from this hole by the elongate slit of the exit portion of gas distribution face plate, the length of this elongate slit is at least the twice of the thickness of gas distribution face plate.
These and other embodiment of the present invention, and feature and some potential advantage will describe in detail in conjunction with specification sheets and accompanying drawing below.
Description of drawings
Figure 1A is the sectional view of the conventional high-temperature depositing system of simplification.
Figure 1B is the panel perspective bottom view of the gas distribution showerhead of system among Figure 1A.
Fig. 2 shows the sectional view of conventional shallow trench isolation structure.
Fig. 3 A shows the photo of the wafer with usg film, and this film is to be the condition deposit of 75 mils to wafer pitch at panel with traditional sprinkler heads.
Fig. 3 B shows the photo of the wafer with usg film, and this film is to be the condition deposit of 50 mils to wafer pitch at panel with traditional sprinkler heads.
Fig. 4 A is the sectional view according to the high temperature deposition system of one embodiment of the present of invention simplification.
Fig. 4 B is the vertical view of an embodiment of panel, and this panel is used for according to gas distribution showerhead of the present invention.
Fig. 4 C is the fish-eye view of an embodiment of panel, and this panel is used for according to gas distribution showerhead of the present invention.
Fig. 4 D is the sectional view that the panel among Fig. 4 A-4B amplifies.
Fig. 5 A is the photo that shows the wafer with usg film, and this film is that to use shower nozzle according to the embodiment of the invention be the condition deposit of 75 mils to wafer pitch at panel.
Fig. 5 B is the photo that shows the wafer with usg film, and this film is that to use shower nozzle according to the embodiment of the invention be the condition deposit of 50 mils to wafer pitch at panel.
Fig. 6 A is the orthographic plan with composite panel of hole and elongate slit.
Fig. 6 B is the photo that shows the wafer with usg film, and this film is to be the condition deposit of 75 mils to wafer pitch at panel with the shower nozzle with composite hole/slot (composite hole/slot) structure.
Fig. 6 C is the photo that shows the wafer with usg film, and this film is to be the condition deposit of 50 mils to wafer pitch at panel with the shower nozzle with composite hole/slot structure.
Fig. 7 A-7D is the orthographic plan of demonstration according to the simplification of the panel of the interchangeable embodiment of the present invention, and the panel of this embodiment has multi-form elongate slit.
Fig. 8 draws in the deposition of the USG under differing temps and pressure, and sedimentation rate and panel are to the graphic representation that concerns between the wafer pitch.
Fig. 9 draws sedimentation rate and panel in a big way to the graphic representation that concerns between the wafer pitch.
Figure 10 draws for the USG depositing operation under differing temps and pressure, and film percentage of contraction and wet etching selectivity and panel are to graph of a relation between the wafer pitch.
Figure 11 A and 11B show the cross-sectional picture of fleet plough groove isolation structure, and this shallow trench cross-sectional structure is by high temperature USG formation of deposits, and this USG deposition uses traditional shower nozzle and shower nozzle of the present invention to carry out respectively.
Figure 12 draws for two panels to wafer pitch, the graphic representation that concerns between the mass rate of the increase that calculates and the distance apart from center wafer.
Figure 13 shows the sectional view according to the simplification of the interchangeable embodiment of high temperature deposition system of the present invention.
Figure 14 draws for three different panels to wafer pitch, the graphic representation that concerns between the mass rate of the increase that calculates and the distance apart from center wafer.
Embodiment
Embodiment according to gas distribution showerhead of the present invention comprises the panel with pneumatic outlet, and the form of this outlet is elongate slit or raceway groove (channel).Use elongated pneumatic outlet according to the embodiment of the invention, this significantly reduces at nearly panel to the spot of not expecting of the condition deposit material of wafer pitch and the formation of striped.Also disclose and had the shower nozzle of tapered profiles with the edge thickness of minimizing deposition material.
Fig. 4 A shows the sectional view according to the simplification of an embodiment of chemical gas-phase deposition system of the present invention.Device 300 comprises wafer 302, and this wafer is with in wafer support structure 304 contacts and be set at deposition chambers 306.Gas distribution showerhead 308 is positioned at wafer 302 tops, and separates with gap Y ' with wafer 302.
Gas distribution showerhead 308 comprises process gas inlet 310, and it carries out gas transmission with the blocker plate 312 with aperture 314.Gas distribution face plate 316 has main body 315, and the thickness of this main body is Z, and is positioned at the downstream of blocker plate 312.Panel 316 is from blocker plate 312 receiving process air-flows, and deliver a gas to wafer 302 by the apertures 318 in the main body 315.
For whole deposition apparatus is described, Fig. 4 A is simplified the aperture 318 that has constant cross-sectional profiles with demonstration.Yet the U.S. Patent No. 4854263 that transfers the application's transferee discloses the value in panel aperture, the increase of the cross section that this panel aperture demonstration and air flow line are tangent.
Fig. 4 B is (gas inlet) view of bowing of an embodiment of panel 316, and this panel 316 is used for according to gas distribution showerhead of the present invention.Fig. 4 C be panel 316 an embodiment face upward (pneumatic outlet) view, this panel 316 is used for according to gas distribution showerhead of the present invention.
Shown in Fig. 4 B, limit, the gas inlet 316a of panel 316 receives the process gas flow from the rough segmentation cloth of blocker plate, and it comprises the hole 318a that a plurality of independently diameters are X.Shown in Fig. 4 C, the pneumatic outlet limit 316b of panel 316 is sent to wafer with the process gas that segments cloth from panel, and it comprises the elongate slit 318b that a plurality of successive length are L.Elongate slit 318b can from more than one independently hole 318a receive air-flow.Have been found that it is the L elongate slit that length is provided, make panel 316 can be positioned at the contiguous place of wafer surface, and can not cause that deposition material demonstrates the shape characteristic of not expecting that as spot and striped, wherein slit length L is at least half of thickness Z of panel 316.
Fig. 4 D shows the sectional view of the amplification of panel among Fig. 4 A-4C.Fig. 4 D shows that for the specific embodiment of explanation, the cross-sectional width X of the hole 318a on the air flow inlet part is significantly narrower than the cross-sectional width X ' of the elongate slit 318b on the air stream outlet part 316b.Embodiments of the invention can utilize the ratio of X '/X to equal 2.25 or bigger elongated face plate slots.
Fig. 5 A-5B is the photo of explanation according to the material deposition results of the embodiment of the invention.Fig. 5 B is the photo that shows the wafer with usg film, and this usg film is to be the condition deposit of 75 mils to wafer pitch at panel by the shower nozzle according to embodiments of the invention.Wafer among Fig. 5 A demonstrates than using traditional sprinkler heads sedimentary film when spacing is identical as shown in Figure 3A to demonstrate spot and the striped that obviously lacks.
Fig. 5 B is the photo that shows the wafer with usg film, and this usg film is to be the condition deposit of 50 mils to wafer pitch at panel by the shower nozzle according to embodiments of the invention.Wafer among Fig. 5 B demonstrates spot and the striped that significantly lacks at the film of uniform distances deposit than the traditional sprinkler heads of using shown in Fig. 3 B.
In performance history of the present invention, the composite panel with conventional holes and elongate slit opening is used to deposit USG on wafer.Fig. 6 A shows the orthographic plan that this composite spray jet head 450 is simplified, and it comprises first area 452, and this zone comprises conventional holes 454, also comprises second area 456, and this zone comprises the elongate slit 458 according to the embodiment of the invention.
Fig. 6 B is the photo that shows the wafer with usg film, and this usg film is to be 75 mil condition deposit at panel to wafer pitch by the composite spray jet head among Fig. 6 A.Fig. 6 C is the photo that shows the wafer with usg film, and this usg film is to be 50 mil condition deposit at panel to wafer pitch by the shower nozzle with composite hole/slot structure.Fig. 6 B and Fig. 6 C disclose by the sedimentary material 402 of elongate slit and demonstrate than by the sedimentary material 400 more slick patterns of the conventional holes of composite panel.
Though top figure explanation has a plurality of successive, at the shower nozzle of the slit that exports the limit concentric orientation, this ad hoc structure is not that the present invention is necessary.Also can adopt the elongate slit of other structure, and this shower nozzle will still be within the scope of the present invention.
Fig. 7 A-7D shows that wherein each gas distribution face plate has the elongate slit of different orientation according to the upward view of the simplification of the exit portion of a plurality of interchangeable embodiment of gas distribution face plate of the present invention.Panel exit portion 660 among Fig. 7 A has a plurality of discontinuous slits 662, and they along the circumferential direction are orientated.Panel exit portion 664 among Fig. 7 B has a plurality of discontinuous slits 466, and they radially are orientated.Panel exit portion 668 among Fig. 7 C has a plurality of discontinuous slits 670, and they are taked uniquely is decentraction, does not also arrange in radial oriented mode.Panel exit portion 672 among Fig. 7 D has a plurality of discontinuous slits 674, and itself and conventional holes 676 make up.
Embodiment according to apparatus and method of the present invention provides many benefits.For example, Fig. 8 is depicted under the differing temps, and sedimentation rate and panel are to the graphic representation that concerns between the wafer pitch in the USG depositing operation.Fig. 8 shows the depositing operation occur in 510 ℃ or 540 ℃, and panel is to the increase that reduces to cause sedimentation rate of wafer pitch.Under wafer pitch, this relation is more outstanding at nearer panel.
Fig. 9 draw the USG sedimentation rate to panel widely to wafer pitch scope (50-25 mil).Fig. 9 shows under nearer spacing, and the increase of USG sedimentation rate also shows under the nearer condition of spacing, to the influence of sedimentation rate.
Figure 10 is depicted under the different temperature and pressures, and to the USG depositing operation, film percentage of contraction and wet etching selectivity and panel are to the graph of a relation between the wafer pitch.Figure 10 shows when at nearly panel during to wafer pitch, demonstrates low-shrinkage at 510 ℃ and 540 ℃ of sedimentary usg films.These data show that it is higher to form density, the film that quality is higher under nearly spacing.
Wet etch data among Figure 10 is with relevant to this discovery of settled layer of the quality-improving of wafer pitch deposit at nearly panel.Especially, sedimentary usg film has shown wet etching selectivity and more highdensity consistence when closely panel is to wafer pitch.
Figure 11 A and 11B show the photo of insulation structure of shallow groove cross section, and this structure is by using according to shower nozzle high temperature USG formation of deposits of the present invention.The USG depositing operation that Figure 11 A and 11B show occurs in 510 ℃, and panel is 75 mils to wafer pitch.Photo demonstrates at 1050 ℃, the shallow ditch groove structure that deposition back (post-deposition) 60 minutes USG of annealing fills.It is comparable that Figure 11 A and 11B demonstrate the gap quality of filling and the quality that technology obtains that adopts the traditional panel design that are obtained by the technology according to the embodiment of the invention.
Though up to the present, the present invention describes in conjunction with being used in the siliceous precursor gases that high temperature deposition do not mix up in the silex glass, and the present invention is not limited to this specific embodiment.Shower nozzle according to embodiments of the invention can be used for distributing kind gas widely, these gases are useful in the array of semiconductor fabrication process, this semiconductor fabrication process is including, but not limited to mixing up the chemical vapour deposition of silicon oxide, and the form of these silicon oxide is phosphosilicate glass (PSG), borosilicate glass (BSG) or boron phosphorus silicate glass (BPSG).
Can use example to include, but are not limited to the positive silane of tetraethyl-(TEOS), triethyl phosphate (TEPO) and triethyl borate (TEB) according to the shower nozzle distribution of gas of embodiments of the invention.The present invention is not limited to the distribution flow of precursor gases, also can be used for the gas that delivery vehicles gas such as helium and nitrogen etc. are not participated in the CVD reaction directly.
Also can be used for delivering precursor gases so that the formation of non-oxide silicon materials according to the shower nozzle of the embodiment of the invention, these materials are including, but not limited to metal, nitride and oxynitride.Though and above in conjunction with this shower nozzle of high temperature CVD process explanation, be used in delivering gas in the CVD technology of other type according to the embodiment of the embodiment of the invention, as plasma enhanced chemical vapor deposition (PECVD) technology or accurate aumospheric pressure cvd (SACVD) technology.
Also be not limited to chemical vapor deposition method according to embodiments of the invention and be used in combination.Also can be used to delivering gas in other type semiconductor manufacturing process according to shower nozzle of the present invention, as dry etching process or plasma etch process.
Also be not limited to the shower nozzle panel that use has slit according to embodiments of the invention.With reference to figure 4A, a result of shower nozzle 308 relative wafer 302 nearly spacings increases at the downward process gas flow of Waffer edge.The increase of the mass rate of Waffer edge causes that the thickness 320a at sedimentary material 320 edges increases.
Figure 12 draws for two panels to wafer pitch, the mass rate of the increase that calculates and apart from the relation curve between the distance of center wafer.Under wafer pitch, the mass rate that deposition increases is consistent relatively from the center wafer to the edge 0.270 inch conventional wide face plate.Yet under wafer pitch, the mass rate that this technology demonstrates remarkable increase flows to the wafer outer peripheral areas at 0.075 inch narrower panel.The mass rate of this increase produces deposited material layer, and this deposited material layer edge thickness is obviously thick than center thickness.
Therefore, shower nozzle alternative embodiment of the present invention can use the panel with tapered profiles to avoid nearly panel under the wafer pitch condition, and deposition material is in the increase of edge's thickness.Figure 13 shows the sectional view according to the simplification of high temperature deposition system alternative embodiment of the present invention.Device 900 comprises wafer 902, and it contacts with wafer support structure 904, and is positioned in the deposition chambers 906.Gas distribution showerhead 908 is positioned at wafer 902 tops, and with wafer 902 with gap Y " separate.
Gas distribution showerhead 908 comprises process gas inlet 912, and it carries out the fluid transmission with the blocker plate 914 with aperture 916.Gas distribution face plate 918 is positioned at the downstream of blocker plate 914, and panel 918 receives the process gas flow from blocker plate 914, and delivers gas to wafer 902 by hole 920.
As above described in conjunction with Fig. 4 A, the nearly spacing of the relative wafer of panel can cause the increase of the flow quality of Waffer edge.Therefore, embodiment shown in Figure 13 comprises the panel 918 with tapered profiles.Especially, the edge section 918a of panel 918 is recessed with respect to the centre portions 918b of panel 918.Cone angle A representative is by the angle of face plate center to the thickness difference definition at edge, and this angle size is about 0.5 ° to 5 °.
The using gas distribution showerhead makes that sedimentary material has improved thick consistency when closely panel is to wafer pitch.Table A is tapered panel and flat panel sedimentary material when the spacing of 100 mils and 75 mils relatively, sedimentation rate, thick consistency, and thickness range.
Table A
Clearance distance (mil) Tapered panel Flat panel
Sedimentation rate (/min) 1 σ consistence Scope Sedimentation rate (/min) 1 σ consistence Scope
????75 ????1950 ????7.3 ????12.7 ????2000 ????13.4 ????20.5
????100 ????1600 ????4.6 ????7.6 ????1890 ????8.7 ????13.3
Table A demonstrates and utilizes the tapered panel deposition to cause the formation of material layer to have more consistent center to edge thickness.Though be collected in the deposition that data reaction in the Table A utilizes tapered panel and flat panel, this flat panel has elongate slit, needn't have elongate slit according to the tapered panel of the embodiment of the invention.
Figure 14 draws three different face plate profiles, the mass rate of the increase that calculates and apart from the graph of a relation between the distance of center wafer.Figure 14 shows that the peak valley of the quality that increases changes and reduces 35% and 46% respectively by the gap being reduced gradually 0.025 inch and 0.050 inch respectively on entire wafer.According to the use of the tapered face plate structures of the embodiment of the invention, can cause the deposition of material layer to demonstrate the center and be changed to 800 dusts () or littler to edge thickness.
Here only show and certain embodiments of the invention have been described.Should be understood that the present invention can use in multiple other combination and environment, and can in the present invention's category disclosed herein, change and revise.For example, be not limited to the semiconductor wafer of processing virtually any size according to the apparatus and method of the embodiment of the invention, and be 200 millimeters wafer to relating to diameter, diameter is that the semiconductor wafer fabrication process of 300 millimeters wafer or other shape and size is all useful.
Though describe the present invention and a plurality of embodiment here in detail, these equivalences with interchangeable mode and intelligible obvious variation and revise and should comprise within the scope of the invention.

Claims (29)

1. device that is used on semiconductor wafer forming material, this device comprises:
The treatment chamber that forms by the chamber wall;
Be positioned at the die support in the described treatment chamber, construct described die support to receive semiconductor wafer;
Handle gas source; With
Gas distribution showerhead, it separates above described die support and with described die support, described gas distribution showerhead comprises the panel with inlet part, and this inlet part comprises the hole, and the elongate slit of the exit portion of itself and described panel carries out the fluid transmission.
2. device according to claim 1, the length of wherein said elongate slit is at least half of described plate thickness.
3. device according to claim 1, wherein said gas distribution showerhead further comprises blocker plate, and described blocker plate comprises perforation, and described blocker plate is positioned at the upstream of the described inlet part of described panel, and carries out the fluid transmission with it.
4. device according to claim 1, wherein said elongate slit are successive and are the communication orientation.
5. device according to claim 1, the width of the cross section of wherein said elongate slit is greater than the cross-sectional width in described hole.
6. device according to claim 5, the cross-sectional width of wherein said elongate slit are bigger 2.25 times than the cross-sectional width in described hole at least.
7. gas distribution face plate, it comprises:
Panel body, it has certain thickness;
Inlet part, it is configured to receive flow of process air, and described inlet part comprises the aperture with certain width;
Exit portion, it is configured to flow of process air is sent to semiconductor wafer, and described exit portion comprises elongate slit, and the fluid transmission is carried out in itself and described aperture.
8. gas distribution face plate according to claim 7, the length of wherein said elongate slit are at least half of thickness of described panel body.
9. gas distribution face plate according to claim 7, wherein said elongate slit are annular and are successive.
10. gas distribution face plate according to claim 7, the width of wherein said elongate slit is greater than the width in described aperture.
11. gas distribution face plate according to claim 10, the width of wherein said elongate slit are bigger 2.25 times than the width in described aperture at least.
12. a device that is used for forming material on semiconductor wafer, described device comprises:
Treatment chamber by the definition of chamber wall;
Be positioned at the die support in the described treatment chamber, construct described wafer support to receive semiconductor wafer;
Handle gas source; With
Gas distribution showerhead, it is above described die support and comprise tapered panel, the contiguous described die support of this panel, the edge of described tapered panel demonstrates the thickness that the center thickness with respect to described panel reduces, thereby produce a bevel angle, so that the material that is deposited on the wafer contacts with described die support, to demonstrate consistent center to edge thickness.
13. device according to claim 12, wherein said bevel angle is between 0.5 ° and 5 °.
14. device according to claim 12, wherein said tapered panel comprises:
Inlet part, it is configured to receive flow of process air, and described inlet part comprises the aperture with certain width;
Exit portion, it is configured to transmit described flow of process air to semiconductor wafer, and described exit portion comprises elongate slit, and the fluid transmission is carried out in itself and described aperture.
15. device according to claim 14, the length of wherein said elongate slit is at least half of described plate thickness.
16. device according to claim 14, wherein said elongate slit are annular and are successive.
17. device according to claim 14, wherein said elongate slit width is greater than the width in described aperture.
18. device according to claim 17, the width of wherein said elongate slit are bigger 2.25 times than the width in described aperture at least.
19. the method for a distribution of gas in the semiconductor fabrication process process, it comprises:
Deliver a gas to the inlet part of gas distribution face plate from source of the gas, described gas distribution face plate comprises the hole with certain width; And
The elongate slit of the exit portion by described gas distribution face plate carries described gas to semiconductor wafer surface from described hole.
20. method according to claim 19, wherein said gas is carried by elongate slit, and the length of described elongate slit is at least half of described gas distribution face plate thickness.
21. method according to claim 19, wherein at least a carrier gas and a kind of process gas are carried by described panel.
22. method according to claim 19, wherein said gas is carried in chemical vapor deposition method.
23. method according to claim 19, wherein said gas are not carry in mixing up the high temperature deposition technology of silex glass, so that the spacing between described panel and the described wafer is 300 mils or littler.
24. method according to claim 19, the wherein said gas that is transferred is selected from the group of being made up of the positive silane of tetraethyl-, triethyl phosphate, triethyl borate, ozone, oxygen, helium and nitrogen a kind of.
25. method according to claim 19, the wherein said gas that is transferred causes being selected from the deposition of the material in the group of being made up of borosilicate glass, phosphosilicate glass or boron phosphorus silicate glass.
26. method according to claim 19, wherein said gas is carried from described gas distribution face plate, and described gas distribution face plate is recessed with respect to centre portions, thereby produces the panel bevel angle between 0.5 ° to 5 °.
27. method according to claim 19, wherein said gas is carried in dry etching process.
28. a device that is used for forming material on semiconductor wafer, described device comprises:
Treatment chamber by the definition of chamber wall;
Be positioned at the die support in the described treatment chamber, it is configured to receive semiconductor wafer;
Handle gas source; With
Gas distribution showerhead, it is above described die support and comprise that tapered panel, the contiguous described die support of described panel, described tapered panel comprise,
Inlet part, it is configured to receive flow of process air, and described inlet part comprises the aperture with certain width; And
Exit portion, it is configured to transmit flow of process air to semiconductor wafer, and described exit portion comprises elongate slit, and the fluid transmission is carried out in itself and described aperture.
The edge of wherein said tapered panel demonstrates the thickness that the thickness with respect to described face plate center reduces, thereby produces bevel angle, so that the material that is deposited on the wafer contacts with described die support, with the center that the demonstrates unanimity thickness to the edge.
29. according to the described device of claim 28, wherein said bevel angle is between 0.5 ° and 5 °.
CNB028274970A 2002-01-25 2002-11-27 Gas distribution showerhead Expired - Fee Related CN100342057C (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/057,280 2002-01-25
US10/057,280 US6793733B2 (en) 2002-01-25 2002-01-25 Gas distribution showerhead

Publications (2)

Publication Number Publication Date
CN1659308A true CN1659308A (en) 2005-08-24
CN100342057C CN100342057C (en) 2007-10-10

Family

ID=27609412

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028274970A Expired - Fee Related CN100342057C (en) 2002-01-25 2002-11-27 Gas distribution showerhead

Country Status (6)

Country Link
US (1) US6793733B2 (en)
JP (1) JP4426306B2 (en)
KR (1) KR100993037B1 (en)
CN (1) CN100342057C (en)
TW (1) TWI283437B (en)
WO (1) WO2003064725A1 (en)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101556904B (en) * 2008-04-10 2010-12-01 北京北方微电子基地设备工艺研究中心有限责任公司 Gas distributor and semiconductor processing equipment applying same
CN101448977B (en) * 2005-11-04 2010-12-15 应用材料股份有限公司 Apparatus and process for plasma-enhanced atomic layer deposition
CN101489344B (en) * 2008-01-14 2011-07-06 北京北方微电子基地设备工艺研究中心有限责任公司 Plasma processing device, gas dispensing apparatus and processing gas providing method
CN102373440A (en) * 2010-08-12 2012-03-14 Snt能源技术有限公司 Chemical vapor deposition device
CN103354946A (en) * 2010-12-23 2013-10-16 六号元素有限公司 A microwave plasma reactor for manufacturing synthetic diamond material
US9136097B2 (en) 2007-11-08 2015-09-15 Tokyo Electron Limited Shower plate and substrate processing apparatus
CN104916564A (en) * 2014-03-13 2015-09-16 北京北方微电子基地设备工艺研究中心有限责任公司 Reaction chamber and plasma processing device
CN105088191A (en) * 2009-07-15 2015-11-25 应用材料公司 Flow control features of CVD chambers
CN101911262B (en) * 2008-01-10 2016-06-22 应用材料公司 The nozzle component of heating
CN109600898A (en) * 2018-12-13 2019-04-09 大连理工大学 A kind of fountain electrode and discharge system
CN110047775A (en) * 2018-01-16 2019-07-23 台湾积体电路制造股份有限公司 Semiconductor device manufacturing equipment and manufacturing method
CN110129766A (en) * 2019-06-11 2019-08-16 广东先导稀材股份有限公司 Coating device and quartz boat surface coating system
CN110724938A (en) * 2014-05-16 2020-01-24 应用材料公司 Spray head design
TWI737250B (en) * 2020-04-06 2021-08-21 力晶積成電子製造股份有限公司 Gas spraying device, semiconductor substrate processing equipment, and operation method thereof

Families Citing this family (380)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4592856B2 (en) * 1999-12-24 2010-12-08 東京エレクトロン株式会社 Baffle plate and gas treatment device
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US20040060514A1 (en) * 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
KR100476370B1 (en) * 2002-07-19 2005-03-16 주식회사 하이닉스반도체 Batch type Atomic Layer Deposition and method for insitu-cleaning in the batch type atomic layer deposition
GB2406583B (en) * 2002-08-08 2005-12-21 Trikon Technologies Ltd Improvements to showerheads
US7141483B2 (en) 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7431967B2 (en) 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
KR100536797B1 (en) * 2002-12-17 2005-12-14 동부아남반도체 주식회사 Chemical vapor deposition apparatus
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US6830624B2 (en) * 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
JP4707959B2 (en) * 2004-02-20 2011-06-22 日本エー・エス・エム株式会社 Shower plate, plasma processing apparatus and plasma processing method
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US20050233092A1 (en) * 2004-04-20 2005-10-20 Applied Materials, Inc. Method of controlling the uniformity of PECVD-deposited thin films
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20060005771A1 (en) * 2004-07-12 2006-01-12 Applied Materials, Inc. Apparatus and method of shaping profiles of large-area PECVD electrodes
US7528051B2 (en) * 2004-05-14 2009-05-05 Applied Materials, Inc. Method of inducing stresses in the channel region of a transistor
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US20070212847A1 (en) * 2004-08-04 2007-09-13 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7416635B2 (en) * 2005-03-02 2008-08-26 Tokyo Electron Limited Gas supply member and plasma processing apparatus
FR2884044A1 (en) * 2005-04-01 2006-10-06 St Microelectronics Sa Reactor for the deposition of an oxide layer on a platelet, notably for the deposition of tantalum pentoxide during the fabrication of integrated circuits
US20070056843A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Method of processing a substrate using a large-area magnetron sputtering chamber with individually controlled sputtering zones
US20070056845A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Multiple zone sputtering target created through conductive and insulation bonding
JP4897798B2 (en) * 2005-10-05 2012-03-14 ペーファウアー テプラ アーゲー Downstream plasma etching using a deflected plasma beam
CN100416756C (en) * 2005-12-05 2008-09-03 北京北方微电子基地设备工艺研究中心有限责任公司 Plasma etching apparatus
US20070151516A1 (en) * 2006-01-03 2007-07-05 Law Kam S Chemical vapor deposition apparatus and electrode plate thereof
US20070163716A1 (en) * 2006-01-19 2007-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution apparatuses and methods for controlling gas distribution apparatuses
KR100735728B1 (en) * 2006-02-15 2007-07-06 이용연 A welding type shower head
KR100943431B1 (en) 2006-04-13 2010-02-19 주식회사 에이디피엔지니어링 Apparatus for processing substrate with plasma
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
JP2008047869A (en) * 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk Shower plate and its fabrication process, plasma processing equipment employing it, plasma processing method and process for fabricating electronic device
US7655542B2 (en) * 2006-06-23 2010-02-02 Applied Materials, Inc. Methods and apparatus for depositing a microcrystalline silicon film for photovoltaic device
US20080081114A1 (en) * 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
JP5010234B2 (en) * 2006-10-23 2012-08-29 北陸成型工業株式会社 Shower plate in which gas discharge hole member is integrally sintered and manufacturing method thereof
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
US11136667B2 (en) * 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US8203071B2 (en) 2007-01-18 2012-06-19 Applied Materials, Inc. Multi-junction solar cells and methods and apparatuses for forming the same
JP2008205219A (en) * 2007-02-20 2008-09-04 Masato Toshima Showerhead, and cvd apparatus using the same showerhead
ES2331489T3 (en) * 2007-03-05 2010-01-05 Applied Materials, Inc. COATING SYSTEM AND GAS DRIVING SYSTEM.
CN101647103B (en) * 2007-03-27 2012-05-23 佳能安内华股份有限公司 Vacuum processing apparatus
US8048226B2 (en) * 2007-03-30 2011-11-01 Tokyo Electron Limited Method and system for improving deposition uniformity in a vapor deposition system
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US7741144B2 (en) 2007-11-02 2010-06-22 Applied Materials, Inc. Plasma treatment between deposition processes
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
CN101453822B (en) * 2007-12-04 2013-02-27 北京北方微电子基地设备工艺研究中心有限责任公司 Nozzle and reaction cavity
US8729425B2 (en) * 2008-02-25 2014-05-20 Epilog Corporation Air assist apparatus and method for an engraving laser
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
JP2009239082A (en) * 2008-03-27 2009-10-15 Tokyo Electron Ltd Gas feeding device, treating device, and treating method
KR20090102955A (en) * 2008-03-27 2009-10-01 주식회사 유진테크 Apparatus and method for processing substrate
US8097082B2 (en) * 2008-04-28 2012-01-17 Applied Materials, Inc. Nonplanar faceplate for a plasma processing chamber
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US7914603B2 (en) * 2008-06-26 2011-03-29 Mks Instruments, Inc. Particle trap for a plasma source
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
DE112008004011T5 (en) * 2008-09-24 2011-07-14 Toshiba Mitsubishi-Electric Industrial Systems Corporation A method of forming zinc oxide film (ZnO) or magnesium zinc oxide film (ZnMgO) and zinc oxide film or magnesium zinc oxide film forming apparatus
US9714465B2 (en) * 2008-12-01 2017-07-25 Applied Materials, Inc. Gas distribution blocker apparatus
EP3471130A1 (en) * 2008-12-04 2019-04-17 Veeco Instruments Inc. Chemical vapor deposition flow inlet elements and methods
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8147614B2 (en) * 2009-06-09 2012-04-03 Applied Materials, Inc. Multi-gas flow diffuser
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8216640B2 (en) * 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
TWI430714B (en) * 2009-10-15 2014-03-11 Orbotech Lt Solar Llc Showerhead assembly for plasma processing chamber and method for fabricating gas ionization plate thereof
US20110088760A1 (en) * 2009-10-20 2011-04-21 Applied Materials, Inc. Methods of forming an amorphous silicon layer for thin film solar cell application
TWI417984B (en) 2009-12-10 2013-12-01 Orbotech Lt Solar Llc Auto-sequencing multi-directional inline processing apparatus
US20120024478A1 (en) * 2010-07-29 2012-02-02 Hermes-Epitek Corporation Showerhead
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
GB201021913D0 (en) 2010-12-23 2011-02-02 Element Six Ltd Microwave plasma reactors and substrates for synthetic diamond manufacture
JP5913362B2 (en) 2010-12-23 2016-04-27 エレメント シックス リミテッド Controlling the doping of synthetic diamond materials
KR101306315B1 (en) * 2011-01-11 2013-09-09 주식회사 디엠에스 Apparatus for chemical vapor deposition
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
EP2481833A1 (en) * 2011-01-31 2012-08-01 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus for atomic layer deposition
KR101937115B1 (en) 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 Hybrid ceramic showerhead
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20140116339A1 (en) * 2011-06-11 2014-05-01 Tokyo Electron Limited Process gas diffuser assembly for vapor deposition system
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8955547B2 (en) 2011-10-19 2015-02-17 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9109754B2 (en) 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130269612A1 (en) * 2012-04-16 2013-10-17 Hermes-Epitek Corporation Gas Treatment Apparatus with Surrounding Spray Curtains
US9111980B2 (en) * 2012-09-04 2015-08-18 Applied Materials, Inc. Gas exhaust for high volume, low cost system for epitaxial silicon deposition
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103789747B (en) * 2012-10-26 2016-03-09 中微半导体设备(上海)有限公司 A kind of gas spray and make the method for this gas spray
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI624560B (en) * 2013-02-18 2018-05-21 應用材料股份有限公司 Gas distribution plate for atomic layer deposition and atomic layer deposition system
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US9353440B2 (en) 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10077497B2 (en) * 2014-05-30 2018-09-18 Lam Research Corporation Hollow cathode discharge (HCD) suppressing capacitively coupled plasma electrode and gas distribution faceplate
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP6298383B2 (en) * 2014-08-19 2018-03-20 株式会社日立国際電気 Substrate processing apparatus and semiconductor device manufacturing method
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
GB2528141B (en) * 2014-09-18 2016-10-05 Plasma App Ltd Virtual cathode deposition (VCD) for thin film manufacturing
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
JP1545606S (en) * 2015-08-26 2016-03-14
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102462931B1 (en) 2015-10-30 2022-11-04 삼성전자주식회사 Gas Supply Unit and Substrate Treating Apparatus
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10775533B2 (en) * 2016-02-12 2020-09-15 Purdue Research Foundation Methods of forming particulate films and films and devices made therefrom
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6462613B2 (en) * 2016-03-15 2019-01-30 株式会社東芝 Shunt structure
USD793526S1 (en) 2016-04-08 2017-08-01 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
USD790039S1 (en) 2016-04-08 2017-06-20 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
USD794753S1 (en) 2016-04-08 2017-08-15 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CN106735642A (en) * 2017-03-15 2017-05-31 广东工业大学 A kind of electric jet mask system of processing and its shower nozzle
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10450655B2 (en) * 2017-10-27 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. CVD apparatus with multi-zone thickness control
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (en) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 Apparatus including a clean mini environment
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (en) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10526703B2 (en) 2018-03-15 2020-01-07 Taiwan Semiconductor Manufacturing Company Ltd. Film formation apparatus for forming semiconductor structure having shower head with plural hole patterns and with corresponding different plural hole densities
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7365761B2 (en) * 2018-08-24 2023-10-20 株式会社ニューフレアテクノロジー Vapor phase growth equipment
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
TW202020218A (en) 2018-09-14 2020-06-01 美商應用材料股份有限公司 Apparatus for multi-flow precursor dosage
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10984987B2 (en) * 2018-10-10 2021-04-20 Lam Research Corporation Showerhead faceplate having flow apertures configured for hollow cathode discharge suppression
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
DE102018126617A1 (en) 2018-10-25 2020-04-30 Aixtron Se Screen plate for a CVD reactor
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11286565B2 (en) * 2018-12-13 2022-03-29 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Apparatus and method for semiconductor fabrication
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
EP3980574A1 (en) 2019-06-10 2022-04-13 SweGaN AB Reactor for gas treatment of a substrate
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11810764B2 (en) * 2020-04-23 2023-11-07 Applied Materials, Inc. Faceplate with edge flow control
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
CN113555279A (en) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 Method of forming vanadium nitride-containing layers and structures including the same
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
CN111889030B (en) * 2020-07-28 2023-02-10 茂名立强化学有限公司 Synthesizer of 4-chloroacetoacetic ester
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
USD969980S1 (en) 2020-10-20 2022-11-15 Applied Materials, Inc. Deposition chamber showerhead
USD967351S1 (en) 2020-10-20 2022-10-18 Applied Materials, Inc. Showerhead reflector
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024010295A1 (en) * 2022-07-08 2024-01-11 주성엔지니어링(주) Gas spraying apparatus, substrate processing apparatus, and thin film deposition method

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4297162A (en) 1979-10-17 1981-10-27 Texas Instruments Incorporated Plasma etching using improved electrode
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
JP2602298B2 (en) * 1988-01-30 1997-04-23 日本電気株式会社 Vapor phase growth equipment
JPH01283375A (en) 1988-05-09 1989-11-14 Fujitsu Ltd Cvd apparatus
US5439524A (en) * 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
JP3824675B2 (en) 1995-03-03 2006-09-20 有限会社デジタル・ウェーブ Crystal manufacturing equipment
JP3380091B2 (en) * 1995-06-09 2003-02-24 株式会社荏原製作所 Reactive gas injection head and thin film vapor phase growth apparatus
US5892886A (en) 1996-02-02 1999-04-06 Micron Technology, Inc. Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes
US5976261A (en) 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US6267074B1 (en) 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
JP4268231B2 (en) 1997-12-12 2009-05-27 忠弘 大見 Plasma treatment apparatus, surface treatment method, and optical component manufacturing method
US20020011215A1 (en) * 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
US6050506A (en) 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6079353A (en) * 1998-03-28 2000-06-27 Quester Technology, Inc. Chamber for reducing contamination during chemical vapor deposition
US5980686A (en) 1998-04-15 1999-11-09 Applied Komatsu Technology, Inc. System and method for gas distribution in a dry etch process
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
JP3595853B2 (en) * 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 Plasma CVD film forming equipment
JP2000290777A (en) * 1999-04-07 2000-10-17 Tokyo Electron Ltd Gas treating device, buffle member, and gas treating method
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
DE60127108T2 (en) * 2000-04-04 2007-12-13 Dek International Gmbh METHOD AND DEVICE FOR APPLYING VISCOSIVE OR PASTIVE MATERIAL TO A SUBSTRATE
KR100332314B1 (en) * 2000-06-24 2002-04-12 서성기 Reactor for depositing thin film on wafer
KR100444149B1 (en) * 2000-07-22 2004-08-09 주식회사 아이피에스 ALD thin film depositin equipment cleaning method
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6586886B1 (en) * 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US20040060514A1 (en) * 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101448977B (en) * 2005-11-04 2010-12-15 应用材料股份有限公司 Apparatus and process for plasma-enhanced atomic layer deposition
US9136097B2 (en) 2007-11-08 2015-09-15 Tokyo Electron Limited Shower plate and substrate processing apparatus
CN101911262B (en) * 2008-01-10 2016-06-22 应用材料公司 The nozzle component of heating
CN101489344B (en) * 2008-01-14 2011-07-06 北京北方微电子基地设备工艺研究中心有限责任公司 Plasma processing device, gas dispensing apparatus and processing gas providing method
CN101556904B (en) * 2008-04-10 2010-12-01 北京北方微电子基地设备工艺研究中心有限责任公司 Gas distributor and semiconductor processing equipment applying same
CN105088191A (en) * 2009-07-15 2015-11-25 应用材料公司 Flow control features of CVD chambers
US10550472B2 (en) 2009-07-15 2020-02-04 Applied Materials, Inc. Flow control features of CVD chambers
CN102373440B (en) * 2010-08-12 2014-07-16 显示器生产服务株式会社 Chemical vapor deposition device
CN102373440A (en) * 2010-08-12 2012-03-14 Snt能源技术有限公司 Chemical vapor deposition device
CN103354946B (en) * 2010-12-23 2016-06-29 六号元素有限公司 For manufacturing the microwave plasma reactor of diamond synthesis material
CN103354946A (en) * 2010-12-23 2013-10-16 六号元素有限公司 A microwave plasma reactor for manufacturing synthetic diamond material
WO2015135350A1 (en) * 2014-03-13 2015-09-17 北京北方微电子基地设备工艺研究中心有限责任公司 Reaction chamber and plasma machining device
CN104916564B (en) * 2014-03-13 2018-01-09 北京北方华创微电子装备有限公司 Reaction chamber and plasma processing device
CN104916564A (en) * 2014-03-13 2015-09-16 北京北方微电子基地设备工艺研究中心有限责任公司 Reaction chamber and plasma processing device
CN110724938A (en) * 2014-05-16 2020-01-24 应用材料公司 Spray head design
CN110724938B (en) * 2014-05-16 2022-02-22 应用材料公司 Spray head design
US10876208B2 (en) 2018-01-16 2020-12-29 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method for fabricating a semiconductor device
CN110047775A (en) * 2018-01-16 2019-07-23 台湾积体电路制造股份有限公司 Semiconductor device manufacturing equipment and manufacturing method
CN110047775B (en) * 2018-01-16 2021-09-14 台湾积体电路制造股份有限公司 Semiconductor device manufacturing apparatus and method
CN109600898B (en) * 2018-12-13 2020-04-17 大连理工大学 Spray type electrode and discharge system
CN109600898A (en) * 2018-12-13 2019-04-09 大连理工大学 A kind of fountain electrode and discharge system
CN110129766A (en) * 2019-06-11 2019-08-16 广东先导稀材股份有限公司 Coating device and quartz boat surface coating system
TWI737250B (en) * 2020-04-06 2021-08-21 力晶積成電子製造股份有限公司 Gas spraying device, semiconductor substrate processing equipment, and operation method thereof

Also Published As

Publication number Publication date
TW200302510A (en) 2003-08-01
JP4426306B2 (en) 2010-03-03
KR20040085164A (en) 2004-10-07
TWI283437B (en) 2007-07-01
KR100993037B1 (en) 2010-11-08
CN100342057C (en) 2007-10-10
WO2003064725A1 (en) 2003-08-07
JP2005516407A (en) 2005-06-02
US6793733B2 (en) 2004-09-21
US20030140851A1 (en) 2003-07-31

Similar Documents

Publication Publication Date Title
CN100342057C (en) Gas distribution showerhead
CN1860252A (en) Gas distribution showerhead
US11264213B2 (en) Chemical control features in wafer process equipment
KR101659303B1 (en) Flow control features of cvd chambers
KR20140092892A (en) Precursor distribution features for improved deposition uniformity
CN1424429A (en) Method and system for preferential chemical vapor phase deposition
CN1763912A (en) Gas diffusion plate
CN106098527A (en) For forming the dual pathways shower nozzle of membrane stack
CN1763913A (en) Substrate processing apparatus and substrate processing method
CN101068950A (en) Gas distribution system
CN113249707A (en) Thin film deposition device and thin film deposition method
CN111785604A (en) Gas spray header, manufacturing method and plasma device comprising gas spray header
CN101153387A (en) High-density plasma deposition reaction chamber and air injection ring for reaction chamber
TWI502096B (en) Reaction device and manufacture method for chemical vapor deposition
US11222771B2 (en) Chemical control features in wafer process equipment
CN218621044U (en) Plasma chemical vapor deposition device
JP2022524280A (en) Shower head for sedimentation tools with multiple plenum and gas distribution chambers
JP2021532268A (en) Gas box for CVD chamber
CN213624369U (en) Gas spraying member and thin film deposition apparatus
CN110904437A (en) Film preparation equipment and reaction chamber thereof
TWI620830B (en) Batch coating process system
TW389796B (en) Method of forming an undoped silicate glass layer using a high-density plasma chemical vapor deposition method
TW486919B (en) Gas distribution plate for plasma treatment

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20071010

Termination date: 20121127