KR20140092892A - Precursor distribution features for improved deposition uniformity - Google Patents

Precursor distribution features for improved deposition uniformity Download PDF

Info

Publication number
KR20140092892A
KR20140092892A KR1020147015590A KR20147015590A KR20140092892A KR 20140092892 A KR20140092892 A KR 20140092892A KR 1020147015590 A KR1020147015590 A KR 1020147015590A KR 20147015590 A KR20147015590 A KR 20147015590A KR 20140092892 A KR20140092892 A KR 20140092892A
Authority
KR
South Korea
Prior art keywords
holes
diameter
rings
annular body
fluid
Prior art date
Application number
KR1020147015590A
Other languages
Korean (ko)
Inventor
수남 박
킴버리 힌클레이
퀴웨이 리앙
장규 양
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20140092892A publication Critical patent/KR20140092892A/en

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B1/00Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means
    • B05B1/14Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means with multiple outlet openings; with strainers in or outside the outlet opening
    • B05B1/18Roses; Shower heads
    • B05B1/185Roses; Shower heads characterised by their outlet element; Mounting arrangements therefor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/503Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using dc or ac discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus

Abstract

제 1 복수의 구멍들을 포함하는 샤워헤드들이 설명되며, 그 제 1 복수의 구멍들을 통해, 제 1 유체가 반도체 기판 프로세싱 챔버의 프로세싱 영역으로 분배될 수 있다. 제 1 복수의 구멍들은 구멍들의 제 1 세트 및 구멍들의 제 2 세트를 포함할 수 있고, 구멍들의 제 1 세트는 구멍들의 제 2 세트의 구멍 직경보다 더 큰 구멍 직경을 가질 수 있다. 샤워헤드들은 또한, 제 2 복수의 구멍들을 가질 수 있으며, 그 제 2 복수의 구멍들을 통해, 제 2 유체가 기판 프로세싱 챔버의 프로세싱 영역으로 분배될 수 있다. 제 1 및 제 2 유체들은 또한, 프로세싱 영역으로의 이들의 분배 전에 유체적으로 격리될 수 있다.Showerheads comprising a first plurality of holes are described, through which the first fluid can be dispensed into the processing region of the semiconductor substrate processing chamber. The first plurality of holes may comprise a first set of holes and a second set of holes and the first set of holes may have a larger hole diameter than the hole diameter of the second set of holes. The showerheads may also have a second plurality of apertures through which the second fluid may be dispensed into the processing region of the substrate processing chamber. The first and second fluids may also be fluidly isolated prior to their dispensing into the processing region.

Description

개선된 증착 균일성을 위한 전구체 분배 피처들{PRECURSOR DISTRIBUTION FEATURES FOR IMPROVED DEPOSITION UNIFORMITY}[0001] PRECURSOR DISTRIBUTION FEATURES FOR IMPROVED DEPOSITION UNIFORMITY [0002]

개시된 실시예들의 본질 및 이점들의 추가적인 이해는 도면들 및 명세서의 나머지 부분들을 참조하여 실현될 수 있다.
도 1은 프로세싱 툴의 일 실시예의 상면도이다.
도 2a 내지 도 2c는 프로세싱 챔버의 일 실시예의 개략적인 단면도들이다.
도 3a 내지 도 3m은 여기에서 설명된 바와 같은 샤워헤드의 일 실시예의 개략도들이다.
도 4a 내지 도 4i는 여기에서 설명된 바와 같은 가스 분배 어셈블리의 일 실시예의 개략도들이다.
도 5는 동작 동안의 샤워헤드의 단면도 및 연관된 프로세싱 유체 유동을 도시한다.
도 6은 2개의 샤워헤드 설계들에 대한 기판의 표면에 걸친 증착 특성들을 도시하는 그래프이다.
첨부된 도면들에서, 유사한 컴포넌트들 및/또는 피처(feature)들은 동일한 수치 참조 라벨을 가질 수 있다. 추가로, 동일한 타입의 다양한 컴포넌트들은, 유사한 컴포넌트들 및/또는 피처들 사이를 구별하는 문자를 참조 라벨에 뒤따르게 함으로써 구별될 수 있다. 제 1 수치 참조 라벨이 명세서에서 사용되는 경우에만, 설명은, 문자 첨자와 관계없이, 동일한 제 1 수치 참조 라벨을 갖는 유사한 컴포넌트들 및/또는 피처들 중 임의의 것에 적용가능하다.
A further understanding of the nature and advantages of the disclosed embodiments may be realized by reference to the remaining portions of the drawings and specification.
1 is a top view of one embodiment of a processing tool.
Figures 2A-2C are schematic cross-sectional views of one embodiment of a processing chamber.
Figures 3A-3M are schematic diagrams of one embodiment of a showerhead as described herein.
4A-4I are schematic diagrams of one embodiment of a gas distribution assembly as described herein.
Figure 5 shows a cross-sectional view of the showerhead and associated processing fluid flow during operation.
Figure 6 is a graph showing deposition characteristics across the surface of a substrate for two showerhead designs.
In the accompanying drawings, similar components and / or features may have the same numerical reference label. In addition, various components of the same type may be distinguished by following the reference label to distinguish between similar components and / or features. The description is applicable to any of similar components and / or features having the same first numeric reference label, regardless of the character subscript, only if the first numeric reference label is used in the specification.

본 기술은, 반도체 기판 상에 더 균일한 높이의 증착 층들을 형성하기 위한 개선된 유동 패턴으로 프로세싱 가스들을 분배(distributing)하기 위한 개선된 샤워헤드 설계들을 포함한다. 종래의 샤워헤드 설계들이 단순히, 프로세싱 및 전구체(precursor) 가스들을 위한 통과 분배 시스템들을 제공할 수 있지만, 본 설명되는 기술은, 가스들이 기판 프로세싱 챔버로 전달될 때에, 가스들의 유동 특성들의 개선된 제어를 허용한다. 그렇게 하여, 증착 동작들은 전체 기판에 걸친 실질적으로 동등한 높이의 막들을 생성할 수 있다.The technique includes improved showerhead designs for distributing processing gases in an improved flow pattern for forming deposition layers of a more uniform height on a semiconductor substrate. Although the conventional showerhead designs may simply provide pass and distribute systems for processing and precursor gases, the presently described technique is advantageous in that when the gases are transferred to the substrate processing chamber, an improved control of the flow properties of the gases . In doing so, the deposition operations can produce films of substantially equal height across the entire substrate.

도 1은 개시된 실시예들에 따른, 증착, 베이킹(baking), 및 경화 챔버들의 프로세싱 툴(100)의 일 실시예의 상면도이다. 도면에서, FOUP들(front opening unified pods)(102)의 쌍은 기판들(예를 들어, 300 mm 직경 반도체 웨이퍼들)을 공급하며, 그 기판들은 로봇식 암들(104)에 의해 수용될 수 있고, 탠덤(tandem) 프로세스 챔버들(109a-c)의 기판 프로세싱 섹션들(108a-f) 중 하나 내로 배치되기 전에, 저압 홀딩 영역(106) 내로 배치될 수 있다. 제 2 로봇식 암(110)은 기판들을 홀딩 영역(106)으로부터 프로세싱 챔버들(108a-f)로 그리고 역으로 운반하기 위해 사용될 수 있다.Figure 1 is a top view of one embodiment of a processing tool 100 of deposition, baking, and curing chambers, in accordance with the disclosed embodiments. In the figure, a pair of front opening unified pods 102 provides substrates (e.g., 300 mm diameter semiconductor wafers) that can be received by robotic arms 104 May be placed into the low pressure holding area 106 before being placed into one of the substrate processing sections 108a-f of the tandem process chambers 109a-c. The second robotic arm 110 can be used to transport substrates from the holding area 106 to the processing chambers 108a-f and backwards.

탠덤 프로세스 챔버들(109a-c)의 기판 프로세싱 섹션들(108a-f)은, 기판 상의 유동성(flowable) 유전체 막을 증착, 어닐링, 경화, 및/또는 에칭하기 위한 하나 또는 그 초과의 시스템 컴포넌트들을 포함할 수 있다. 일 구성에서, 프로세싱 챔버의 탠덤 프로세싱 섹션들의 2개의 쌍들(예를 들어, 108c-d 및 108e-f)은 기판 상에 유동성 유전체 재료를 증착하기 위해 사용될 수 있고, 탠덤 프로세싱 섹션들의 제 3 쌍(예를 들어, 108a-b)은 증착된 유전체를 어닐링하기 위해 사용될 수 있다. 다른 구성에서, 프로세싱 챔버들의 탠덤 프로세싱 섹션들의 2개의 쌍들(예를 들어, 108c-d 및 108e-f)은 기판 상의 유동성 유전체 막의 증착 및 어닐링 양자 모두를 행하도록 구성될 수 있는 한편, 탠덤 프로세싱 섹션들의 제 3 쌍(예를 들어, 108a-b)은 증착된 막의 UV 또는 E-빔 경화를 위해 사용될 수 있다. 또 다른 구성에서, 탠덤 프로세싱 섹션들의 모든 3개의 쌍들(예를 들어, 108a-f)은 기판 상의 유동성 유전체 막을 증착하고 경화시키도록 구성될 수 있다.The substrate processing sections 108a-f of the tandem process chambers 109a-c include one or more system components for depositing, annealing, curing, and / or etching a flowable dielectric film on the substrate can do. In one configuration, two pairs (e.g., 108c-d and 108e-f) of tandem processing sections of the processing chamber may be used to deposit a flowable dielectric material on the substrate and a third pair of tandem processing sections For example, 108a-b may be used to anneal the deposited dielectric. In other configurations, two pairs (e.g., 108c-d and 108e-f) of the tandem processing sections of the processing chambers may be configured to both deposit and anneal the flowable dielectric film on the substrate, while the tandem processing sections (E. G., 108a-b) may be used for UV or E-beam curing of the deposited film. In another configuration, all three pairs (e.g., 108a-f) of the tandem processing sections can be configured to deposit and cure a flowable dielectric film on the substrate.

또 다른 구성에서, 탠덤 프로세싱 섹션들의 2개의 쌍들(예를 들어, 108c-d 및 108e-f)은 유동성 유전체의 UV 또는 E-빔 경화 및 증착 양자 모두를 위해 사용될 수 있는 한편, 탠덤 프로세싱 섹션들의 제 3 쌍(예를 들어, 108a-b)은 유전체 막을 어닐링하기 위해 사용될 수 있다. 유동성 유전체 막들을 위한 증착, 어닐링, 및 경화 챔버들의 부가적인 구성들이 시스템(100)에 의해 고려된다는 것이 인식될 것이다.In another configuration, two pairs (e.g., 108c-d and 108e-f) of tandem processing sections may be used for both UV or E-beam curing and deposition of the flowable dielectric, A third pair (e.g., 108a-b) may be used to anneal the dielectric film. It will be appreciated that additional configurations of deposition, annealing, and curing chambers for flowable dielectric films are contemplated by the system 100.

부가하여, 탠덤 프로세싱 섹션들(108a-f) 중 하나 또는 그 초과가 습식 처리 챔버로서 구성될 수 있다. 이들 프로세스 챔버들은 습기를 포함하는 분위기(atmosphere)에서 유동성 유전체 막을 가열하는 것을 포함할 수 있다. 따라서, 시스템(100)의 실시예들은, 증착된 유전체 막 상에서 습식 및 건식 어닐링들 양자 모두를 수행하기 위해, 습식 처리 탠덤 프로세싱 섹션들(108a-b) 및 어닐링 탠덤 프로세싱 섹션들(108c-d)을 포함할 수 있다.In addition, one or more of the tandem processing sections 108a-f may be configured as a wet processing chamber. These process chambers may include heating the flowable dielectric film in an atmosphere containing moisture. Thus, embodiments of the system 100 may include wet processing tandem processing sections 108a-b and annealing tandem processing sections 108c-d to perform both wet and dry anneal on the deposited dielectric film, . ≪ / RTI >

도 2a는 탠덤 프로세싱 챔버들 내의 파티셔닝된(partitioned) 플라즈마 생성 영역들을 갖는 프로세스 챔버 섹션(200)의 일 실시예의 단면도이다. 막 증착(실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 또는 실리콘 산탄화물(oxycarbide)) 동안에, 프로세스 가스가 가스 유입구 어셈블리(205)를 통해 제 1 플라즈마 영역(215) 내로 유동될 수 있다. 프로세스 가스는 원격 플라즈마 시스템(RPS)(201) 내에서, 제 1 플라즈마 영역(215)에 진입하기 전에 여기될 수 있다. 리드(lid)(212), 샤워헤드(225), 및 기판(255)이 위에 배치된 기판 지지부(265)는 개시된 실시예들에 따라 도시되어 있다. 리드(212)는, 피라미드형, 원뿔형, 또는 좁은 상단 부분이 넓은 바닥 부분으로 연장되는 다른 유사한 구조로 이루어질 수 있다. 리드(212)는 인가되는 AC 전압 소스를 갖는 것으로 묘사되고, 샤워헤드(225)는 접지되며, 이는 제 1 플라즈마 영역(215)에서의 플라즈마 생성과 일치한다. 절연 링(220)이 리드(212)와 샤워헤드(225) 사이에 위치될 수 있고, 이는 제 1 플라즈마 영역에서 용량성 커플링된 플라즈마(CCP)가 형성될 수 있게 할 수 있다.2A is a cross-sectional view of one embodiment of a process chamber section 200 having partitioned plasma generation regions in tandem processing chambers. During film deposition (silicon oxide, silicon nitride, silicon oxynitride, or silicon oxycarbide), the process gas may flow into the first plasma region 215 through the gas inlet assembly 205. The process gas may be excited in the remote plasma system (RPS) 201 before entering the first plasma region 215. The substrate support 265 on which the lid 212, the showerhead 225, and the substrate 255 are disposed is shown in accordance with the disclosed embodiments. The leads 212 may be pyramidal, conical, or other similar structure in which the narrow top portion extends into a wide bottom portion. The lead 212 is depicted as having an applied AC voltage source and the showerhead 225 is grounded, which is consistent with plasma generation in the first plasma region 215. An insulating ring 220 may be positioned between the lead 212 and the showerhead 225 to enable capacitively coupled plasma (CCP) to be formed in the first plasma region.

리드(212)는 개시된 실시예들에 따른 프로세싱 챔버와 함께 사용하기 위한 듀얼-소스 리드일 수 있다. 유체 유입구 어셈블리(205)는 제 1 플라즈마 영역(215) 내로 가스와 같은 유체를 도입할 수 있다. 유체 유입구 어셈블리(205) 내에서 2개의 별개의 유체 공급 채널들을 볼 수 있다. 제 1 채널(202)은 원격 플라즈마 시스템("RPS")(201)을 통과하는 가스와 같은 유체를 운반할 수 있는 한편, 제 2 채널(204)은 RPS(201)를 우회하는 가스와 같은 유체를 운반할 수 있다. 개시된 실시예들에서, 제 1 채널(202)은 프로세스 가스를 위해 사용될 수 있고, 제 2 채널(204)은 처리 가스를 위해 사용될 수 있다. 가스들은 플라즈마 영역(215) 내로 유동할 수 있고, 배플(206)에 의해 분산될 수 있다. 리드(205) 및 샤워헤드(225)는 그 사이에 절연 링(220)이 있는 것으로 도시되며, 그 절연 링(220)은 샤워헤드(225)에 대하여 리드(212)에 AC 전위가 인가되게 허용한다.The lead 212 may be a dual-source lead for use with a processing chamber according to the disclosed embodiments. Fluid inlet assembly 205 may introduce a fluid, such as a gas, into first plasma region 215. Two separate fluid supply channels can be seen within the fluid inlet assembly 205. The first channel 202 may carry a fluid such as gas passing through a remote plasma system (RPS) 201 while the second channel 204 may carry a fluid such as a gas that bypasses the RPS 201 Lt; / RTI > In the disclosed embodiments, the first channel 202 may be used for the process gas, and the second channel 204 may be used for the process gas. The gases may flow into the plasma region 215 and be dispersed by the baffle 206. The lead 205 and the showerhead 225 are shown with an insulating ring 220 therebetween and the insulating ring 220 allows the AC potential to be applied to the lead 212 relative to the showerhead 225 do.

여기에서 설명되는 샤워헤드의 실시예들에 의해, 예를 들어 실리콘 함유 전구체인 전구체와 같은 유체가 제 2 플라즈마 영역 내로 유동될 수 있다. 플라즈마 영역(215)에서 프로세스 가스로부터 유도된 여기된 종들은, 샤워헤드(225)에서의 구멍들을 통해 이동할 수 있고, 샤워헤드로부터 제 2 플라즈마 영역(233) 내로 유동하는 전구체와 반응할 수 있다. 제 2 플라즈마 영역(233)에는 플라즈마가 존재하지 않을 수 있거나 또는 거의 존재하지 않을 수 있다. 전구체 및 프로세스 가스의 여기된 유도체들은, 기판 상에 유동성 막을 형성하기 위해, 기판 위의 영역에서, 그리고 때때로, 기판 상에서 결합할 수 있다. 막이 성장함에 따라, 더 최근에 부가된 재료가 아래놓인 재료보다 더 높은 이동도(mobility)를 갖는다. 이동도는 유기물 함유량(organic content)이 증발에 의해 감소됨에 따라 감소할 수 있다. 갭들은, 증착이 완료된 후에 막 내의 유기물 함유량의 종래의(traditional) 밀도들을 남기지 않으면서, 이 기법을 사용하여 유동성 막에 의해 충전될(filled) 수 있다. 경화 단계는 여전히, 증착된 막으로부터 유기물 함유량을 추가로 감소시키거나 또는 제거하기 위해 사용될 수 있다.By way of embodiments of the showerhead described herein, a fluid, such as a precursor, for example a silicon-containing precursor, can flow into the second plasma region. Excited species derived from the process gas in the plasma region 215 can travel through holes in the showerhead 225 and react with precursors flowing into the second plasma region 233 from the showerhead. In the second plasma region 233, plasma may or may not be present. The excited derivatives of the precursor and the process gas may be combined in the region over the substrate, and sometimes on the substrate, to form a flowable film on the substrate. As the film grows, the more recently added material has a higher mobility than the underlying material. The mobility may decrease as the organic content is reduced by evaporation. The gaps may be filled by the flowable film using this technique, without leaving conventional densities of organic content in the film after deposition is complete. The curing step may still be used to further reduce or eliminate the organic content from the deposited film.

제 1 플라즈마 영역(215)에서 프로세스 가스를 직접 여기시키는 것, RPS에서 프로세스 가스를 여기시키는 것, 또는 양자 모두는 수개의 이익들을 제공할 수 있다. 프로세스 가스로부터 유도된 여기된 종들의 농도는, 제 1 플라즈마 영역(215)에서의 플라즈마로 인해, 제 2 플라즈마 영역(233) 내에서 증가될 수 있다. 이러한 증가는 제 1 플라즈마 영역(215)에서의 플라즈마의 위치로부터 기인할 수 있다. 제 2 플라즈마 영역(233)이 원격 플라즈마 시스템(RPS)(201)보다 제 1 플라즈마 영역(215)에 더 가까이 위치될 수 있어서, 여기된 종들이, 다른 가스 분자들과의 충돌들, 챔버의 벽들, 및 샤워헤드의 표면들을 통해, 여기된 상태들에서 벗어나게 되는 시간이 더 적게 될 수 있다.Direct excitation of the process gas in the first plasma region 215, excitation of the process gas in the RPS, or both can provide several benefits. The concentration of the excited species derived from the process gas can be increased in the second plasma region 233 due to the plasma in the first plasma region 215. [ This increase can be attributed to the position of the plasma in the first plasma region 215. The second plasma region 233 may be located closer to the first plasma region 215 than the remote plasma system (RPS) 201 so that the excited species may be subjected to collisions with other gas molecules, And through the surfaces of the showerhead, the time taken to escape from the excited states can be less.

프로세스 가스로부터 유도된 여기된 종들의 농도의 균일성이 또한, 제 2 플라즈마 영역(233) 내에서 증가될 수 있다. 이는, 제 2 플라즈마 영역(233)의 형상과 더 유사할 수 있는 제 1 플라즈마 영역(215)의 형상으로부터 기인할 수 있다. 원격 플라즈마 시스템(RPS)(201)에서 생성된 여기된 종들은, 샤워헤드(225)의 중심 근처의 구멍들을 통해 통과하는 종들에 비하여, 샤워헤드(225)의 에지들 근처의 구멍들을 통과하기 위해 더 먼 거리들을 이동할 수 있다. 더 먼 거리는 여기된 종들의 감소된 여기를 초래할 수 있고, 예를 들어, 기판의 에지 근처에서 더 느린 성장 레이트를 초래할 수 있다. 제 1 플라즈마 영역(215)에서 프로세스 가스를 여기시키는 것은 이러한 차이를 완화시킬 수 있다.The uniformity of the concentration of excited species derived from the process gas can also be increased in the second plasma region 233. This can be attributed to the shape of the first plasma region 215, which may be more similar to the shape of the second plasma region 233. The excited species generated in the remote plasma system (RPS) 201 are used to pass through holes near the edges of the showerhead 225, as compared to species passing through holes near the center of the showerhead 225 You can move farther distances. Larger distances can result in reduced excitation of the excited species, for example, resulting in a slower growth rate near the edge of the substrate. Exciting the process gas in the first plasma region 215 can mitigate this difference.

프로세싱 가스는 RPS(201)에서 여기될 수 있고, 여기된 상태로, 샤워헤드(225)를 통해 제 2 플라즈마 영역(233)으로 통과될 수 있다. 대안적으로, 플라즈마 가스를 여기시키거나, 또는 RPS로부터의 이미 여기된 프로세스 가스를 강화(enhance)하기 위해, 제 1 프로세싱 영역에 전력이 인가될 수 있다. 플라즈마가 제 2 플라즈마 영역(233)에서 생성될 수 있지만, 대안적으로, 플라즈마는 제 2 플라즈마 영역에서 생성되지 않을 수 있다. 일 예에서, 전구체들 또는 프로세싱 가스의 유일한 여기는, 제 2 플라즈마 영역(233)에서 전구체들과 반응하기 위해 RPS(201)에서 프로세싱 가스를 여기시키는 것으로부터 이루어질 수 있다.The processing gas may be excited in the RPS 201 and passed through the showerhead 225 to the second plasma region 233 in an excited state. Alternatively, power may be applied to the first processing region to excite the plasma gas or to enhance already-excited process gas from the RPS. Although a plasma may be generated in the second plasma region 233, alternatively, the plasma may not be generated in the second plasma region. In one example, the only excitation of the precursors or processing gas may be from exciting the processing gas in the RPS 201 to react with the precursors in the second plasma region 233.

프로세싱 챔버 및 툴은, 2008년 9월 15일자로 출원된 특허 출원 번호 제12/210,940호, 및 2008년 9월 15일자로 출원된 특허 출원 번호 제12/210,982호에서 더 완전히 설명되며, 이들 특허 출원들은 여기에서 주장되는 양상들 및 설명과 불일치하지 않는 정도까지 인용에 의해 여기에 포함된다.Processing chambers and tools are more fully described in patent application number 12 / 210,940 filed on September 15, 2008, and patent application number 12 / 210,982 filed September 15, 2008, The applications are hereby incorporated by reference to the extent not inconsistent with the aspects and descriptions claimed herein.

도 2b 내지 도 2c는 여기에서 설명되는 가스 분배 어셈블리들 및 프로세싱 챔버들에서의 전구체 유동 프로세스들의 일 실시예의 개략적인 측면도들이다. 프로세싱 챔버 섹션(200)에서 사용하기 위한 가스 분배 어셈블리들은 듀얼 구역 샤워헤드들(DZSH)이라고 지칭되고, 여기에서 도 3a 내지 도 3k, 도 4a 내지 도 4i에서 설명되는 실시예들에서 상세히 설명된다. 다음의 가스 유동 설명은 넓은 듀얼 구역 샤워헤드 설명에 관한 것이고, 여기에서 설명되는 샤워헤드 양상들을 제한하는 것으로서 해석 또는 이해되지 않아야 한다. 다음의 설명이 유전체 재료들의 증착에 대해 설명되지만, 본 발명자들은 이러한 장치 및 연관된 방법들이 다른 재료들을 증착하기 위해 사용될 수 있는 것을 고려한다.Figures 2B-2C are schematic side views of one embodiment of the precursor flow processes in the gas distribution assemblies and processing chambers described herein. The gas distribution assemblies for use in the processing chamber section 200 are referred to as dual zone showerheads (DZSH) and will now be described in detail in the embodiments illustrated in Figures 3A-3K, 4A-4I. The following gas flow description is for a broad dual zone shower head description and should not be construed or interpreted as limiting the aspects of the showerhead described herein. While the following discussion is directed to deposition of dielectric materials, the inventors contemplate that such devices and associated methods may be used to deposit other materials.

듀얼 구역 샤워헤드는 유전체 재료의 유동성 증착을 허용할 수 있다. 프로세싱 챔버에서 증착될 수 있는 유전체 재료들의 예들은 실리콘 산화물, 실리콘 질화물, 실리콘 산탄화물, 또는 실리콘 산질화물을 포함한다. 실리콘 질화물 재료들은, 실리콘 질화물, SixNy, 수소 함유 실리콘 질화물들, SixNyHz, 수소 함유 실리콘 산질화물들, SixNyHzOzz를 포함하는 실리콘 산질화물들, 및 염소화(chlorinated) 실리콘 질화물들, SixNyHzClzz를 포함하는 할로겐 함유 실리콘 질화물들을 포함한다. 그 후에, 증착된 유전체 재료는 실리콘 산화물과 같은 재료로 변환될 수 있다.The dual zone showerhead may allow fluidity deposition of the dielectric material. Examples of dielectric materials that can be deposited in the processing chamber include silicon oxide, silicon nitride, silicon oxycarbide, or silicon oxynitride. The silicon nitride materials include silicon oxynitrides including silicon nitride, Si x N y , hydrogen containing silicon nitrides, Si x N y H z , hydrogen containing silicon oxynitrides, Si x N y H z O zz , and Containing silicon nitrides including chlorinated silicon nitrides, Si x N y H z Cl zz . Thereafter, the deposited dielectric material may be converted to a material such as silicon oxide.

유전체 층은, 유전체 재료 전구체들을 도입하고, 제 2 플라즈마 영역(233) 또는 반응 볼륨에서 전구체들을 프로세싱 가스와 반응시킴으로써 증착될 수 있다. 전구체들의 예들은, 실란, 디실란, 메틸실란, 디메틸실란, 트리메틸실란, 테트라메틸실란, 테트라에톡시실란(TEOS), 트라에톡시실란(TES), 옥타메틸시클로테트라실록산(OMCTS), 테트라메틸-디실록산(TMDSO), 테트라메틸시클로테트라실록산(TMCTS), 테트라메틸-디에톡시-디실록산(TMDDSO), 디메틸-디메톡실-실란(DMDMS), 또는 이들의 조합들을 포함하는 실리콘 함유 전구체들이다. 실리콘 질화물의 증착을 위한 부가적인 전구체들은, 실릴아민, 및 트리실릴아민(TSA) 및 디실릴아민(DSA)을 포함하는 그 실릴아민의 유도체들과 같은 SixNyHz 함유 전구체들, SixNyHzOzz 함유 전구체들, SixNyHzClzz 함유 전구체들, 또는 이들의 조합들을 포함한다.The dielectric layer can be deposited by introducing dielectric material precursors and reacting the precursors with the processing gas in a second plasma region 233 or reaction volume. Examples of precursors include silane, disilane, methylsilane, dimethylsilane, trimethylsilane, tetramethylsilane, tetraethoxysilane (TEOS), tereethoxysilane (TES), octamethylcyclotetrasiloxane (OMCTS) Containing precursors including dimethylsiloxane-disiloxane (TMDSO), tetramethylcyclotetrasiloxane (TMCTS), tetramethyl-diethoxy-disiloxane (TMDDSO), dimethyl-dimethoxysilane (DMDMS), or combinations thereof. Additional precursors for the deposition of silicon nitride include Si x N y H z -containing precursors such as silylamines and derivatives of the silylamines including trisilylamine (TSA) and disilylamine (DSA), Si x N y H z O zz containing precursors, Si x N y H z Cl zz containing precursors, or combinations thereof.

프로세싱 가스들은, 수소 함유 화합물들, 산소 함유 화합물들, 질소 함유 화합물들, 또는 이들의 조합들을 포함한다. 적합한 프로세싱 가스들의 예들은, H2, H2/N2 혼합물, NH3, NH4OH, O3, O2, H2O2, N2, N2H4 증기를 포함하는 NxHy 화합물들, NO, N2O, NO2, 수증기, 또는 이들의 조합들을 포함하는 그룹으로부터 선택된 화합물들 중 하나 또는 그 초과를 포함한다. 프로세싱 가스는, N* 및/또는 H* 및/또는 O* 함유 라디칼들 또는 플라즈마, 예를 들어 NH3, NH2 *, NH*, N*, H*, O*, N*O*, 또는 이들의 조합들을 포함하도록, 예컨대 RPS 유닛에서 플라즈마 여기될 수 있다. 프로세스 가스는 대안적으로, 여기에서 설명되는 전구체들 중 하나 또는 그 초과를 포함할 수 있다. 캐리어 가스들과 같은 부가적인 가스들도 또한 포함될 수 있고, H2, N2, He, Ar 등, 및 이들의 조합들을 포함할 수 있다.The processing gases include hydrogen containing compounds, oxygen containing compounds, nitrogen containing compounds, or combinations thereof. Examples of suitable process gases, H 2, H 2 / N 2 mixture, NH 3, NH 4 OH, O 3, O 2, H 2 O 2, N 2, N 2 H 4 N x H y containing vapor Compounds, one or more of the compounds selected from the group comprising NO, N 2 O, NO 2 , water vapor, or combinations thereof. Processing gas, N * and / or H * and / or O * containing radical or plasma, for example, NH 3, NH 2 *, NH *, N *, H *, O *, N * O *, or May be plasma excited, e.g., in an RPS unit, to include combinations of these. The process gas may alternatively include one or more of the precursors described herein. Additional gases, such as carrier gases, may also be included and may include H 2 , N 2 , He, Ar, etc., and combinations thereof.

전구체들은, 먼저, 제 1 매니폴드(226) 또는 상측 플레이트, 및 제 2 매니폴드(227) 또는 바닥 플레이트에 의해 샤워헤드(225)에 정의된 내부 샤워헤드 볼륨(294) 내로 도입됨으로써 반응 구역 내로 도입될 수 있다. 내부 샤워헤드 볼륨(294)에서의 전구체들은, 제 2 매니폴드에 형성된 구멍들(296)(개구들)을 통해 프로세싱 영역(233) 내로 유동할 수 있다(295). 이러한 유동 경로는 챔버에서의 나머지 프로세스 가스들로부터 격리될 수 있고, 전구체들이, 제 2 매니폴드(227)의 바닥과 기판(217) 사이에 정의된 프로세싱 영역(233) 내로 진입하기 전에, 반응되지 않은 또는 실질적으로 반응되지 않은 상태로 있게 허용할 수 있다. 프로세싱 영역(233)에 있으면, 전구체는 프로세싱 가스와 반응할 수 있다. 전구체는, 여기에서의 샤워헤드 실시예들에서 도시된 바와 같이, 채널들(490, 518, 및/또는 539)과 같은, 샤워헤드에 형성된 측면 채널을 통해, 샤워헤드(225)에 정의된 내부 샤워헤드 볼륨(294) 내로 도입될 수 있다. 프로세스 가스는, 제 1 플라즈마 영역에서 생성된 플라즈마로부터 또는 RPS 유닛으로부터 라디칼들을 포함하면서 플라즈마 상태에 있을 수 있다. 부가적으로, 플라즈마는 제 2 플라즈마 영역에서 생성될 수 있다.The precursors are first introduced into the reaction zone by being introduced into the interior showerhead volume 294 defined in the showerhead 225 by the first manifold 226 or the top plate and the second manifold 227 or bottom plate Can be introduced. Precursors in the interior showerhead volume 294 may flow 295 into the processing region 233 through holes 296 (openings) formed in the second manifold. This flow path can be isolated from the rest of the process gases in the chamber and is not reacted before the precursors enter the processing region 233 defined between the bottom of the second manifold 227 and the substrate 217 Untreated, or substantially unreacted. In the processing region 233, the precursor may react with the processing gas. The precursor may be injected through a side channel formed in the showerhead, such as channels 490, 518, and / or 539, as shown in the showerhead embodiments herein, May be introduced into the showerhead volume 294. The process gas may be in a plasma state including the radicals from the plasma generated in the first plasma region or from the RPS unit. Additionally, a plasma may be generated in the second plasma region.

프로세싱 가스들은, 샤워헤드(225)의 상단과 리드(212)에 의해 정의된 제 1 플라즈마 영역(215) 또는 상측 볼륨 내로 제공될 수 있다. 프로세싱 가스의 분배는 도 2a에서 도시된 바와 같이 배플(206)의 사용에 의해 달성될 수 있다. 프로세싱 가스는, N* 및/또는 H* 및/또는 O* 함유 라디칼들 또는 플라즈마, 예를 들어 NH3, NH2 *, NH*, N*, H*, O*, N*O*, 또는 이들의 조합들을 포함하는, 프로세스 가스 플라즈마 및 라디칼들을 생성하기 위해, 제 1 플라즈마 영역(215)에서 플라즈마 여기될 수 있다. 대안적으로, 프로세싱 가스는, 제 1 플라즈마 프로세싱 영역(215)으로의 도입 전에 원격 플라즈마 시스템을 통과한 후에 이미 플라즈마 상태에 있을 수 있다.The processing gases may be provided within the first plasma region 215 defined by the top of the showerhead 225 and the leads 212 or within the top volume. The distribution of the processing gas may be achieved by use of the baffle 206 as shown in FIG. 2A. Processing gas, N * and / or H * and / or O * containing radical or plasma, for example, NH 3, NH 2 *, NH *, N *, H *, O *, N * O *, or And plasma excitation in the first plasma region 215 to produce process gas plasma and radicals, including combinations thereof. Alternatively, the processing gas may already be in a plasma state after passing through the remote plasma system before introduction into the first plasma processing region 215.

그 후에, 라디칼들 및 플라즈마를 포함하는 프로세싱 가스(290)는 채널들(290)과 같은 구멍들을 통해, 전구체들과의 반응을 위해, 프로세싱 영역(233)으로 전달될 수 있다. 채널들을 통과하는 프로세싱 가스들은 내부 샤워헤드 볼륨(294)으로부터 물리적으로 격리될 수 있고, 프로세싱 가스 및 전구체들 양자 모두가 샤워헤드(225)를 통과할 때에, 내부 샤워헤드 볼륨(294)을 통과하는 전구체들과 반응하지 않을 수 있다. 프로세싱 볼륨에 있으면, 프로세싱 가스 및 전구체들은 유전체 재료들을 증착하기 위해 혼합 및 반응할 수 있다.The processing gas 290 containing radicals and plasma may then be delivered to the processing region 233 for reaction with the precursors through holes, such as channels 290. The processing gases passing through the channels can be physically isolated from the interior showerhead volume 294 and the processing gases and precursors can both pass through the interior showerhead volume 294 It may not react with precursors. Once in the processing volume, the processing gas and precursors may mix and react to deposit the dielectric materials.

프로세스 가스 및 유전체 재료 전구체에 부가하여, 다양한 목적들을 위해 다양한 시간들에서 도입되는 다른 가스들이 존재할 수 있다. 처리 가스는, 수소, 탄소, 및 불소와 같이, 챔버 벽들, 기판, 증착된 막, 및/또는 증착 동안의 막으로부터 원하지 않는 종들을 제거하기 위해 도입될 수 있다. 프로세스 가스 및/또는 처리 가스는, H2, H2/N2 혼합물, NH3, NH4OH, O3, O2, H2O2, N2, N2H4 증기, NO, N2O, NO2, 수증기, 또는 이들의 조합들의 그룹으로부터 선택된 가스들 중 적어도 하나를 포함할 수 있다. 처리 가스는 플라즈마로 여기될 수 잇고, 그 후에, 증착된 막으로부터 잔여의 유기물 함유량을 감소시키거나 또는 제거하기 위해 사용될 수 있다. 다른 개시된 실시예들에서, 처리 가스는 플라즈마가 없이 사용될 수 있다. 처리 가스가 수증기를 포함하는 경우에, 질량 유량계(MFM), 또는 주입 밸브를 사용하여, 또는 상업적으로 이용가능한 수증기 생성기들에 의해, 전달이 달성될 수 있다. 처리 가스는, RPS 유닛을 통해, 또는 RPS 유닛을 우회하여, 제 1 프로세싱 영역 내로 도입될 수 있고, 제 1 플라즈마 영역에서 추가로 여기될 수 있다.In addition to the process gas and dielectric material precursors, there may be other gases introduced at various times for various purposes. The process gas may be introduced to remove unwanted species from the chamber walls, the substrate, the deposited film, and / or the film during deposition, such as hydrogen, carbon, and fluorine. A process gas and / or process gas, H 2, H 2 / N 2 mixture, NH 3, NH 4 OH, O 3, O 2, H 2 O 2, N 2, N 2 H 4 vapor, NO, N 2 O, NO 2 , water vapor, or combinations thereof. The process gas may be excited with a plasma and then used to reduce or remove residual organic content from the deposited film. In other disclosed embodiments, the process gas may be used without a plasma. Transfer can be achieved when the process gas comprises water vapor, using a mass flow meter (MFM), or an injection valve, or by commercially available steam generators. The process gas may be introduced into the first processing region, through the RPS unit, or bypassing the RPS unit, and may be further excited in the first plasma region.

구멍들(291)의 개구의 축(292) 및 구멍들(296)의 개구의 축(297)은 서로에 대해 평행할 수 있거나 또는 실질적으로 평행할 수 있다. 대안적으로, 축(292) 및 축(297)은, 예컨대 약 1° 내지 약 80°, 예를 들어 약 1° 내지 약 30°로 서로로부터 각도 형성될(angled) 수 있다. 대안적으로, 개개의 축(292) 각각은, 예컨대 약 1° 내지 약 80°, 예를 들어 약 1° 내지 약 30°로 서로로부터 각도 형성될 수 있고, 개개의 축(297) 각각은, 예컨대 약 1° 내지 약 80°, 예를 들어 약 1° 내지 약 30°로 서로로부터 각도 형성될 수 있다.The axis 292 of the opening of the holes 291 and the axis 297 of the opening of the holes 296 may be parallel or substantially parallel to each other. Alternatively, the shaft 292 and the shaft 297 may be angled from each other, e.g., from about 1 DEG to about 80 DEG, such as from about 1 DEG to about 30 DEG. Alternatively, each of the individual axes 292 may be angled from each other, e.g., from about 1 DEG to about 80 DEG, such as from about 1 DEG to about 30 DEG, From about 1 DEG to about 80 DEG, for example from about 1 DEG to about 30 DEG.

개개의 개구들은, 도 2b에서 구멍(291)에 대해 도시된 바와 같이, 각도 형성될 수 있으며, 개구는 약 1° 내지 약 80°, 예컨대 약 1° 내지 약 30°의 각도를 갖는다. 구멍들(291)의 개구의 축(292) 및 구멍들(296)의 개구의 축(297)은 기판(217)의 표면에 대해 수직할 수 있거나 또는 실질적으로 수직할 수 있다. 대안적으로, 축(292) 및 축(297)은, 예컨대 약 5°로 기판 표면으로부터 각도 형성될 수 있다.The individual openings may be angled, as shown relative to the holes 291 in Figure 2B, and the openings have an angle of from about 1 [deg.] To about 80 [deg.], Such as from about 1 [deg.] To about 30 [ The axis 292 of the aperture 292 of the holes 291 and the axis 297 of the aperture of the holes 296 may be perpendicular or substantially perpendicular to the surface of the substrate 217. Alternatively, the shaft 292 and the shaft 297 may be angled from the substrate surface, e.g., at about 5 degrees.

도 2c는, 내부 볼륨(294)으로부터 구멍들(296)을 통해 프로세싱 영역(233) 내로의 전구체 유동(295)을 예시하는, 샤워헤드(225) 및 프로세싱 챔버(200)의 부분적인 개략도를 예시한다. 도면은 또한, 서로로부터 각도 형성된 2개의 구멍들(296)의 축(297 및 297')을 나타내는 대안적인 실시예를 예시한다.2C illustrates a partial schematic view of a showerhead 225 and processing chamber 200 that illustrates a precursor flow 295 through the holes 296 from the interior volume 294 into the processing region 233. FIG. do. The figure also illustrates an alternative embodiment showing axes 297 and 297 'of two holes 296 angled from each other.

도 3a는 가스 분배 어셈블리(300)의 상측 투시도를 예시한다. 사용 시에, 가스 분배 시스템(300)은, 그 가스 분배 시스템(300)을 통해 형성된 가스 구멍들의 축이 기판 지지부(도 2a에서의 기판 지지부(265) 참조)의 평면에 대해 수직할 수 있거나 또는 실질적으로 수직할 수 있도록, 실질적으로 수평한 배향을 가질 수 있다. 도 3b는 가스 분배 어셈블리(300)의 바닥 투시도를 예시한다. 도 3c는 가스 분배 어셈블리(300)의 저면도이다. 도 3d는 도 3c의 라인 3D-3D를 따라 취해진 가스 분배 어셈블리(300)의 단면도이다. 도 3e는 도 3c의 라인 3E-3E를 따라 취해진 가스 분배 어셈블리(300)의 바닥 플레이트(325)의 단면도이다. 도 3f 및 도 3g는 바닥 플레이트(325)의 확대도들이다. 도 3h는 가스 분배 어셈블리(300)의 상측 플레이트(320)의 저면도이다. 도 3ha는 도 3h의 라인 3H'-3H'를 따라 취해진 상측 플레이트(320)의 단면도이다. 도 3hb는 상측 플레이트(320)의 바닥 투시도이다. 도 3i 및 도 3ia는 상측 플레이트(320)의 피처들의 확대도들이다. 도 3j는 가스 분배 어셈블리(300)의 환형 바디(340)의 상면도이다. 도 3k는 가열 엘리먼트(327)가 내부에 배치된 환형 바디(340)의 바닥의 투시도를 예시한다. 도 3l은 도 3d에서 도시된 가스 분배 어셈블리(300)의 부분의 확대도이다. 도 3m은 도 3j의 라인 3M-3M에 걸쳐 취해진 환형 바디(340)의 단면도이다.FIG. 3A illustrates an upper perspective view of a gas distribution assembly 300. In use, the gas distribution system 300 may be configured so that the axis of the gas orifices formed through the gas distribution system 300 may be perpendicular to the plane of the substrate support (see substrate support 265 in FIG. 2A) And may have a substantially horizontal orientation so as to be substantially perpendicular. 3B illustrates a bottom perspective view of the gas distribution assembly 300. FIG. 3C is a bottom view of the gas distribution assembly 300. FIG. Figure 3d is a cross-sectional view of the gas distribution assembly 300 taken along line 3D-3D in Figure 3c. 3E is a cross-sectional view of the bottom plate 325 of the gas distribution assembly 300 taken along line 3E-3E of FIG. 3C. Figs. 3F and 3G are enlarged views of the bottom plate 325. Fig. 3H is a bottom view of the top plate 320 of the gas distribution assembly 300. FIG. 3H is a cross-sectional view of the upper plate 320 taken along line 3H'-3H 'in FIG. 3H. 3Hb is a bottom perspective view of the top plate 320. FIG. Figures 3i and 3ia are enlarged views of the features of the top plate 320. 3J is a top view of the annular body 340 of the gas distribution assembly 300. 3K illustrates a perspective view of the bottom of the annular body 340 in which the heating element 327 is disposed. FIG. 31 is an enlarged view of a portion of the gas distribution assembly 300 shown in FIG. 3D. 3M is a cross-sectional view of annular body 340 taken across line 3M-3M of FIG. 3J.

도 3a 내지 도 3m을 참조하면, 가스 분배 어셈블리(300)는 일반적으로, 환형 바디(340), 상측 플레이트(320), 및 바닥 플레이트(325)를 포함한다. 환형 바디(340)는, 특히 도 3l에서 보이는 바와 같이, 내측 환형 벽(301), 내측 환형 벽(301)로부터 방사상 외측으로 연장되는 내측 립(lip)(302), 상측 리세스(303), 시트(304), 및 외측 벽(305)을 갖는 링일 수 있다. 환형 바디(340)는, 환형 바디(340)의 두께를 정의하는, 바닥 표면(310) 및 상단 표면(315)을 갖는다. 도 3a에서 도시된 바와 같이, 도관(350)이 상단 표면(315)에 형성될 수 있고, 또한 상단 표면(315)에 형성될 수 있는 냉각 채널(356)과 유체적으로(fluidly) 커플링될 수 있다. 도 3b에서 도시된 바와 같이, 도관(355)이 바닥 표면(310)에 형성될 수 있꼬, 또한 바닥 표면(310)에 형성될 수 있는 냉각 채널(357)과 유체적으로 커플링될 수 있다. 냉각 채널들(356, 357)은 그 냉각 채널들(356, 357)을 통해 냉각 유체가 유동하게 허용하도록 적응될 수 있다. 히터 리세스(342)가 바닥 표면(310)에 형성될 수 있고, 도 3k에서 도시된 바와 같이 가열 엘리먼트(327)를 홀딩하도록 적응될 수 있다.Referring to Figures 3A-3M, the gas distribution assembly 300 generally includes an annular body 340, an upper plate 320, and a bottom plate 325. The annular body 340 includes an inner annular wall 301, an inner lip 302 extending radially outwardly from the inner annular wall 301, an upper recess 303, A sheet 304, and an outer wall 305. The annular body 340 has a bottom surface 310 and a top surface 315 that define the thickness of the annular body 340. A conduit 350 may be formed in the top surface 315 and may be fluidly coupled with a cooling channel 356 that may be formed in the top surface 315, . A conduit 355 may be formed in the bottom surface 310 and may be fluidly coupled with a cooling channel 357 that may be formed in the bottom surface 310 as shown in Figure 3B. The cooling channels 356 and 357 may be adapted to allow the cooling fluid to flow through the cooling channels 356 and 357 thereof. A heater recess 342 may be formed in the bottom surface 310 and may be adapted to hold the heating element 327 as shown in Figure 3K.

특히 도 3d 및 도 3h 내지 도 3ia에서 보이는 바와 같이, 상측 플레이트(320)는 그 상측 플레이트(320)를 통해 다수의 제 1 구멍들(360)이 형성된, 상측 리세스(303)의 직경과 메이팅(mate)하도록 선택된 직경을 갖는 디스크 형상의 바디일 수 있다. 제 1 구멍들(360)은 상측 플레이트(320)의 바닥 표면을 지나서 연장될 수 있고, 그에 의해, 다수의 상승된 원통형 바디들(307)이 형성될 수 있다. 각각의 원통형 바디(307) 사이에 갭(395)이 있을 수 있다. 도 3h 및 도 3hb에서 보이는 바와 같이, 제 1 구멍들(360)은, 가장 외측의 제 1 구멍들(360)의 중심들을 통해 그려진 가상의 라인이, 예를 들어 6면체 다각형일 수 있는 다각형 도형을 정의하도록, 상측 플레이트(320) 상에 다각형 패턴으로 배열될 수 있다.The upper plate 320 is formed with a plurality of first holes 360 formed through the upper plate 320 and a diameter of the upper recess 303, shaped body having a diameter selected to mate. The first holes 360 may extend past the bottom surface of the top plate 320, thereby forming a plurality of raised cylindrical bodies 307. There can be a gap 395 between each cylindrical body 307. As shown in Figures 3h and 3hb, the first holes 360 may be formed by inserting a virtual line drawn through the centers of the outermost first holes 360 into a polygonal shape, e.g., a hexagonal polygon, May be arranged in a polygonal pattern on the top plate 320 to define a " top "

패턴은 또한, 제 1 구멍들(360)의, 예를 들어 약 21개의 열(row)들과 같이, 약 15개 내지 약 25개의 열들과 같은, 약 5개 내지 약 60개의 열들의 엇갈리게 배치된(staggered) 열들의 어레이를 특징으로 할 수 있다(feature). 각각의 열은, y-축을 따라, 약 5개 내지 약 20개의 제 1 구멍들(360), 예컨대 약 6개 내지 약 18개의 구멍들을 가질 수 있으며, 각각의 열은 약 0.4 내지 약 0.7 인치, 예를 들어 약 0.54 인치 이격된다. 열에서의 각각의 제 1 구멍(360)은, 이전의 구멍으로부터 x-축을 따라, 각각의 개개의 직경으로부터, 약 0.4 내지 약 0.8 인치, 예컨대 약 0.63 인치 변위될(displaced) 수 있다. 제 1 구멍들(360)은, 다른 열에서의 구멍으로부터 x-축을 따라, 각각의 개개의 직경으로부터, 약 0.2 내지 약 0.4 인치, 예컨대 약 0.32 인치만큼 엇갈리게 배치될 수 있다. 제 1 구멍들(360)은 각각의 열에서 서로로부터 동등하게 이격될 수 있다.The pattern may also be a staggered arrangement of about 5 to about 60 rows of first holes 360, such as, for example, about 21 rows, such as about 15 to about 25 columns. and may feature an array of staggered columns. Each row may have from about 5 to about 20 first holes 360 along the y-axis, such as from about 6 to about 18 holes, each row having a length of from about 0.4 to about 0.7 inches, For example, about 0.54 inches apart. Each first hole 360 in the row may be displaced from about 0.4 to about 0.8 inches, such as about 0.63 inches, from each individual diameter along the x-axis from the previous hole. The first holes 360 can be staggered from the respective individual diameters by about 0.2 to about 0.4 inch, for example about 0.32 inch, along the x-axis from the holes in the other rows. The first holes 360 may be equally spaced from each other in each row.

도 3ia에서 도시된 바와 같이, 상측 플레이트(360)의 중심에서, 제 1 구멍(360) 대신에, 돌출부(308)가 존재할 수 있다. 돌출부(308)는 상승된 원통형 바디들(307)과 동일한 높이로 연장될 수 있다. 대안적으로, 상측 플레이트의 중심이 구멍 또는 돌출부를 갖지 않을 수 있다.As shown in FIG. 3 aa, at the center of the upper plate 360, instead of the first hole 360, there may be a protrusion 308. The protrusion 308 may extend to the same height as the raised cylindrical bodies 307. Alternatively, the center of the upper plate may not have a hole or protrusion.

특히 도 3c 및 도 3e 내지 도 3g에서 보이는 바와 같이, 바닥 플레이트(325)는 그 바닥 플레이트(325)를 통해 형성된, 다수의 제 2 구멍들(365) 및 제 3 구멍들(375)을 갖는 디스크-형상의 바디를 가질 수 있다. 바닥 플레이트(325)는, 약 0.1 내지 약 0.2 인치, 예컨대 약 0.15 인치의 균일한 두께, 및 환형 바디(340)의 내측 환형 벽(301)의 직경과 메이팅하는 직경을 가질 수 있다. 제 2 구멍들(365)은 위에서 설명된 바와 같은 제 1 구멍들(360)의 패턴과 정렬되는 패턴으로 배열될 수 있다. 일 실시예에서, 상측 플레이트(320) 및 바닥 플레이트(325)가 포개져서(one on top of the other) 위치되는 경우에, 제 1 구멍들(360) 및 제 2 구멍들(365)의 축들은 정렬된다. 복수의 제 1 구멍들(360) 및 복수의 제 2 구멍들(365)은 서로에 대해 평행한 또는 실질적으로 평행한 이들의 개개의 축들을 가질 수 있으며, 예를 들어, 구멍들(360, 365)은 동심적(concentric)일 수 있다. 대안으로, 복수의 제 1 구멍들(360) 및 복수의 제 2 구멍들(365)은 소로로부터 약 1° 내지 약 30°의 각도로 배치된 개개의 축을 가질 수 있다. 도 3f에서 도시된 바와 같이, 바닥 플레이트(325)의 중심에서, 제 2 구멍(365)이 존재하지 않을 수 있다.3C and 3E-3G, the bottom plate 325 has a plurality of second holes 365 and third holes 375 formed through its bottom plate 325, - You can have a body of shape. The bottom plate 325 may have a uniform thickness of about 0.1 to about 0.2 inches, such as about 0.15 inches, and a diameter that mates with the diameter of the inner annular wall 301 of the annular body 340. The second holes 365 may be arranged in a pattern aligned with the pattern of the first holes 360 as described above. In one embodiment, when the top plate 320 and the bottom plate 325 are positioned one on top of the other, the axes of the first holes 360 and the second holes 365 . The plurality of first holes 360 and the plurality of second holes 365 may have their respective axes parallel or substantially parallel to each other and may have a plurality of holes 360, May be concentric. Alternatively, the plurality of first holes 360 and the plurality of second holes 365 may have respective axes disposed at an angle of from about 1 [deg.] To about 30 [deg.] From the small opening. 3F, at the center of the bottom plate 325, the second hole 365 may not be present.

복수의 제 2 구멍들(365) 및 복수의 제 3 구멍들(375)은 교번하는(alternating) 엇갈리게 배치된 열들을 형성할 수 있다. 제 3 구멍들(375)은 바닥 플레이트(325)의 제 2 구멍들(365) 중 적어도 2개 사이에 배열될 수 있다. 각각의 제 2 구멍(365) 사이에, 제 3 구멍(375)이 존재할 수 있고, 그 제 3 구멍(375)은 2개의 제 2 구멍들(365) 사이에서 균등하기 이격된다. 예를 들어, 다른 기하학적인 형상을 형성하는, 6개의 제 3 구멍들 또는 다수의 제 3 구멍들(375)과 같이, 육각형 패턴으로 바닥 플레이트(325)의 중심 주위에 위치된 다수의 제 3 구멍들(375)이 존재할 수 있다. 바닥 플레이트(325)의 중심에 형성된 제 3 구멍(375)이 존재하지 않을 수 있다. 또한, 제 2 구멍들의 다각형 패턴의 정점들(vertices)을 형성하는 둘레(perimeter)의 제 2 구멍들(365) 사이에 위치된 제 3 구멍들(375)가 존재하지 않을 수 있다. 대안적으로, 둘레의 제 2 구멍들(365) 사이에 위치된 제 3 구멍들(375)이 존재할 수 있고, 또한, 구멍들의 가장 외측의 링을 형성하는 둘레의 제 2 구멍들(365)로부터 외측에 외치된 부가적인 제 3 구멍들(375)이 존재할 수 있다.The plurality of second holes 365 and the plurality of third holes 375 may form alternating staggered rows. The third holes 375 may be arranged between at least two of the second holes 365 of the bottom plate 325. A third hole 375 may be present between each second hole 365 and the third hole 375 is equally spaced between the two second holes 365. A plurality of third holes 375 positioned about the center of the bottom plate 325 in a hexagonal pattern, such as six third holes or a plurality of third holes 375, for example forming a different geometric shape May be present. There may not be a third hole 375 formed in the center of the bottom plate 325. There may also be no third holes 375 located between the second holes 365 of the perimeter forming the vertices of the polygonal pattern of the second holes. Alternatively, there may be third holes 375 located between the peripheral second holes 365, and also from the second holes 365 around the periphery of the outermost ring of holes There may be additional third holes 375 shouted outside.

대안적으로, 제 1 및 제 2 구멍들의 배열은 임의의 다른 기하학적인 패턴을 만들 수 있고, 플레이트 상의 중심에(centrally) 위치된 위치에 기초하여 그리고 서로로부터 동심적으로 외측에 위치된 구멍들의 링들로서 분배될 수 있다. 일 예로서, 그리고 본 기술의 범위를 제한하지 않으면서, 도 3a는 중심으로부터 외측으로 연장되는 동심 육각형 링들을 포함하는 구멍들에 의해 형성된 패턴을 도시한다. 각각의 외측에 위치된 링은 내측에 선행하는 링과 동일한 수의, 그보다 더 많은, 또는 더 적은 구멍들을 가질 수 있다. 일 예에서, 각각의 동심 링은 각각의 링의 기하학적인 형상에 기초하여 부가적인 수의 구멍들을 가질 수 있다. 6면체 다각형의 예에서, 외측으로 이동하는 각각의 링은 바로 전에 내측에(directly inward) 위치된 링보다 6개의 구멍들을 더 가질 수 있으며, 제 1 내부 링은 6개의 구멍들을 갖는다. 상측 및 바닥 플레이트들의 중심에 가장 가까이 위치된 구멍들의 제 1 링의 경우에, 상측 및 바닥 플레이트들은 2개보다 더 많은 링들을 가질 수 있으며, 사용되는 구멍들의 기하학적인 패턴에 따라, 구멍들의 약 1개 내지 약 50개의 링들을 가질 수 있다. 대안적으로, 플레이트들은, 약 2개 내지 약 40개의 링들, 또는 약 30개의 링들, 약 20개의 링들, 약 15개의 링들, 약 12개의 링들, 약 10개의 링들, 약 9개의 링들, 약 8개의 링들, 약 7개의 링들, 약 6개의 링들 등 또는 그 미만까지 가질 수 있다. 일 예에서, 도 3a에서 도시된 바와 같이, 예시적인 상측 플레이트 상에 9개의 육각형 링들이 존재할 수 있다.Alternatively, the arrangement of the first and second apertures may make any other geometric pattern, and the ring of holes positioned centrally on the plate and concentrically outwardly from each other Lt; / RTI > As an example and without limiting the scope of the present technique, FIG. 3A illustrates a pattern formed by holes comprising concentric hexagonal rings extending outwardly from the center. Each outer ring may have the same number, more, or fewer holes than the inner ring. In one example, each concentric ring may have an additional number of holes based on the geometric shape of each ring. In the example of a hexahedral polygon, each ring moving outward may have six more holes than a ring positioned directly inward just before, and the first inner ring has six holes. In the case of the first ring of holes located closest to the center of the top and bottom plates, the top and bottom plates may have more than two rings, and depending on the geometric pattern of the holes used, To about 50 rings. Alternatively, the plates may have from about 2 to about 40 rings, or about 30 rings, about 20 rings, about 15 rings, about 12 rings, about 10 rings, about 9 rings, about 8 rings Rings, about 7 rings, about 6 rings, etc. or the like. In one example, there may be nine hexagonal rings on the exemplary top plate, as shown in Figure 3A.

구멍들의 동심 링들은 또한, 구멍들의 동심 링들 중 하나를 갖지 않을 수 있거나, 또는 다른 링들 사이로부터 제거되는, 외측으로 연장되는 구멍들의 링들 중 하나를 가질 수 있다. 예를 들어, 예시적인 9개의 육각형 링들이 플레이트 상에 있는 도 3a를 참조하면, 플레이트는 대신에 8개의 링들을 가질 수 있지만, 링 4가 제거될 수 있다. 그러한 예에서, 구멍들을 통해 통과되는 유체 유동을 재분배할 수 있는 채널들이, 다른 경우라면 제 4 링이 위치될 것인 곳에 형성되지 않을 수 있다. 게다가 또한, 링들은 기하학적인 패턴으로부터 제거되는 특정 구멍들을 가질 수 있다. 예를 들어, 다시, 도 3a를 참조하면, 가장 외측의 링으로서 나타내어 지는 구멍들의 제 10 육각형 링이 플레이트 상에 형성될 수 있다. 그러나, 링은, 육각형 패턴의 정점들을 형성할 구멍들, 또는 링 내의 다른 구멍들을 포함하지 않을 수 있다.The concentric rings of holes may also have one of the rings of outwardly extending holes, which may or may not have one of the concentric rings of holes. For example, referring to FIG. 3A, where an exemplary nine hexagonal rings are on a plate, the plate may instead have eight rings, but the ring 4 may be removed. In such an instance, the channels that can redistribute the fluid flow through the holes may not be formed, in other cases where the fourth ring would be located. In addition, the rings may also have certain holes removed from the geometric pattern. For example, again referring to FIG. 3A, a tenth hexagonal ring of holes represented as the outermost ring may be formed on the plate. However, the ring may not include holes to form vertices of the hexagonal pattern, or other holes in the ring.

제 1, 제 2, 및 제 3 구멍들(360, 365, 375)은 모두, 이들을 통하는 유체의 통로를 허용하도록 적응될 수 있다. 제 1 및 제 2 구멍들(360, 365)은 원통형 형상을 가질 수 있고, 대안적으로, 원뿔형, 원통형, 또는 다수의 형상들의 조합을 포함하는 다양한 단면 형상을 가질 수 있다. 일 예에서, 제 1 및 제 2 구멍들(360, 365)은 약 0.125 인치 내지 약 0.5 인치, 예컨대 약 0.25 인치의 직경을 가질 수 있다. 제 2 구멍들(365)은 대안적으로, 제 1 구멍들(360)과 동일한 또는 제 1 구멍들(360)보다 더 큰 직경을 가질 수 있다.The first, second, and third holes 360, 365, and 375 may all be adapted to allow passage of fluid therethrough. The first and second holes 360, 365 may have a cylindrical shape and, alternatively, may have various cross-sectional shapes including conical, cylindrical, or a combination of multiple shapes. In one example, the first and second holes 360, 365 may have a diameter of about 0.125 inches to about 0.5 inches, such as about 0.25 inches. The second holes 365 may alternatively have the same diameter as the first holes 360 or larger than the first holes 360.

도 5에서 볼 수 있는 바와 같이, 모든 제 1 및 제 2 구멍들이 동일한 직경으로 이루어진 경우에, 샤워헤드(520)에서의 채널들을 통하는 가스의 유동은 균일하지 않을 수 있다. 프로세스 가스들이 배플(510)을 통해 프로세싱 챔버 내로 유동할 때에, 가스의 유동은, 특정 채널들을 통해 더 큰 볼륨의 가스를 우선적으로(preferentially) 유동시키는 것과 같을 수 있다. 예를 들어, 배플로부터 외측으로 연장되는 위치들에 위치된 제 1 및 제 2 구멍들에 의해 형성된 채널들은, 샤워헤드(520)의 주변부를 따라 또는 배플 아래에 위치된 제 1 및 제 2 구멍들로부터 형성된 채널들보다 가스의 더 큰 유동을 수용할 수 있다. 따라서, 구멍들 중 일부는, 배플(510)에 의해 샤워헤드(520)로 전구체 유동이 전달될 때에 전구체 유동을 재분배하기 위해, 특정 다른 구멍들로부터 직경이 감소될 수 있다. 구멍들은 배플 근처의 이들의 상대적인 위치로 인해 직경이 선택적으로 감소될 수 있고, 따라서, 배플 근처에 위치된 구멍들은 이들 구멍들을 통하는 프로세스 가스의 유동을 감소시키기 위해 직경이 감소될 수 있다. 일 예에서, 제 1 구멍들의 9개의 육각형 링들이 플레이트들 상에 동심적으로 위치된 도 3a에서 도시된 바와 같이, 구멍들의 특정 링들은, 직경이 감소된, 구멍들 중 일부 또는 전부를 가질 수 있다. 예를 들어, 링 4는 다른 링들에서의 제 1 구멍들보다 더 작은 직경을 갖는 제 1 구멍들의 서브세트를 포함할 수 있다. 대안적으로, 링들 2 내지 8, 2 내지 7, 2 내지 6, 2 내지 5, 2 내지 4, 3 내지 7, 3 내지 6, 3 내지 5, 4 내지 7, 4 내지 6, 2 및 3, 3 및 4, 4 및 5, 5 및 6 등, 또는 링들의 어떤 다른 조합이, 이들 링들에 위치된 구멍들 중 일부 또는 전부에 대해 감소된 구멍 직경들을 가질 수 있다.As can be seen in Figure 5, the flow of gas through the channels in the showerhead 520 may not be uniform if all of the first and second holes are of the same diameter. As the process gases flow into the processing chamber through the baffle 510, the flow of gas may be like preferentially flowing a larger volume of gas through certain channels. For example, the channels formed by the first and second holes located at locations that extend outwardly from the baffle may be formed along the periphery of the showerhead 520 or through the first and second holes < RTI ID = 0.0 >Lt; RTI ID = 0.0 > of gas < / RTI > Thus, some of the holes may be reduced in diameter from certain other holes, in order to redistribute the precursor flow when the precursor flow is delivered by the baffle 510 to the showerhead 520. The holes may be selectively reduced in diameter due to their relative position near the baffle and thus the holes located near the baffle may be reduced in diameter to reduce the flow of process gas through these holes. In one example, as shown in FIG. 3A where nine hexagonal rings of first holes are concentrically located on the plates, certain rings of holes may have some or all of the holes reduced in diameter have. For example, the ring 4 may comprise a subset of the first holes having a smaller diameter than the first holes in the other rings. Alternatively, rings 2 to 8, 2 to 7, 2 to 6, 2 to 5, 2 to 4, 3 to 7, 3 to 6, 3 to 5, 4 to 7, 4 to 6, 2 and 3, And 4, 4 and 5, 5, and 6, or any other combination of rings may have reduced hole diameters for some or all of the holes located in these rings.

설명된 바와 같은 구멍 위치들의 그러한 조합은 수개의 면들에서 종래의 샤워헤드 디자인들과 상이할 수 있다. 일부 종래의 샤워헤드 디자인들은, 프로세스 가스들의 개선된 유동 특성들을 제공하는 것이 가능하지 않을 수 있거나, 또는 증착 영역 내로의 진입 전에 2개의 유체들 사이의 유체 격리를 유지하는 능력을 갖지 않을 수 있는, 다른 구멍들 주위의 환형 구멍들을 갖는 특정 샤워헤드들과 같이, 2개의 타입들의 구멍들만을 포함할 수 있다. 3개의 타입들의 구멍들을 갖는 본 기술의 특정 실시예들은, 예를 들어, 기판 증착 챔버의 프로세싱 영역 내로 2개의 프로세싱 유체들이 전달되기 전의 2개의 프로세싱 유체들 사이의 유체 격리, 뿐만 아니라, 제 1 구멍들의 2개의 세트들 사이의 개선된 유동 특성들을 생성할 수 있다.Such a combination of hole positions as described may differ from conventional showerhead designs on several sides. Some conventional showerhead designs may not be able to provide improved flow characteristics of the process gases or may not have the ability to maintain fluid isolation between two fluids before entering the deposition zone, But may include only two types of holes, such as certain shower heads having annular holes around other holes. Particular embodiments of the present technique having three types of holes include, for example, fluid isolation between two processing fluids before the two processing fluids are transferred into the processing region of the substrate deposition chamber, Lt; RTI ID = 0.0 > of the < / RTI >

또 다른 예에서, 하나 또는 그 초과의 링들은, 구멍들을 갖지 않을 수 있거나, 링 내에 포함된 구멍들의 감소된 크기 또는 감소된 수의 구멍들, 또는 하나 또는 그 초과의 링들에서의 이들의 일부 조합을 가질 수 있다. 일 비-제한 예로서, 구멍들의 10개의 링들을 갖는 육각형 패턴에서, 링 4는 구멍들을 갖지 않을 수 있고, 링 10은 감소된 직경을 갖는 구멍들을 가질 수 있고, 또한, 제 10 링들에서의 구멍들에 의해 형성되는 육각형의 정점들이 있을 곳에서 구멍들을 갖지 않을 수 있다. 기술에 의해 포함되는 다수의 부가적인 변화들이, 상측 및 바닥 플레이트를 통해 전구체 유동을 재분배하기 위해 고려될 수 있다.In another example, one or more rings may not have holes, or may have a reduced size or a reduced number of holes in the rings, or some combination thereof in one or more rings Lt; / RTI > As a non-limiting example, in a hexagonal pattern with ten rings of holes, the ring 4 may not have holes, the ring 10 may have holes with a reduced diameter, and the holes in the tenth rings There may be no holes at the vertices of the hexagon formed by the holes. A number of additional variations included by the technique can be considered for redistributing the precursor flow through the top and bottom plates.

감소된 직경 구멍들의 구멍 크기들은 구멍 크기의 퍼센티지로서 다른 구멍들에 관련될 수 있다. 감소된 직경을 갖는 구멍들은 다른 구멍들의 직경의 100 % 내지 0 %의 범위를 가질 수 있고, 여기서, 100 %는 동일한 크기의 구멍을 지칭하고, 0 %는 구멍의 부재를 지칭한다. 예를 들어, 그리고 링 4가 구멍들을 갖지 않는 위에서 참조된 10 링 패턴을 활용하면, 링들 1 내지 3 그리고 링들 5 내지 9는, 일 실시예에서 약 0.25 인치의 직경일 수 있는 특정 크기의 구멍 직경들을 가질 수 있다. 링 4는, 다른 구멍들의 직경의 약 0 % 내지 약 50%의 직경의 범위를 갖는 구멍들을 가질 수 있다. 따라서, 예시적인 0.25 인치 직경 구멍들에 대해, 링 4의 구멍들은 약 0 인치 또는 부재에서 약 0.125 인치의 직경까지의 범위를 가질 것이다. 대안적으로, 링 4에서의 구멍들은 직경의 0 % 내지 직경의 약 40 % 또는 그 사이의 범위를 갖는 직경을 가질 수 있다. 링 10은, 예를 들어, 열 9, 8, 7 등과 동일한 수의 구멍들, 또는 그 사이의 어떤 수의 구멍들을 가질 수 있고, 따라서, 다른 경우에 어센딩(ascending) 패턴의 제 10 육각형 링에 위치된 것보다 더 적은 구멍들을 가질 수 있다. 부가적으로, 열 10에서의 구멍들은, 다른 링들에서의 다른 구멍들의 직경의 약 40 % 내지 약 100%, 또는 약 40 % 및 약 100 %의 직경을 가질 수 있다.The hole sizes of the reduced diameter holes may be related to other holes as a percentage of the hole size. The holes with reduced diameters may have a range of 100% to 0% of the diameter of the other holes, where 100% refers to holes of the same size and 0% refers to the absence of holes. For example, and utilizing ring pattern 10 referred to above where ring 4 does not have holes, rings 1 through 3 and rings 5 through 9 may have a diameter of a particular size, which in one embodiment may be about 0.25 inches in diameter . The ring 4 may have holes having a diameter ranging from about 0% to about 50% of the diameter of the other holes. Thus, for exemplary 0.25 inch diameter holes, the holes in ring 4 will have a range of about 0 inch or diameter to about 0.125 inch in diameter. Alternatively, the holes in the ring 4 may have a diameter ranging from 0% of the diameter to about 40% of the diameter or between. The ring 10 may have the same number of holes as, for example, rows 9, 8, 7, or the like, or any number of holes therebetween, and thus, in other cases, Lt; RTI ID = 0.0 > a < / RTI > Additionally, the holes in column 10 may have a diameter of about 40% to about 100%, or about 40% and about 100% of the diameter of the other holes in the other rings.

일 예시적인 구조들은 9개의 링들을 포함할 수 있고, 예를 들어, 링들 2 내지 5는 다른 구멍들의 직경의 약 50 % 내지 약 100 %의 구멍 직경들을 가질 수 있다. 대안적으로, 예를 들어, 링 2가 다른 비-감소된 구멍들의 구멍 직경들의 약 90 % 내지 약 100 %의 직경을 갖는 구멍들을 포함할 수 있는 것과 같이, 링들 2 내지 5에서의 구멍들은 그 링들 사이에서 상이한 구멍 직경들을 가질 수 있다. 또한, 링 3이 비-감소된 구멍들의 직경의 약 85 % 내지 약 95 %의 직경을 갖는 구멍들을 가질 수 있는 것 등등이다. 기술에 의해 포함되는 다수의 다른 변화들이, 이들 예시적인 샤워헤드 디자인들로부터 당업자에게 명백하게 될 것이다.One exemplary structures may include nine rings, for example, rings 2 through 5 may have hole diameters of about 50% to about 100% of the diameter of the other holes. Alternatively, for example, the holes in rings 2-5 may have holes in the ring 2, such that ring 2 may include holes having a diameter of about 90% to about 100% of the hole diameters of other non- May have different pore diameters between the rings. Also, ring 3 can have holes having a diameter of about 85% to about 95% of the diameter of the non-reduced holes, and the like. Numerous other variations involving the technology will be apparent to those skilled in the art from these exemplary showerhead designs.

본 발명자들은 또한, 구멍 직경들에서의 변화들이 증착에 대해 상관된(correlated) 효과들을 가질 수 있다는 것을 예기치 않게 인식하게 되었다. 예를 들어, 그리고 임의의 특정한 이론에 의해 구속되기를 바라지 않으면서, 배플 바로 외측에 있는 자연적인(natural) 유체 유동 경로에 위치된 구멍들의 직경을 감소시킴으로써, 전구체 가스의 개선된 유동 특성이 달성될 수 있다. 개선된 유동 특성은 증착 공간에서의 전구체 가스의 체류 시간을 개선할 수 있고, 이는, 전구체 가스들 사이의 상호작용들의 전체적인 증가를 허용할 수 있다. 상호작용들에서의 증가는 증착된 재료들의 양을 비례적으로 증가시킬 수 있다. 일부 경우들에서, 시간의 동등한 양에 대한 증착된 재료의 양은 약 20 %보다 더 많이 증가할 수 있다. 그러한 개선된 증착들은, 막 증착, 에칭 등에 대해 필요한 시간을 감소시킬 수 있고, 그에 따라, 기판 제조를 위한 전체적인 프로세스 흐름이 감소될 수 있다.The inventors have also unexpectedly realized that changes in pore diameters can have correlated effects on deposition. For example, and without wishing to be bound by any particular theory, by reducing the diameter of the holes located in the natural fluid flow path just outside the baffle, improved flow characteristics of the precursor gas are achieved . The improved flow characteristics can improve the residence time of the precursor gas in the deposition space, which can allow a global increase in interactions between the precursor gases. The increase in interactions can proportionally increase the amount of deposited material. In some cases, the amount of deposited material relative to an equal amount of time may increase by more than about 20%. Such improved depositions can reduce the time required for film deposition, etching, and the like, thereby reducing the overall process flow for substrate fabrication.

도 3g에서 보이는 바와 같이, 제 3 구멍들(375)은 모래시계(hourglass) 형상을 가질 수 있다. 제 3 구멍들은, 약 0.2 내지 약 0.3 인치, 예컨대 약 0.25 인치의 제 1 직경을 갖는 제 1 원통형 섹션(376)(노즐)의 형상을 정의할 수 있거나 또는 프로파일을 가질 수 있다. 제 1 원통형 섹션(376)은 일 단부에 유입구를 가질 수 있다. 제 1 원통형 섹션(376)은 약 0.1 내지 약 0.12 인치, 예컨대 약 0.11 인치의 높이를 가질 수 있다. 제 1 직경 미만의 제 2 직경을 갖는 제 2 원통형 섹션(378)(스로트(throat))은 전이(transitional) 섹션(377)에 의해 제 1 원통형 섹션(376)과 커플링될 수 있다. 제 2 직경은 약 0.01 내지 약 0.03 인치, 예컨대 약 0.016 인치일 수 있거나, 또는 대략, 약 30:1 내지 약 6:1, 예컨대 약 16:1의 제 1 직경 대 제 2 직경의 비율일 수 있다. 제 2 원통형 섹션(378)은 약 0.01 내지 약 0.02 인치, 예컨대 약 0.017 인치의 높이를 가질 수 있다. 전이 섹션(377)은, 제 1 섹션(376) 및 제 1 직경으로부터 제 2 섹션(378) 및 제 2 직경으로 예컨대 약 120 °의 각도로 테이퍼링(taper)할 수 있다. 전이 섹션(377)은 약 0.1 내지 약 0.12 인치, 예컨대 약 0.11 인치의 높이를 가질 수 있다. 제 3 섹션(374)(디퓨저(diffuser))은 제 2 원통형 섹션(378)에 커플링될 수 있다. 제 3 섹션(374)은 약 0.2 인치 내지 약 0.3 인치의 높이로 제 2 원통형 섹션(378)으로부터 배출구로 확장되는 원뿔형 형상을 가질 수 있고, 제 1 직경 미만이고 제 2 직경보다 더 큰 외측 직경을 가질 수 있다. 제 3 직경은 약 0.05 인치 내지 약 0.08 인치, 예컨대 약 0.06 인치일 수 있다. 대안적으로, 복수의 제 3 구멍들 각각은 원통형 형상을 가질 수 있고, 복수의 제 1 구멍들(360)보다 더 크거나 또는 복수의 제 1 구멍들(360)과 동일한 직경을 가질 수 있다.3g, the third holes 375 may have an hourglass shape. The third apertures may define or have a profile of a first cylindrical section 376 (nozzle) having a first diameter of about 0.2 to about 0.3 inches, such as about 0.25 inches. The first cylindrical section 376 may have an inlet at one end. The first cylindrical section 376 may have a height of about 0.1 to about 0.12 inches, for example about 0.11 inches. A second cylindrical section 378 (throat) having a second diameter less than the first diameter can be coupled with the first cylindrical section 376 by a transitional section 377. The second diameter may be between about 0.01 and about 0.03 inches, such as about 0.016 inches, or may be a ratio of first diameter to second diameter of about 30: 1 to about 6: 1, such as about 16: 1 . The second cylindrical section 378 may have a height of about 0.01 to about 0.02 inches, such as about 0.017 inches. The transition section 377 may taper from the first section 376 and the first diameter to the second section 378 and a second diameter, e.g., at an angle of about 120 degrees. The transition section 377 may have a height of about 0.1 to about 0.12 inches, for example about 0.11 inches. A third section 374 (diffuser) may be coupled to the second cylindrical section 378. The third section 374 may have a conical shape extending from the second cylindrical section 378 to the outlet at a height of about 0.2 inches to about 0.3 inches and may have an outer diameter less than the first diameter and greater than the second diameter Lt; / RTI > The third diameter may be from about 0.05 inches to about 0.08 inches, such as about 0.06 inches. Alternatively, each of the plurality of third holes may have a cylindrical shape and may be larger than the plurality of first holes 360 or may have the same diameter as the plurality of first holes 360.

도 3j 및 도 3m을 참조하면, 환형 바디(340)는, 상측 리세스(303) 내로 그리고 냉각 채널들(356, 357)의 방사상 내측에 형성된 다수의 유체 전달 채널들(380)을 가질 수 있다. 유체 전달 채널들(380)은 도관(372)에 유체적으로 커플링될 수 있다. 유체 전달 채널들(380)은 또한, 유체 전달 채널들(380)의 방사상 내측에서 상측 리세스(303) 내로 형성될 수 있는 복수의 유체 통로들(381)과 유체적으로 커플링될 수 있다.3J and 3M the annular body 340 may have a plurality of fluid transfer channels 380 formed into the upper recess 303 and radially inward of the cooling channels 356 and 357 . Fluid delivery channels 380 may be fluidly coupled to conduit 372. Fluid delivery channels 380 may also be fluidly coupled with a plurality of fluid passages 381 that may be formed radially inward of fluid delivery channels 380 into upper recess 303.

이전에 언급된 바와 같이, 가스 분배 어셈블리(300)는 일반적으로, 환형 바디(340), 상측 플레이트(320), 및 바닥 플레이트(325)로 구성된다. 도 3l에서 도시된 바와 같이, 상측 플레이트(320)는, 상승된 원통형 바디들(307)이 환형 바디(340)의 바닥 표면(310)을 향하면서, 상측 리세스(303) 내에 위치될 수 있다. 그 후에, 도 3l에서 도시된 바와 같이, 바닥 플레이트(325)는, 제 1 및 제 2 구멍들(360, 365)의 축들이 정렬될 수 있도록 회적가능하게 배향되면서, 시트(304) 상에 위치될 수 있다. 제 3 구멍들(375)로부터 제 1 및 제 2 구멍들(360, 365)을 유체적으로 격리시키기 위해, 상측 플레이트(320)가 바닥 플레이트(325)에 밀봉 커플링될 수 있다. 예를 들어, 바닥 플레이트(325)의 표면과 상승된 원통형 바디들(307)의 표면 사이에 밀봉이 생성되도록, 상측 플레이트(320)가 바닥 플레이트(325)에 브레이징될(brazed) 수 있다. 그 후에, 상측 플레이트(320) 및 바닥 플레이트(325)는 환형 바디(340)에 E-빔 용접될 수 있다. 상측 리세스(303)의 내측 에지(312)와 원형 바디의 외측 에지(311) 사이에 밀봉이 생성되도록, 상측 플레이트(320)가 E-빔 용접될 수 있다. 내측 환형 벽(301)과 원형 바디의 외측 에지(313) 사이에 밀봉이 생성되도록, 바닥 플레이트(325)가 E-빔 용접될 수 있다. 유체는 유동 경로(F1)를 따라 제 1 및 제 2 구멍들(360, 365)을 통해 유동할 수 있다. 유체는 또한, 유체 경로(F2)를 따라, 개별적으로, 도관(372)을 통해, 유체 전달 채널들(380) 내로, 유체 통로들(381)을 통해, 갭들(395)을 통해, 그리고 제 3 구멍들(375)을 통해 유동할 수 있다. 2개의 개별적인 유동 경로들(F1, F2)을 따르는 유체 유동을 갖는 것은, 유체들의 반응이 가스 분배 어셈블리(300)를 빠져나간 후에 발생하는 것을 보장할 수 있고, 이는, 가스 분배 어셈블리(300) 내의 재료들의 축적을 방지하는 것을 보조할 수 있다. 일 실시예에서, 가스 분배 어셈블리(300)의 표면들은 전해-연마될(electro-polished) 수 있다.As previously mentioned, the gas distribution assembly 300 generally comprises an annular body 340, an upper plate 320, and a bottom plate 325. The upper plate 320 may be positioned within the upper recess 303 while the raised cylindrical bodies 307 face the bottom surface 310 of the annular body 340 . Thereafter, as shown in FIG. 31, the bottom plate 325 is positioned so as to be rotatable so that the axes of the first and second holes 360, 365 can be aligned, . The upper plate 320 may be sealingly coupled to the bottom plate 325 to fluidly isolate the first and second holes 360 and 365 from the third holes 375. [ The upper plate 320 may be brazed to the bottom plate 325 such that a seal is created between the surface of the bottom plate 325 and the surface of the elevated cylindrical bodies 307. For example, The upper plate 320 and the bottom plate 325 may then be E-beam welded to the annular body 340. The upper plate 320 may be E-beam welded such that a seal is created between the inner edge 312 of the upper recess 303 and the outer edge 311 of the circular body. The bottom plate 325 may be E-beam welded such that a seal is created between the inner annular wall 301 and the outer edge 313 of the circular body. The fluid may flow through the first and second holes 360, 365 along the flow path F 1 . The fluid may also flow along the fluid path F 2 , individually, via conduit 372, into fluid transfer channels 380, through fluid passages 381, through gaps 395, 3 < / RTI > Having a fluid flow along two separate flow paths F 1 and F 2 can ensure that the reaction of the fluids occurs after exiting the gas distribution assembly 300, Lt; RTI ID = 0.0 > of the < / RTI > In one embodiment, the surfaces of the gas distribution assembly 300 may be electro-polished.

도 4a 내지 도 4h를 참조하면, 제 1 또는 상측 매니폴드(410) 및 제 2 또는 바닥 매니폴드(415)를 포함하는 가스 분배 어셈블리(400) 또는 샤워헤드의 일 실시예가 제공되며, 제 2 매니폴드(415)의 상단은 제 1 매니폴드(410)의 바닥에 커플링되도록 구성될 수 있다. 사용 시에, 기판에 대한 샤워헤드(400)의 배향은, 샤워헤드에 형성된 임의의구멍들의 축이 기판 평면에 대해 수직할 수 있거나 또는 실질적으로 수직할 수 있는 방식으로 이루어질 수 있다.4A-4H, an embodiment of a gas distribution assembly 400 or showerhead is provided that includes a first or upper manifold 410 and a second or bottom manifold 415, The upper end of the fold 415 may be configured to be coupled to the bottom of the first manifold 410. In use, the orientation of the showerhead 400 relative to the substrate may be such that the axis of any of the holes formed in the showerhead may be perpendicular or substantially perpendicular to the plane of the substrate.

도 4a는 제 1 매니폴드(410)를 포함하는 샤워헤드의 상단의 투시도를 예시하며, 도 4b는 제 2 매니폴드(415)를 포함하는 샤워헤드의 바닥의 투시도를 예시한다. 도 4c는 제 2 매니폴드의 저면도를 예시한다. 도 4d는 도 4c의 라인 4D를 따르는 샤워헤드의 측면도를 예시한다. 도 4da는 제 1 구멍의 일 실시예의 개략적인 측면도이다. 도 4e는 제 2 매니폴드의 원형 플레이트의 개략적인 측면도이다. 도 4f는 도 4e의 제 3 구멍의 일 실시예의 개략적인 측면도이다. 도 4g는 도 4e의 제 2 및 제 3 구멍들의 일 실시예의 개략적인 측면도이다. 도 4h는 제 1 매니폴드의 상단도이며, 구멍들을 갖는 원평 플레이트를 도시하지 않는다. 도 4i는 여기에서 설명되는 구멍 패턴을 갖는 원형 플레이트를 갖는 바닥 매니폴드의 상단도이고, 원형 플레이트를 도시하지 않는다.4A illustrates a top perspective view of a showerhead including a first manifold 410 and FIG. 4B illustrates a bottom perspective view of a showerhead including a second manifold 415. FIG. 4C illustrates a bottom view of the second manifold. Figure 4d illustrates a side view of the showerhead along line 4D of Figure 4c. Figure 4d is a schematic side view of one embodiment of the first hole. Figure 4e is a schematic side view of the circular plate of the second manifold. Figure 4f is a schematic side view of one embodiment of the third hole of Figure 4e. Figure 4g is a schematic side view of one embodiment of the second and third apertures of Figure 4e. Figure 4h is a top view of the first manifold and does not show a flat plate with holes. 4I is a top view of a bottom manifold having a circular plate with a hole pattern as described herein, and does not show a circular plate.

제 1 매니폴드(410)는 외측 림(rim)(440)에 배치된 내측 원형 플레이트(420)를 포함할 수 있다. 측면(lateral) 도관(450)이 외측 림(440)에 형성될 수 있다.The first manifold 410 may include an inner circular plate 420 disposed in the outer rim 440. A lateral conduit 450 may be formed in the outer rim 440.

도 4a 및 도 4b를 참조하면, 내측 원형 플레이트(420)는 패턴 부분(470)에 형성된 복수의 제 1 구멍들(460)을 갖고, 구멍들은 그 구멍들을 통하는 유체의 통로를 위해 구성될 수 있다. 패턴 부분(470)은 15개 내지 25개의 열들, 예를 들어 19개의 열들의 엇갈리게 배치된 열들의 어레이를 포함할 수 있다. 각각의 열은, y-축을 따라, 2개 내지 20개의 구멍들, 예컨대 4개 내지 17개의 구멍들을 가질 수 있으며, 각각의 열은 약 0.4 내지 약 0.7 인치, 예를 들어 약 0.54 인치 이격된다. 열에서의 각각의 구멍은, 이전의 구멍으로부터 x-축을 따라, 각각의 개개의 직경으로부터, 약 0.4 내지 약 0.8 인치, 예컨대 약 0.63 인치 변위될 수 있다. 구멍들은, 다른 열에서의 구멍으로부터 x-축을 따라, 각각의 개개의 직경으로부터, 약 0.2 내지 약 0.4 인치, 예컨대 약 0.31 인치만큼 엇갈리게 배치될 수 있다. 구멍들은 각각의 열에서 서로로부터 동등하게 이격될 수 있다.4A and 4B, the inner circular plate 420 has a plurality of first holes 460 formed in the pattern portion 470, and the holes can be configured for the passage of the fluid through the holes . Pattern portion 470 may comprise an array of staggered columns of 15 to 25 columns, for example 19 columns. Each row may have 2 to 20 holes, for example 4 to 17 holes along the y-axis, with each row spaced from about 0.4 to about 0.7 inches, for example about 0.54 inches. Each hole in the column may be displaced from each individual diameter, along the x-axis, from the previous hole by about 0.4 to about 0.8 inches, such as about 0.63 inches. The holes may be staggered from the respective individual diameter by about 0.2 to about 0.4 inch, for example about 0.31 inch, along the x-axis from the holes in the other rows. The holes may be equally spaced from each other in each row.

각각의 제 1 구멍(460)은 제 1 원통형 부분으로 테이퍼링하는 원뿔형 유입구 부분을 가질 수 있다. 일 예에서, 구멍들(460)은, 약 0.125 내지 약 0.4 인치, 예를 들어 약 0.25 인치의 제 1 원통형 부분 직경으로 약 90°로 테이퍼링하는, 약 0.2 인치 내지 약 0.5 인치, 예컨대 약 0.35 인치의 유입구 직경을 가질 수 있다. 구멍들(460)은, 그 구멍들(460)을 통하는 유체들의 통로를 제공하기 위해 원형 플레이트를 통해 연장될 수 있다. 제 1 구멍의 조합된 높이는 약 0.05 내지 약 0.15 인치일 수 있으며, 제 1 원통형 부분으로 테이퍼링하는 원뿔형 유입구 부분은 동등한 높이들을 가질 수 있다. 원형 플레이트의 패터닝된 부분은 원형 플레이트의 크기에 기초하여 변화할 수 있고, 약 14 인치의 직경을 갖는 원형 플레이트의 약 0.5 내지 약 6 인치의 직경으로 이루어질 수 있다.Each first hole 460 may have a conical inlet portion tapered to a first cylindrical portion. In one example, the holes 460 are about 0.2 inches to about 0.5 inches, such as about 0.35 inches, tapered to about 90 degrees with a first cylindrical portion diameter of about 0.125 to about 0.4 inches, Lt; / RTI > Holes 460 may extend through the circular plate to provide a passage of fluids through the holes 460. The combined height of the first holes may be about 0.05 to about 0.15 inches, and the conical inlet portion tapering to the first cylindrical portion may have equivalent heights. The patterned portion of the circular plate may vary based on the size of the circular plate and may be of a diameter of about 0.5 to about 6 inches of the circular plate having a diameter of about 14 inches.

도 4b, 도 4e, 도 4f, 도 4g, 도 4h, 및 도 4i를 참조하면, 내측 원형 플레이트(425)는, 패턴 부분(485)에 형성된 복수의 제 2 구멍들(465)을 가질 수 있고, 제 2 구멍들은 그 제 2 구멍들을 통하는 유체의 통로를 위해 구성될 수 있다. 내측 원형 플레이트는 또한, 패턴 부분(485)에 형성된 복수의 제 3 구멍들(475)을 가질 수 있고, 제 3 구멍들은, 샤워헤드가 위치된 프로세싱 챔버 내로의 유체 통로에 의해 샤워헤드 내로 도입되는 가스를 통과시키도록 구성될 수 있다. 원형 플레이트는 약 0.1 내지 약 0.2 인치, 예컨대 약 0.15 인치의 두께를 가질 수 있다.Referring to Figures 4B, 4E, 4F, 4G, 4H and 4I, the inner circular plate 425 may have a plurality of second holes 465 formed in the pattern portion 485 , And the second apertures may be configured for a passage of fluid through the second apertures. The inner circular plate may also have a plurality of third holes 475 formed in the pattern portion 485 and the third holes are introduced into the showerhead by the fluid passageways into the processing chamber in which the showerhead is located And may be configured to pass gas therethrough. The circular plate may have a thickness of about 0.1 to about 0.2 inches, such as about 0.15 inches.

도 4h를 참조하면, 제 1 매니폴드(415)는 림(440)에 형성된 복수의 유체 전달 채널들(480)에 의해 에워싸여질 수 있고, 그 복수의 유체 전달 채널들(480)은, 외부 소스로부터 샤워헤드 내로의 유체의 통로를 허용하도록 구성된 제 2 유체 소스 진입로(490)와 유체 소통할 수 있고, 제 3 구멍들(475)과 유체 소통할 수 있다. 제 2 매니폴드(415)는 외측 림(445) 내에 배치된 내측 원형 플레이트(425)를 포함할 수 있다.4H, the first manifold 415 may be surrounded by a plurality of fluid transfer channels 480 formed in the rim 440, and the plurality of fluid transfer channels 480 may be surrounded by an outer May be in fluid communication with the second fluid source access passages (490) configured to permit passage of fluid from the source into the showerhead, and may be in fluid communication with the third holes (475). The second manifold 415 may include an inner circular plate 425 disposed within the outer rim 445.

제 2 매니폴드의 복수의 제 2 구멍들(465)은 복수의 제 1 구멍들과 정렬될 수 있다. 복수의 제 1 구멍들(460) 및 복수의 제 2 구멍들(465)은 서로에 대해 평행한 또는 실질적으로 평행한 개개의 축을 가질 수 있다. 대안적으로, 복수의 제 1 구멍들(460) 및 복수의 제 2 구멍들(465)은 서로로부터 약 1° 내지 약 30°의 각도로 배치된 개개의 축을 가질 수 있다.The plurality of second holes 465 of the second manifold may be aligned with the plurality of first holes. The plurality of first holes 460 and the plurality of second holes 465 may have respective axes parallel to or substantially parallel to each other. Alternatively, the plurality of first holes 460 and the plurality of second holes 465 may have respective axes disposed at an angle of about 1 to about 30 degrees with respect to each other.

패턴 부분(485)은 15개 내지 25개의 열들, 예를 들어 19개의 열들의 엇갈리게 배치된 열들의 어레이를 포함할 수 있다. 각각의 열은, y-축을 따라, 약 2개 내지 약 20개의 구멍들, 예컨대 약 4개 내지 약 17개의 구멍들을 가질 수 있으며, 각각의 열은 약 0.4 내지 약 0.7 인치, 예를 들어 약 0.54 인치 이격된다. 열에서의 각각의 구멍은, 이전의 구멍으로부터 x-축을 따라, 각각의 개개의 직경으로부터, 약 0.4 내지 약 0.8 인치, 예컨대 약 0.63 인치 변위될 수 있다. 구멍들은, 다른 열에서의 구멍으로부터 x-축을 따라, 각각의 개개의 직경으로부터, 약 0.2 내지 약 0.4 인치, 예컨대 약 0.31 인치만큼 엇갈리게 배치될 수 있다. 구멍들은 각각의 열에서 서로로부터 동등하게 이격될 수 있다.Pattern portion 485 may comprise an array of staggered columns of 15 to 25 columns, for example 19 columns. Each row may have from about 2 to about 20 holes, such as from about 4 to about 17 holes, along each y-axis, each row having a width of about 0.4 to about 0.7 inches, for example, about 0.54 Inch. Each hole in the column may be displaced from each individual diameter, along the x-axis, from the previous hole by about 0.4 to about 0.8 inches, such as about 0.63 inches. The holes may be staggered from the respective individual diameter by about 0.2 to about 0.4 inch, for example about 0.31 inch, along the x-axis from the holes in the other rows. The holes may be equally spaced from each other in each row.

각각의 제 2 구멍(465)은 배출구로 확장되는 원뿔형 배출구 부분에 커플링된 제 2 원통형 부분을 가질 수 있다. 일 예에서, 구멍들(465)은, 약 0.125 내지 약 0.4 인치, 예를 들어 약 0.25 인치의 제 2 원통형 부분 직경, 및 제 2 원통형 부분으로부터 약 40°로 테이퍼링하는, 약 0.2 인치 내지 약 0.5 인치, 예컨대 약 0.40 인치의 배출구 직경을 가질 수 있다. 구멍들(465)은 구멍들(460)과 동일한 또는 구멍들(460)보다 더 큰 직경을 가질 수 있다. 구멍들(465)은, 그 구멍들(465)을 통하는 유체들의 통로를 제공하기 위해 원형 플레이트를 통해 연장될 수 있다. 제 1 구멍의 조합된 높이는 약 0.05 내지 약 0.5 인치, 예를 들어 약 0.35 인치일 수 있다. 원형 플레이트의 패터닝된 부분은 원형 플레이트의 크기에 기초하여 변화할 수 있고, 약 14 인치의 직경을 갖는 원형 플레이트의 약 0.5 내지 약 6 인치의 직경으로 이루어질 수 있다.Each second hole 465 may have a second cylindrical portion coupled to a conical outlet portion that extends into the outlet. In one example, the holes 465 have a second cylindrical portion diameter of about 0.125 to about 0.4 inches, for example about 0.25 inches, and a second cylindrical portion diameter of about 0.2 inches to about 0.5 inches tapering from the second cylindrical portion to about 40 degrees. Inch, for example, about 0.40 inches. Holes 465 may have the same diameter as holes 460 or larger diameters than holes 460. Holes 465 may extend through the circular plate to provide a passage of fluids through the holes 465. The combined height of the first holes may be about 0.05 to about 0.5 inches, for example about 0.35 inches. The patterned portion of the circular plate may vary based on the size of the circular plate and may be of a diameter of about 0.5 to about 6 inches of the circular plate having a diameter of about 14 inches.

패턴 부분(485)은 약 30개 내지 약 45개의 열들, 예를 들어 약 37개의 열들의 엇갈리게 배치된 열들의 어레이에서 복수의 제 3 구멍들을 포함할 수 있다. 각각의 열은, y-축을 따라, 약 2개 내지 약 30개의 제 3 구멍들, 예컨대 약 3개 내지 약 17개의 구멍들을 가질 수 있으며, 각각의 열은 약 0.2 내지 약 0.35 인치, 예를 들어 약 0.31 인치 이격된다. 모든 다른 열은 제 2 구멍들과 동일한 x-축 열을 따라 배치될 수 있고, 제 3 구멍들은 x-축을 따라 제 2 구멍들과 교번하는 순서로 있을 수 있다. 열에서의 각각의 제 3 구멍은, 이전의 제 3 구멍으로부터 x-축을 따라, 제 3 구멍들만을 갖는 열에 대해 각각의 개개의 직경으로부터, 약 0.4 내지 약 0.8 인치, 예컨대 약 0.31 인치 변위될 수 있다. 열에서의 각각의 제 3 구멍은, 이전의 제 2 구멍으로부터 x-축을 따라, 제 3 구멍들만을 갖는 열에 대해 각각의 개개의 직경으로부터, 약 0.4 내지 약 0.8 인치, 예컨대 약 0.31 인치 변위될 수 있다. 제 3 구멍들은, 다른 열에서의 제 3 구멍으로부터 x-축을 따라, 각각의 개개의 직경으로부터, 약 0.1 내지 약 0.2 인치, 예컨대 약 0.16 인치만큼 엇갈리게 배치될 수 있다. 구멍들은 각각의 열에서 서로로부터 동등하게 이격될 수 있다.The pattern portion 485 may comprise a plurality of third holes in an array of about 30 to about 45 columns, for example, staggered rows of about 37 columns. Each row may have from about 2 to about 30 third holes, for example from about 3 to about 17 holes, along each y-axis, each row being about 0.2 to about 0.35 inches, It is spaced about 0.31 inches. All other rows may be arranged along the same x-axis column as the second holes and the third holes may be in an alternating order with the second holes along the x-axis. Each third hole in the row may be displaced from the respective individual diameter for heat with only the third holes along the x-axis from the previous third hole by about 0.4 to about 0.8 inches, such as about 0.31 inches have. Each third hole in the row may be displaced from the respective individual diameter, for each column having only the third holes, along the x-axis from the previous second hole by about 0.4 to about 0.8 inches, such as about 0.31 inches have. The third holes may be staggered from the respective individual diameter by about 0.1 to about 0.2 inch, for example about 0.16 inch, along the x-axis from the third hole in the other row. The holes may be equally spaced from each other in each row.

도 4g를 참조하면, 제 3 구멍들은, 약 0.2 내지 약 0.3 인치, 예컨대 약 0.25 인치의 제 1 직경을 갖는 제 1 원통형 부분(476)(노즐)의 형상을 정의할 수 있거나 또는 프로파일을 가질 수 있다. 제 1 원통형 부분은 일 단부에 유입구를 가질 수 있다. 제 1 원통형 부분은 약 0.1 내지 약 0.16 인치, 예컨대 약 0.14 인치의 높이를 가질 수 있다. 제 1 직경 미만의 제 2 직경을 갖는 제 2 원통형 부분(478)은 전이 섹션(477)에 의해 제 1 원통형 부분(476)과 커플링될 수 있다. 제 2 직경은 약 0.04 내지 약 0.07 인치, 예컨대 약 0.06 인치일 수 있거나, 또는 대략, 약 7.5:1 내지 약 3:1, 예컨대 약 4:1의 제 1 직경 대 제 2 직경의 비율일 수 있다. 제 2 원통형 부분은 약 0.01 내지 약 0.1 인치, 예컨대 약 0.05 인치의 높이를 가질 수 있다. 전이 부분(477)은, 제 1 섹션 및 제 1 직경으로부터, 약 0.07 내지 약 0.1, 예를 들어 약 0.08 인치의 제 1 프라임 직경 및 제 2 섹션으로 예컨대 약 40 °의 각도로 테이퍼링한다. 제 1 프라임 직경은 제 2 직경보다 더 클 수 있다.Referring to FIG. 4G, the third holes may define a shape of the first cylindrical portion 476 (nozzle) having a first diameter of about 0.2 to about 0.3 inches, such as about 0.25 inches, have. The first cylindrical portion may have an inlet at one end. The first cylindrical portion may have a height of about 0.1 to about 0.16 inches, such as about 0.14 inches. A second cylindrical portion 478 having a second diameter less than the first diameter may be coupled to the first cylindrical portion 476 by a transition section 477. [ The second diameter may be about 0.04 to about 0.07 inches, such as about 0.06 inches, or may be a ratio of about first diameter to second diameter of about 7.5: 1 to about 3: 1, such as about 4: 1 . The second cylindrical portion may have a height of about 0.01 to about 0.1 inch, such as about 0.05 inch. The transition portion 477 tapers from the first section and the first diameter to a first prime diameter of about 0.07 to about 0.1, for example about 0.08 inches, and to an angle of about 40 degrees to the second section, for example. The first prime diameter may be greater than the second diameter.

제 3 원통형 부분(444)(스로트)은 제 2 원통형 부분(478)과 커플링될 수 있고, 약 0.01 내지 약 0.03 인치, 예컨대 약 0.016 인치의 제 3 직경을 가질 수 있거나, 또는 대략, 약 30:1 내지 약 6:1, 예컨대 약 16:1의 제 1 직경 대 제 3 직경의 비율일 수 있다. 제 3 원통형 부분은 약 0.01 내지 약 0.03 인치, 예컨대 약 0.025 인치의 높이를 가질 수 있다. 제 4 원통형 부분(479)(디퓨저)은 제 3 원통형 부분(444)에 커플링될 수 있다. 제 4 원통형 부분은 제 1 직경 미만의 제 4 직경을 가지면서, 제 2 원통형 부분(478)과 유사한 직경을 가질 수 있다. 제 4 직경은, 약 0.04 내지 약 0.07 인치, 예컨대 약 0.06 인치일 수 있거나, 또는 대략, 약 7.5:1 내지 약 3:1, 예컨대 약 4:1의 제 1 직경 대 제 2 직경의 비율일 수 있다. 제 4 원통형 부분은 약 0.01 내지 약 0.5 인치, 예컨대 약 0.025 인치의 높이를 가질 수 있다.The third cylindrical portion 444 (throat) may be coupled with the second cylindrical portion 478 and may have a third diameter of about 0.01 to about 0.03 inches, such as about 0.016 inches, May be a ratio of the first diameter to the third diameter of from about 30: 1 to about 6: 1, such as about 16: 1. The third cylindrical portion may have a height of about 0.01 to about 0.03 inches, such as about 0.025 inches. The fourth cylindrical portion 479 (diffuser) may be coupled to the third cylindrical portion 444. The fourth cylindrical portion may have a diameter similar to the second cylindrical portion 478, with a fourth diameter less than the first diameter. The fourth diameter may be from about 0.04 to about 0.07 inches, such as about 0.06 inches, or may be a ratio of first diameter to second diameter of about 7.5: 1 to about 3: 1, such as about 4: 1 have. The fourth cylindrical portion may have a height of from about 0.01 to about 0.5 inches, such as about 0.025 inches.

이전에 설명된 바와 같이, 제 1 및 제 2 구멍들의 대안적인 배열들은 임의의 다른 기하학적인 패턴을 만들 수 있고, 플레이트 상의 중심에 위치된 위치에 기초하여 그리고 서로로부터 동심적으로 외측에 위치된 구멍들의 링들로서 분배될 수 있다. 각각의 외측에 위치된 링은 내측에 위치된 선행하는 링과 동일한 수의, 그보다 더 많은, 또는 더 적은 구멍들을 가질 수 있다. 일 예에서, 각각의 동심 링은 각각의 링의 기하학적인 형상에 기초하여 부가적인 수의 구멍들을 가질 수 있다. 6면체 다각형의 예에서, 외측으로 이동하는 각각의 링은 바로 내측에 위치된 링보다 6개의 구멍들을 더 가질 수 있으며, 제 1 내부 링은 6개의 구멍들을 갖는다. 상측 및 하측 플레이트들의 중심에 가장 가까이 위치된 구멍들의 제 1 링의 경우에, 상측 및 바닥 플레이트들은 2개보다 더 많은 링들을 가질 수 있으며, 사용되는 구멍들의 기하학적인 패턴에 따라, 구멍들의 약 1개 내지 약 50개의 링들을 가질 수 있다. 대안적으로, 플레이트들은, 약 2개 내지 약 40개의 링들, 또는 약 30개의 링들, 약 20개의 링들, 약 15개의 링들, 약 12개의 링들, 약 10개의 링들, 약 9개의 링들, 약 8개의 링들, 약 7개의 링들, 약 6개의 링들 등 또는 그 미만까지 가질 수 있다.As previously described, alternative arrangements of the first and second holes may make any other geometric pattern, and may be based on a position located centrally on the plate and concentric with the holes Lt; / RTI > Each outer ring may have the same number of, more, or fewer holes than the inner ring of the preceding ring. In one example, each concentric ring may have an additional number of holes based on the geometric shape of each ring. In the example of a hexahedral polygon, each ring moving outward may have six more holes than the ring immediately inside, and the first inner ring has six holes. In the case of the first ring of holes located closest to the center of the upper and lower plates, the upper and lower plates may have more than two rings and, depending on the geometric pattern of the holes used, To about 50 rings. Alternatively, the plates may have from about 2 to about 40 rings, or about 30 rings, about 20 rings, about 15 rings, about 12 rings, about 10 rings, about 9 rings, about 8 rings Rings, about 7 rings, about 6 rings, etc. or the like.

또 다른 예에서, 하나 또는 그 초과의 링들은, 구멍들을 갖지 않을 수 있거나, 하나 또는 그 초과의 링들 내에 포함된 구멍들의 감소된 크기 또는 감소된 수의 구멍들, 또는 하나 또는 그 초과의 링들에서의 이들의 일부 조합을 가질 수 있다. 감소된 직경 구멍들의 구멍 크기들은 구멍 크기의 퍼센티지로서 다른 구멍들에 관련될 수 있다. 감소된 직경을 갖는 구멍들은 다른 구멍들의 직경의 100 % 내지 0 %의 범위를 가질 수 있고, 여기서, 100 %는 동일한 크기의 구멍을 지칭하고, 0 %는 구멍의 부재를 지칭한다. 일 비-제한 예로서, 구멍들의 9개의 링들을 갖는 육각형 패턴에서, 링들 3 및 4는 비-감소된 구멍들의 직경의 약 50 % 내지 약 100 %의 직경들을 갖는 구멍들을 가질 수 있고, 대안적으로, 약 75 % 내지 약 95 %, 또는 약 88 % 내지 약 92 %일 수 있다. 기술에 의해 포함되는 다수의 부가적인 변화들이, 상측 및 바닥 플레이트를 통해 전구체 유동을 재분배하기 위해 고려될 수 있다.In yet another example, one or more rings may have no holes, or may have a reduced size or a reduced number of holes in one or more rings, or one or more rings May have some combination of these. The hole sizes of the reduced diameter holes may be related to other holes as a percentage of the hole size. The holes with reduced diameters may have a range of 100% to 0% of the diameter of the other holes, where 100% refers to holes of the same size and 0% refers to the absence of holes. As a non-limiting example, in a hexagonal pattern with nine rings of holes, rings 3 and 4 may have holes with diameters of about 50% to about 100% of the diameters of non-reduced holes, , From about 75% to about 95%, or from about 88% to about 92%. A number of additional variations included by the technique can be considered for redistributing the precursor flow through the top and bottom plates.

도 4e 내지 도 4i를 참조하면, 프로세싱 가스와 같은 제 1 유체는, 프로세싱 구역 내로의 진입 전에, 상측 매니폴드에서의 제 1 구멍(460) 및 바닥 매니폴드에서의 제 2 구멍(465)을 통해 샤워헤드를 통하여 유동(F1)할 수 있다. 전구체와 같은 제 2 유체는, 제 3 구멍들(475)을 통해 빠져나가는, 제 1 및 제 2 구멍들을 둘러싸는 격리된 유동 경로일 수 있는, 상측 매니폴드와 하측 매니폴드 사이의 내측 영역(495)으로의 가스 분배 채널(480)로 채널(490)을 통해 유동함으로써, 프로세싱 영역으로 유동(F2)할 수 있다. 제 1 유체 및 제 2 유체 양자 모두는, 프로세싱 여역 내로의 전달까지, 샤워헤드에서 서로로부터 유체적으로 격리될 수 있다.Referring to Figures 4E-4I, a first fluid, such as a processing gas, is directed through the first hole 460 in the top manifold and the second hole 465 in the bottom manifold, prior to entry into the processing zone. (F1) through the showerhead. A second fluid, such as a precursor, is in fluid communication with the inner region 495 between the upper manifold and the lower manifold, which may be an isolated flow path surrounding the first and second holes, exiting through the third holes 475. [ (F2) to the processing region by flowing through the channel 490 to the gas distribution channel 480 to the processing region (not shown). Both the first fluid and the second fluid can be fluidly isolated from each other in the showerhead until delivery into the processing region.

Yes

2개의 샤워헤드 구성들을 사용하여 달성된 증착 특성들 사이의 비교 예들이 이루어졌다. 제 1 샤워헤드는 구멍들의 10개의 링들을 포함하였고, 모든 구멍은 유사한 직경으로 이루어졌다. 제 2 샤워헤드는 구멍들의 9개의 링들을 포함하였고, 중심으로부터 카운팅된 링들 3 및 4는, 다른 링들의 다른 구멍들과 비교하여 감소된 직경의 구멍들을 포함하였다. 프로세싱 및 전구체 가스들은 샤워헤드들을 통해 유동되었고, 300 mm 실리콘 반도체 웨이퍼 상에 재료를 장착하기 위해 반응하도록 허용되었다. 그 후에, 웨이퍼에 걸친 모든 포인트들에서 증착된 라이너의 두께를 결정하기 위해, 기판을 따라 웨이퍼가 분석되었다.Comparisons between deposition characteristics achieved using two showerhead configurations have been made. The first showerhead comprised 10 rings of holes, all holes of similar diameter. The second showerhead included nine rings of holes and the rings 3 and 4 counted from the center included holes of reduced diameter compared to the other holes of the other rings. Processing and precursor gases flowed through the showerheads and allowed to react to mount the material on a 300 mm silicon semiconductor wafer. Thereafter, the wafer was analyzed along the substrate to determine the thickness of the deposited liner at all points across the wafer.

도 6은 테스트된 샤워헤드들에 대한 웨이퍼 상의 위치의 함수로서의 증착 두께의 그래프를 도시한다. 라인(610)은, 유사한 직경들을 갖는 구멍들의 10개의 링들을 포함하는 샤워헤드에 대한 웨이퍼에 걸친 증착 프로파일을 도시한다. 그래프에서 보이는 바와 같이, 두께는 웨이퍼에 걸쳐 큰 퍼센티지로 변화한다. 웨이퍼의 에지들에 더 가까울수록, 그리고 웨이퍼의 중심에 더 가까울수록, 증착된 재료 두께는 웨이퍼의 중심으로부터 약 60 mm의 위치들에서보다 훨씬 더 작다. 도 5에서 볼 수 있는 바와 같이, 이 영역은 배플로부터의 직접적인 유동 경로에 있는 구멍들의 중간 링들에 상관된다.Figure 6 shows a graph of the deposition thickness as a function of position on the wafer for the showerheads tested. Line 610 shows the deposition profile across the wafer for a showerhead comprising ten rings of holes having similar diameters. As shown in the graph, the thickness varies by a large percentage across the wafer. The closer to the edges of the wafer and closer to the center of the wafer, the farther the deposited material thickness is at a position about 60 mm from the center of the wafer. As can be seen in Figure 5, this region is correlated to the middle rings of the holes in the direct flow path from the baffle.

라인(620)은, 열들 3 및 4가 감소된 크기의 구멍들을 갖는 9개의 열들을 포함하는 샤워헤드에 대한 웨이퍼에 걸친 증착 프로파일을 도시한다. 볼 수 있는 바와 같이, 이 샤워헤드 디자인을 이용하여, 더 균일한 증착 프로파일이 달성되었고, 웨이퍼에 걸친 불-균일성이 약 1.5 % 미만으로 감소되었다. 부가적으로, 감소된 직경의 구멍들을 포함하는 샤워헤드는 다른 샤워헤드보다 더 두꺼운 막을 생성하였고, 증착된 재료는 약 20 % 초과로 더 두꺼운 두께였고, 이는, 프로세싱 가스들의 증가된 체류 사긴들의 결과였을 수 있다.Line 620 shows the deposition profile across the wafer for a showerhead in which columns 3 and 4 contain nine rows with reduced-size holes. As can be seen, using this showerhead design, a more uniform deposition profile was achieved, and the non-uniformity across the wafer was reduced to less than about 1.5%. Additionally, showerheads containing holes of reduced diameter produced a thicker film than the other showerheads, and the deposited material was thicker than about 20% thick, which resulted in increased retention of processing gases .

이전의 설명에서, 설명의 목적들을 위해, 본 발명의 다양한 실시예들의 이해를 제공하기 위하여, 다수의 세부사항들이 제시되었다. 그러나, 특정 실시예들이 이들 세부사항들 중 일부가 없이, 또는 부가적인 세부사항들과 함께 실시될 수 있다는 것이 당업자에게 명백할 것이다.In the preceding description, for purposes of explanation, numerous details have been set forth in order to provide an understanding of the various embodiments of the present invention. It will be apparent, however, to one skilled in the art that the specific embodiments may be practiced without some of these details, or with additional details.

수개의 실시예들을 개시하였지만, 개시된 실시예들의 사상을 벗어나지 않으면서 다양한 변형들, 대안적인 구성들, 및 등가물들이 사용될 수 있다는 것이 당업자에 의해 인식될 것이다. 부가적으로, 본 발명을 불필요하게 불명료히 하는 것을 피하기 위해, 다수의 잘 알려진 프로세스들 및 엘리먼트들은 설명하지 않았다. 따라서, 위의 설명은 본 발명의 범위를 제한하는 것으로서 취해지지 않아야 한다.Having described several embodiments, it will be appreciated by those skilled in the art that various modifications, alternative constructions, and equivalents may be used without departing from the spirit of the disclosed embodiments. In addition, numerous well-known processes and elements have not been described in order to avoid unnecessarily obscuring the present invention. Therefore, the above description should not be taken as limiting the scope of the present invention.

별개의 실시예들이 플로우차트, 흐름도, 또는 블록도로서 도시된 프로세스로서 설명될 수 있다는 것이 유의된다. 플로우차트가 순차적인 프로세스로서 방법을 설명할 수 있지만, 동작들 중 다수는 병렬로 또는 동시에 수행될 수 있다. 부가하여, 동작들의 순서는 재배열될 수 있다. 프로세스는, 그 프로세스의 동작들이 완료되는 경우에 종료될 수 있지만, 도면에 포함되지 않은 또는 논의되지 않은 부가적인 단계들을 가질 수 있다. 더욱이, 임의의 특별히 설명된 프로세스에서의 모든 동작들이 모든 실시예들에서 발생하지 않을 수 있다. 프로세스는 방법, 함수, 절차, 서브루틴, 서브프로그램 등에 대응할 수 있다. 프로세스가 함수에 대응하는 경우에, 그 프로세스의 종료는 호출 함수 또는 메인 함수로의 함수의 리턴에 대응한다.It is noted that separate embodiments may be described as a process, illustrated as a flowchart, a flowchart, or a block diagram. Although the method can be described as a sequential process, many of the operations can be performed in parallel or concurrently. In addition, the order of operations can be rearranged. The process may be terminated when the operations of the process are completed, but may have additional steps not included or not discussed in the figures. Moreover, not all operations in any specifically described process may occur in all embodiments. A process may correspond to a method, a function, a procedure, a subroutine, a subprogram, and the like. If the process corresponds to a function, the termination of the process corresponds to the return of the function to the calling function or main function.

수치 범위가 주어진 경우, 그러한 수치 범위의 상한들과 하한들 사이에 존재하는 각각의 값은, 문맥상 달리 명백히 표시되어 있지 않은 한 하한의 단위의 소수점 이하 추가 한 자리까지 또한 구체적으로 기재된 것으로 해석된다. 명시된 범위내의 임의의 명시된 값 또는 그 범위에 속하는 값과 그러한 명시된 범위내의 임의의 다른 명시된 값 또는 그 범위에 속하는 다른 값 사이에 존재하는 각각의 소범위가 포함된다. 이러한 소범위의 상한들과 하한들은 독립적으로 그러한 범위에 포함되거나 그러한 범위에서 제외될 수 있고, 각각의 범위는, 상한과 하한 중 하나 또는 둘 모두가 그러한 소범위에 포함되든지 그러한 소범위에서 제외되는지 간에, 임의의 한계값이 명시된 범위에서 구체적으로 제외된 것이 아닌 한, 또한 본 발명에 포함된다. 명시된 범위가 한계값들 중 하나 또는 둘 모두를 포함하는 경우, 그렇게 포함된 한계값들 중 하나 또는 둘 모두를 제외한 범위들이 또한 포함된다.Where a numerical range is given, each value existing between the upper and lower limits of such numerical range is to be interpreted as also being specifically indicated as an addition to the decimal point of the lower limit unit unless the context clearly indicates otherwise . Any specified value within the stated range or each sub-range existing between the value falling within that range and any other specified value within such specified range or any other value falling within that range. The upper and lower limits of such lower ranges may be independently included in such ranges or excluded from such ranges and each range may be included in one or both of the upper and lower limits, Is also included in the present invention unless a specific limit value is specifically excluded from the specified range. Where the stated range includes one or both of the limits, ranges excluding one or both of the included limits are also included.

본 명세서 및 첨부되는 청구항들에서 사용되는 바와 같이, 단수 형태들 "a" "an" 및 "the"는 문맥상 명백히 달리 지시되지 않는 한, 복수의 지시대상들을 포함한다. 따라서, 예를 들어, "유전체 재료(a dielectric material)"라는 언급은 복수의 이러한 재료들을 포함하며, "프로세스(the process)"라는 언급은 당업자에게 알려진 하나 또는 그 초과의 프로세스들 및 그 등가물들에 대한 언급을 포함하며, 기타의 경우도 유사하다.As used in this specification and the appended claims, the singular forms "a," "an," and "the" include plural referents unless the context clearly dictates otherwise. Thus, for example, reference to "a dielectric material" includes a plurality of such materials, and reference to "the process" refers to one or more processes and equivalents thereof known to those skilled in the art , And other cases are similar.

또한, "포함하다(comprise)", "포함하는(comprising)", "구비하다(include)", "구비하는(including)", 및 "구비하다(includes)"라는 단어들은, 본 명세서 및 다음의 청구항들에서 사용되는 경우에, 명시된 특징들, 정수들, 컴포넌트들, 또는 단계들의 존재를 특정하도록 의도되지만, 이들이 하나 또는 그 초과의 다른 특징들, 정수들, 컴포넌트들, 단계들, 동작들, 또는 그룹들의 존재 또는 부가를 배제하는 것은 아니다.It is also to be understood that the words "comprise", "comprising", "include", "including", and "includes" Are intended to specify the presence of stated features, integers, components, or steps in the claims, it should be understood that they may be embodied in one or more other features, integers, components, , Or the presence or addition of groups.

Claims (10)

샤워헤드로서,
제 1 복수의 구멍(aperture)들 ― 상기 제 1 복수의 구멍들을 통해, 제 1 유체가 반도체 기판 프로세싱 챔버의 프로세싱 영역으로 분배되고(distributed), 상기 제 1 복수의 구멍들은 구멍들의 제 1 세트 및 구멍들의 제 2 세트를 포함하며, 상기 구멍들의 제 1 세트는 상기 구멍들의 제 2 세트의 구멍 직경보다 더 큰 구멍 직경을 가짐 ―; 및
제 2 복수의 구멍들 ― 상기 제 2 복수의 구멍들을 통해, 제 2 유체가 상기 기판 프로세싱 챔버의 상기 프로세싱 영역으로 분배됨 ―
을 포함하며,
상기 제 1 및 제 2 유체들은 상기 프로세싱 영역으로의 상기 제 1 및 제 2 유체들의 분배 전에 유체적으로(fluidly) 격리되는(isolated),
샤워헤드.
As a shower head,
A first plurality of apertures through which the first fluid is distributed to the processing region of the semiconductor substrate processing chamber and wherein the first plurality of apertures comprises a first set of apertures and a second set of apertures, The first set of holes having a pore diameter greater than the pore diameter of the second set of pores; And
A second plurality of holes through which the second fluid is dispensed into the processing region of the substrate processing chamber,
/ RTI >
Wherein the first and second fluids are fluidly isolated prior to dispensing the first and second fluids into the processing region,
Shower head.
제 1 항에 있어서,
상기 제 1 복수의 구멍들은 동심 링(concentric ring)들에서 샤워헤드에 분배되고, 상기 링들은 기하학적인(geometric) 패턴을 포함하고,
각각의 외측에(outwardly) 위치된 동심 링은, 증가되는 직경에서 유사한 기하학적인 패턴을 유지하기 위해, 증가하는 수의 구멍들을 포함하며, 그리고
구멍들의 동심 링들 중 적어도 하나는 상기 구멍들의 제 2 세트로부터의 구멍들을 포함하는,
샤워헤드.
The method according to claim 1,
The first plurality of holes being distributed to the showerhead in concentric rings, the rings comprising a geometric pattern,
Each concentric ring positioned outwardly includes an increasing number of holes to maintain a similar geometric pattern at increasing diameters, and
Wherein at least one of the concentric rings of holes comprises holes from a second set of the holes,
Shower head.
제 2 항에 있어서,
상기 구멍들의 동심 링들 중 적어도 하나는, 다른 경우라면 상기 동심 링들 중 내측에(inwardly) 위치된 것으로부터의 상기 기하학적인 패턴을 유지하기 위해 구멍을 포함할 것인 위치들 중 적어도 하나에서 구멍을 포함하지 않는,
샤워헤드.
3. The method of claim 2,
At least one of the concentric rings of the holes includes a hole in at least one of the positions that would otherwise include an aperture to maintain the geometric pattern from being positioned inwardly of the concentric rings Do not,
Shower head.
가스 분배 어셈블리로서,
환형(annular) 바디 ― 상기 환형 바디는,
내측 직경에 위치된 내측 환형 벽, 외측 직경에 위치된 외측 환형 벽, 상측 표면, 및 바닥 표면,
상기 상측 표면에 형성된 상측 리세스(recess),
상기 바닥 표면을 향하여 위치되고, 상기 내측 벽의 방사상 외측에 형성된 립(lip),
상기 바닥 표면을 향하여 위치되고, 상기 내측 환형 벽에 형성된 시트(seat)
를 포함함 ―;
상기 환형 바디의 상기 상측 리세스와 커플링된 상측 플레이트 ― 상기 상측 플레이트는 상기 상측 플레이트 내부에 형성된 복수의 제 1 구멍들을 포함함 ―; 및
상기 환형 바디의 상기 내측 환형 벽에 형성된 상기 시트와 커플링된 바닥 플레이트 ― 상기 바닥 플레이트는,
상기 바닥 플레이트 내부에 형성된 복수의 제 2 구멍들 ― 상기 제 2 구멍들은 상기 제 1 구멍들과 정렬됨(align) ―,
상기 제 2 구멍들 사이에 위치되고, 상기 바닥 플레이트 내부에 형성된 복수의 제 3 구멍들
을 포함함 ―
를 포함하며,
상기 제 1 및 제 2 구멍들은 정렬되고, 상기 상측 및 하측 플레이트들 상에 제 1 및 제 2 구멍들의 동심의 기하학적인 형상들의 패턴을 형성하며, 추가로, 상기 제 1 및 제 2 구멍들의 제 1 서브세트(subset)는, 상기 제 1 및 제 2 구멍들의 제 2 서브세트의 구멍 직경 미만의 구멍 직경을 포함하는,
가스 분배 어셈블리.
As a gas distribution assembly,
An annular body, said annular body comprising:
An inner annular wall positioned at an inner diameter, an outer annular wall positioned at an outer diameter, an upper surface, and a bottom surface,
An upper recess formed on the upper surface,
A lip positioned radially outwardly of the inner wall and positioned toward the bottom surface,
A seat formed on said inner annular wall,
;
An upper plate coupled with the upper recess of the annular body, the upper plate including a plurality of first holes formed in the upper plate; And
A bottom plate coupled to the seat formed in the inner annular wall of the annular body,
A plurality of second holes formed in the bottom plate, the second holes being aligned with the first holes,
A plurality of third holes formed in the inside of the bottom plate,
≪ / RTI >
/ RTI >
Wherein the first and second holes are aligned and form a pattern of concentric geometric shapes of the first and second holes on the upper and lower plates and further wherein the first and second holes of the first and second holes The subset including a pore diameter less than the pore diameter of the second subset of the first and second holes,
Gas distribution assembly.
제 4 항에 있어서,
상기 바닥 플레이트는, 정렬된 제 1 및 제 2 구멍들이 상기 제 3 구멍들로부터 유체적으로 격리되도록, 상기 상측 플레이트와 밀봉(sealingly) 커플링되고,
상기 구멍들의 동심의 기하학적인 형상들은 상기 제 1 및 제 2 구멍들을 포함하는 외측으로 증가하는 직경의 적어도 2개의 링들을 포함하고, 각각의 링을 형성하는 구멍들은 그 링에 포함된 다른 구멍들과 동일한 구멍 직경으로 이루어지며, 그리고
상기 제 1 및 제 2 구멍들의 링들 중 적어도 하나는, 제 2 링에 위치된 상기 제 1 및 제 2 구멍들의 구멍 직경들과 상이한 구멍 직경들을 포함하는,
가스 분배 어셈블리.
5. The method of claim 4,
The bottom plate is sealingly coupled to the top plate such that aligned first and second holes are fluidly isolated from the third holes,
Wherein the concentric geometric shapes of the holes include at least two rings of increasingly outward diameter including the first and second apertures and wherein the holes forming each ring include other holes The same hole diameter, and
Wherein at least one of the rings of the first and second holes includes a plurality of bore diameters different from the bore diameters of the first and second bores located in the second ring,
Gas distribution assembly.
제 4 항에 있어서,
상기 상측 플레이트 및 상기 바닥 플레이트가 함께 접합되어(joined), 정렬된 제 1 및 제 2 구멍들의 각각의 쌍 주위에 밀봉(seal)이 형성되고, 상기 상측 플레이트가 상기 환형 바디에 용접되어(welded), 상기 상측 리세스의 내측 에지(edge)와 상기 상측 플레이트의 외측 에지 사이에 밀봉이 생성되며, 상기 바닥 플레이트는, 상기 내측 환형 벽과 상기 바닥 플레이트의 외측 에지 사이에 밀봉이 생성되도록, 상기 환형 바디에 용접되는,
가스 분배 어셈블리.
5. The method of claim 4,
Wherein the upper plate and the bottom plate are joined together and a seal is formed around each pair of aligned first and second holes and the upper plate is welded to the annular body, Wherein a seal is created between an inner edge of the upper recess and an outer edge of the upper plate and the bottom plate is formed with an annular shape so that a seal is created between the inner annular wall and the outer edge of the bottom plate. Welded to the body,
Gas distribution assembly.
제 6 항에 있어서,
상기 환형 바디는,
상기 상측 리세스의 방사상 외측에서 상기 환형 바디의 상기 상측 표면 상에 형성된 제 1 냉각 채널; 및
상기 상측 리세스의 방사상 외측에서 상기 환형 바디의 상기 바닥 표면 상에 형성된 제 2 냉각 채널
을 더 포함하는,
가스 분배 어셈블리.
The method according to claim 6,
The annular body,
A first cooling channel formed on the upper surface of the annular body radially outside of the upper recess; And
A second cooling channel formed on the bottom surface of the annular body radially outside of the upper recess,
≪ / RTI >
Gas distribution assembly.
제 7 항에 있어서,
상기 환형 바디는,
상기 제 1 냉각 채널의 방사상 내측에서 상기 상측 리세스 내로 형성된 유체 전달 채널들;
상기 유체 전달 채널들의 방사상 내측에서 상기 상측 리세스 내로 형성된 유체 통로들 ― 상기 유체 통로들은 상기 유체 전달 채널들에 유체적으로 커플링됨 ―; 및
상기 환형 바디의 상기 외측 환형 벽을 통해 형성된 도관 ― 상기 도관이 상기 유체 전달 채널들에 유체적으로 커플링되어, 상기 도관의 유입구(inlet)로부터 상기 복수의 제 3 구멍들의 배출구(outlet)로의 유동 경로가 형성됨 ―
을 더 포함하는,
가스 분배 어셈블리.
8. The method of claim 7,
The annular body,
Fluid transmission channels formed radially inwardly of the first cooling channel into the upper recess;
Fluid passages formed within the upper recess radially inward of the fluid transfer channels, the fluid passages being fluidly coupled to the fluid transfer channels; And
A conduit formed through the outer annular wall of the annular body, the conduit being fluidly coupled to the fluid transfer channels such that flow from an inlet of the conduit to an outlet of the plurality of third holes, Path formed -
≪ / RTI >
Gas distribution assembly.
제 4 항에 있어서,
상기 환형 바디는,
상기 제 2 냉각 채널의 방사상 외측에서 상기 환형 바디의 상기 바닥 표면에 형성된 히터(heater) 리세스를 더 포함하는,
가스 분배 어셈블리.
5. The method of claim 4,
The annular body,
Further comprising a heater recess formed on said bottom surface of said annular body radially outwardly of said second cooling channel,
Gas distribution assembly.
제 4 항에 있어서,
상기 복수의 제 3 구멍들은 모래시계(hourglass) 형상을 갖는,
가스 분배 어셈블리.
5. The method of claim 4,
Wherein the plurality of third holes have an hourglass shape,
Gas distribution assembly.
KR1020147015590A 2011-11-08 2012-10-24 Precursor distribution features for improved deposition uniformity KR20140092892A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161557271P 2011-11-08 2011-11-08
US61/557,271 2011-11-08
PCT/US2012/061732 WO2013070438A1 (en) 2011-11-08 2012-10-24 Precursor distribution features for improved deposition uniformity

Publications (1)

Publication Number Publication Date
KR20140092892A true KR20140092892A (en) 2014-07-24

Family

ID=48290454

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147015590A KR20140092892A (en) 2011-11-08 2012-10-24 Precursor distribution features for improved deposition uniformity

Country Status (4)

Country Link
US (1) US20130306758A1 (en)
KR (1) KR20140092892A (en)
TW (1) TW201324663A (en)
WO (1) WO2013070438A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220070069A (en) * 2014-10-03 2022-05-27 어플라이드 머티어리얼스, 인코포레이티드 Gas flow profile modulated control of overlay in plasma cvd films

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9793126B2 (en) * 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9255326B2 (en) * 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6608332B2 (en) * 2016-05-23 2019-11-20 東京エレクトロン株式会社 Deposition equipment
US10304668B2 (en) * 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
TWI641016B (en) * 2016-06-28 2018-11-11 周業投資股份有限公司 Upper electrode device
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10790140B2 (en) * 2017-02-14 2020-09-29 Applied Materials, Inc. High deposition rate and high quality nitride
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same
US20210331183A1 (en) * 2020-04-24 2021-10-28 Applied Materials, Inc. Fasteners for coupling components of showerhead assemblies
US11469147B2 (en) * 2020-08-04 2022-10-11 Tokyo Electron Limited Gas phase production of radicals for dielectrics
CN114215984A (en) * 2021-12-14 2022-03-22 拓荆科技股份有限公司 Semiconductor equipment and gas conveying structure thereof

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4780169A (en) * 1987-05-11 1988-10-25 Tegal Corporation Non-uniform gas inlet for dry etching apparatus
EP0574275B1 (en) * 1992-06-12 1998-04-15 Matsushita Electronics Corporation Semiconductor device having capacitor
KR950020993A (en) * 1993-12-22 1995-07-26 김광호 Semiconductor manufacturing device
US5824605A (en) * 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
KR100492258B1 (en) * 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 Reaction gas ejection head
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP4220075B2 (en) * 1999-08-20 2009-02-04 東京エレクトロン株式会社 Film forming method and film forming apparatus
JP3924483B2 (en) * 2001-03-19 2007-06-06 アイピーエス リミテッド Chemical vapor deposition equipment
GB2406583B (en) * 2002-08-08 2005-12-21 Trikon Technologies Ltd Improvements to showerheads
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
KR100675277B1 (en) * 2004-08-18 2007-01-26 삼성전자주식회사 Shower head of semiconductor manufacturing apparatus
KR100752622B1 (en) * 2006-02-17 2007-08-30 한양대학교 산학협력단 Apparatus for generating remote plasma
JP5157101B2 (en) * 2006-08-04 2013-03-06 東京エレクトロン株式会社 Gas supply apparatus and substrate processing apparatus
US7476291B2 (en) * 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
TWI490366B (en) * 2009-07-15 2015-07-01 Applied Materials Inc Flow control features of cvd chambers
JP5850236B2 (en) * 2012-01-20 2016-02-03 アイシン精機株式会社 Carbon nanotube manufacturing apparatus and carbon nanotube manufacturing method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220070069A (en) * 2014-10-03 2022-05-27 어플라이드 머티어리얼스, 인코포레이티드 Gas flow profile modulated control of overlay in plasma cvd films

Also Published As

Publication number Publication date
TW201324663A (en) 2013-06-16
WO2013070438A1 (en) 2013-05-16
US20130306758A1 (en) 2013-11-21

Similar Documents

Publication Publication Date Title
KR20140092892A (en) Precursor distribution features for improved deposition uniformity
US20200149166A1 (en) Flow control features of cvd chambers
US11264213B2 (en) Chemical control features in wafer process equipment
KR102493324B1 (en) Dual-channel showerhead for formation of film stacks
US7740706B2 (en) Gas baffle and distributor for semiconductor processing chamber
US20050263072A1 (en) Uniformity control for low flow process and chamber to chamber matching
US11222771B2 (en) Chemical control features in wafer process equipment
JP2022524280A (en) Shower head for sedimentation tools with multiple plenum and gas distribution chambers

Legal Events

Date Code Title Description
AMND Amendment
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment