CN1216415C - 沉积金属薄膜的方法和包括超临界干燥/清洁组件的金属沉积组合工具 - Google Patents
沉积金属薄膜的方法和包括超临界干燥/清洁组件的金属沉积组合工具 Download PDFInfo
- Publication number
- CN1216415C CN1216415C CN018083307A CN01808330A CN1216415C CN 1216415 C CN1216415 C CN 1216415C CN 018083307 A CN018083307 A CN 018083307A CN 01808330 A CN01808330 A CN 01808330A CN 1216415 C CN1216415 C CN 1216415C
- Authority
- CN
- China
- Prior art keywords
- base material
- assembly
- acid
- carbon dioxide
- supercritical carbon
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 229910052751 metal Inorganic materials 0.000 title claims abstract description 92
- 239000002184 metal Substances 0.000 title claims abstract description 92
- 238000000034 method Methods 0.000 title claims abstract description 84
- 238000001465 metallisation Methods 0.000 title claims abstract description 69
- 238000000151 deposition Methods 0.000 title claims abstract description 52
- 238000004140 cleaning Methods 0.000 title description 8
- 238000000352 supercritical drying Methods 0.000 title description 2
- 239000000463 material Substances 0.000 claims abstract description 212
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 claims abstract description 92
- 239000000758 substrate Substances 0.000 claims abstract description 50
- 239000001569 carbon dioxide Substances 0.000 claims abstract description 46
- 229910002092 carbon dioxide Inorganic materials 0.000 claims abstract description 46
- 239000002738 chelating agent Substances 0.000 claims abstract description 24
- 239000002253 acid Substances 0.000 claims abstract description 19
- 150000001412 amines Chemical class 0.000 claims abstract description 13
- 239000010408 film Substances 0.000 claims description 64
- 229920002120 photoresistant polymer Polymers 0.000 claims description 19
- 239000011248 coating agent Substances 0.000 claims description 15
- 238000000576 coating method Methods 0.000 claims description 15
- WSWCOQWTEOXDQX-MQQKCMAXSA-M (E,E)-sorbate Chemical compound C\C=C\C=C\C([O-])=O WSWCOQWTEOXDQX-MQQKCMAXSA-M 0.000 claims description 11
- QPLDLSVMHZLSFG-UHFFFAOYSA-N Copper oxide Chemical compound [Cu]=O QPLDLSVMHZLSFG-UHFFFAOYSA-N 0.000 claims description 11
- 229940075554 sorbate Drugs 0.000 claims description 11
- 238000000429 assembly Methods 0.000 claims description 10
- 230000000712 assembly Effects 0.000 claims description 10
- PNEYBMLMFCGWSK-UHFFFAOYSA-N Alumina Chemical compound [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 claims description 9
- 238000011068 loading method Methods 0.000 claims description 9
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 claims description 8
- 229960004643 cupric oxide Drugs 0.000 claims description 7
- 239000002904 solvent Substances 0.000 claims description 7
- MUBZPKHOEPUJKR-UHFFFAOYSA-N Oxalic acid Chemical compound OC(=O)C(O)=O MUBZPKHOEPUJKR-UHFFFAOYSA-N 0.000 claims description 6
- KRKNYBCHXYNGOX-UHFFFAOYSA-N citric acid Chemical compound OC(=O)CC(O)(C(O)=O)CC(O)=O KRKNYBCHXYNGOX-UHFFFAOYSA-N 0.000 claims description 6
- 230000008021 deposition Effects 0.000 claims description 6
- 239000011261 inert gas Substances 0.000 claims description 6
- 230000003647 oxidation Effects 0.000 claims description 6
- 238000007254 oxidation reaction Methods 0.000 claims description 6
- 150000007522 mineralic acids Chemical class 0.000 claims description 5
- 150000007524 organic acids Chemical class 0.000 claims description 5
- DHMQDGOQFOQNFH-UHFFFAOYSA-N Glycine Chemical compound NCC(O)=O DHMQDGOQFOQNFH-UHFFFAOYSA-N 0.000 claims description 4
- AEMRFAOFKBGASW-UHFFFAOYSA-N Glycolic acid Chemical compound OCC(O)=O AEMRFAOFKBGASW-UHFFFAOYSA-N 0.000 claims description 4
- OFOBLEOULBTSOW-UHFFFAOYSA-N Malonic acid Chemical compound OC(=O)CC(O)=O OFOBLEOULBTSOW-UHFFFAOYSA-N 0.000 claims description 4
- OPKOKAMJFNKNAS-UHFFFAOYSA-N N-methylethanolamine Chemical compound CNCCO OPKOKAMJFNKNAS-UHFFFAOYSA-N 0.000 claims description 4
- JUJWROOIHBZHMG-UHFFFAOYSA-N Pyridine Chemical compound C1=CC=NC=C1 JUJWROOIHBZHMG-UHFFFAOYSA-N 0.000 claims description 4
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 4
- 239000002585 base Substances 0.000 claims description 4
- JVTAAEKCZFNVCJ-UHFFFAOYSA-N lactic acid Chemical compound CC(O)C(O)=O JVTAAEKCZFNVCJ-UHFFFAOYSA-N 0.000 claims description 4
- BDAGIHXWWSANSR-UHFFFAOYSA-N methanoic acid Natural products OC=O BDAGIHXWWSANSR-UHFFFAOYSA-N 0.000 claims description 4
- 239000001301 oxygen Substances 0.000 claims description 4
- 229910052760 oxygen Inorganic materials 0.000 claims description 4
- -1 buffering Chemical compound 0.000 claims description 3
- 238000000427 thin-film deposition Methods 0.000 claims description 3
- BJEPYKJPYRNKOW-REOHCLBHSA-N (S)-malic acid Chemical compound OC(=O)[C@@H](O)CC(O)=O BJEPYKJPYRNKOW-REOHCLBHSA-N 0.000 claims description 2
- OSWFIVFLDKOXQC-UHFFFAOYSA-N 4-(3-methoxyphenyl)aniline Chemical compound COC1=CC=CC(C=2C=CC(N)=CC=2)=C1 OSWFIVFLDKOXQC-UHFFFAOYSA-N 0.000 claims description 2
- DDFHBQSCUXNBSA-UHFFFAOYSA-N 5-(5-carboxythiophen-2-yl)thiophene-2-carboxylic acid Chemical compound S1C(C(=O)O)=CC=C1C1=CC=C(C(O)=O)S1 DDFHBQSCUXNBSA-UHFFFAOYSA-N 0.000 claims description 2
- QTBSBXVTEAMEQO-UHFFFAOYSA-M Acetate Chemical compound CC([O-])=O QTBSBXVTEAMEQO-UHFFFAOYSA-M 0.000 claims description 2
- 239000004471 Glycine Substances 0.000 claims description 2
- QNAYBMKLOCPYGJ-REOHCLBHSA-N L-alanine Chemical compound C[C@H](N)C(O)=O QNAYBMKLOCPYGJ-REOHCLBHSA-N 0.000 claims description 2
- ZDXPYRJPNDTMRX-VKHMYHEASA-N L-glutamine Chemical compound OC(=O)[C@@H](N)CCC(N)=O ZDXPYRJPNDTMRX-VKHMYHEASA-N 0.000 claims description 2
- ROHFNLRQFUQHCH-YFKPBYRVSA-N L-leucine Chemical compound CC(C)C[C@H](N)C(O)=O ROHFNLRQFUQHCH-YFKPBYRVSA-N 0.000 claims description 2
- KDXKERNSBIXSRK-YFKPBYRVSA-N L-lysine Chemical compound NCCCC[C@H](N)C(O)=O KDXKERNSBIXSRK-YFKPBYRVSA-N 0.000 claims description 2
- KZSNJWFQEVHDMF-BYPYZUCNSA-N L-valine Chemical compound CC(C)[C@H](N)C(O)=O KZSNJWFQEVHDMF-BYPYZUCNSA-N 0.000 claims description 2
- ROHFNLRQFUQHCH-UHFFFAOYSA-N Leucine Natural products CC(C)CC(N)C(O)=O ROHFNLRQFUQHCH-UHFFFAOYSA-N 0.000 claims description 2
- KDXKERNSBIXSRK-UHFFFAOYSA-N Lysine Natural products NCCCCC(N)C(O)=O KDXKERNSBIXSRK-UHFFFAOYSA-N 0.000 claims description 2
- 239000004472 Lysine Substances 0.000 claims description 2
- GSEJCLTVZPLZKY-UHFFFAOYSA-N Triethanolamine Chemical compound OCCN(CCO)CCO GSEJCLTVZPLZKY-UHFFFAOYSA-N 0.000 claims description 2
- KZSNJWFQEVHDMF-UHFFFAOYSA-N Valine Natural products CC(C)C(N)C(O)=O KZSNJWFQEVHDMF-UHFFFAOYSA-N 0.000 claims description 2
- 235000004279 alanine Nutrition 0.000 claims description 2
- BJEPYKJPYRNKOW-UHFFFAOYSA-N alpha-hydroxysuccinic acid Natural products OC(=O)C(O)CC(O)=O BJEPYKJPYRNKOW-UHFFFAOYSA-N 0.000 claims description 2
- 235000001014 amino acid Nutrition 0.000 claims description 2
- 150000001413 amino acids Chemical class 0.000 claims description 2
- KVBCYCWRDBDGBG-UHFFFAOYSA-N azane;dihydrofluoride Chemical compound [NH4+].F.[F-] KVBCYCWRDBDGBG-UHFFFAOYSA-N 0.000 claims description 2
- 230000003139 buffering effect Effects 0.000 claims description 2
- 235000015165 citric acid Nutrition 0.000 claims description 2
- IFQUWYZCAGRUJN-UHFFFAOYSA-N ethylenediaminediacetic acid Chemical compound OC(=O)CNCCNCC(O)=O IFQUWYZCAGRUJN-UHFFFAOYSA-N 0.000 claims description 2
- 235000019253 formic acid Nutrition 0.000 claims description 2
- ZDXPYRJPNDTMRX-UHFFFAOYSA-N glutamine Natural products OC(=O)C(N)CCC(N)=O ZDXPYRJPNDTMRX-UHFFFAOYSA-N 0.000 claims description 2
- 238000002347 injection Methods 0.000 claims description 2
- 239000007924 injection Substances 0.000 claims description 2
- 239000004310 lactic acid Substances 0.000 claims description 2
- 235000014655 lactic acid Nutrition 0.000 claims description 2
- 239000001630 malic acid Substances 0.000 claims description 2
- 235000011090 malic acid Nutrition 0.000 claims description 2
- QATBRNFTOCXULG-UHFFFAOYSA-N n'-[2-(methylamino)ethyl]ethane-1,2-diamine Chemical compound CNCCNCCN QATBRNFTOCXULG-UHFFFAOYSA-N 0.000 claims description 2
- 125000000740 n-pentyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 claims description 2
- 235000006408 oxalic acid Nutrition 0.000 claims description 2
- UMJSCPRVCHMLSP-UHFFFAOYSA-N pyridine Natural products COC1=CC=CN=C1 UMJSCPRVCHMLSP-UHFFFAOYSA-N 0.000 claims description 2
- 150000003222 pyridines Chemical class 0.000 claims description 2
- 238000000926 separation method Methods 0.000 claims description 2
- 239000000243 solution Substances 0.000 claims description 2
- 239000004474 valine Substances 0.000 claims description 2
- 230000001590 oxidative effect Effects 0.000 abstract 1
- 238000003795 desorption Methods 0.000 description 27
- 238000000992 sputter etching Methods 0.000 description 23
- 239000004065 semiconductor Substances 0.000 description 19
- 238000005530 etching Methods 0.000 description 12
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 8
- 239000010949 copper Substances 0.000 description 8
- 229910052802 copper Inorganic materials 0.000 description 7
- 238000007872 degassing Methods 0.000 description 7
- 230000015572 biosynthetic process Effects 0.000 description 5
- 229920000642 polymer Polymers 0.000 description 5
- 239000013522 chelant Substances 0.000 description 4
- 229910000431 copper oxide Inorganic materials 0.000 description 4
- 229910021645 metal ion Inorganic materials 0.000 description 4
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 3
- 239000005751 Copper oxide Substances 0.000 description 3
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 3
- 238000004380 ashing Methods 0.000 description 3
- 239000012298 atmosphere Substances 0.000 description 3
- 229910052799 carbon Inorganic materials 0.000 description 3
- 239000011737 fluorine Substances 0.000 description 3
- 229910052731 fluorine Inorganic materials 0.000 description 3
- 239000000203 mixture Substances 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 239000007789 gas Substances 0.000 description 2
- GPRLSGONYQIRFK-UHFFFAOYSA-N hydron Chemical compound [H+] GPRLSGONYQIRFK-UHFFFAOYSA-N 0.000 description 2
- 230000003902 lesion Effects 0.000 description 2
- 238000003754 machining Methods 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- KCXVZYZYPLLWCC-UHFFFAOYSA-N EDTA Chemical compound OC(=O)CN(CC(O)=O)CCN(CC(O)=O)CC(O)=O KCXVZYZYPLLWCC-UHFFFAOYSA-N 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- 238000010521 absorption reaction Methods 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- XKRFYHLGVUSROY-UHFFFAOYSA-N argon Substances [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000005520 cutting process Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 238000000227 grinding Methods 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- 230000036571 hydration Effects 0.000 description 1
- 238000006703 hydration reaction Methods 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 238000010849 ion bombardment Methods 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 238000001556 precipitation Methods 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67167—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/02—Pretreatment of the material to be coated
- C23C14/021—Cleaning or etching treatments
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/02—Pretreatment of the material to be coated
- C23C16/0227—Pretreatment of the material to be coated by cleaning or etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/0206—Cleaning during device manufacture during, before or after processing of insulating layers
- H01L21/02063—Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02101—Cleaning only involving supercritical fluids
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67028—Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67184—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67207—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76814—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S438/00—Semiconductor device manufacturing: process
- Y10S438/906—Cleaning of wafer as interim step
Landscapes
- Engineering & Computer Science (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Cleaning Or Drying Semiconductors (AREA)
- Electrodes Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
- Weting (AREA)
- Physical Vapour Deposition (AREA)
- Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)
Abstract
一种在基材上沉积金属薄膜的方法包括超临界预清洁步骤、超临界解吸步骤、和金属沉积步骤。最好,预清洁步骤包括维持超临界二氧化碳和螯合剂与基材的接触,以便从基材的金属表面除去氧化物。更优选的是,预清洁步骤包括维持超临界二氧化碳、螯合剂、酸与基材的接触。另一种选择,是预清洁步骤包括维持超临界二氧化碳和胺与氧化物层的接触。解吸步骤包括维持超临界二氧化碳与基材的接触,以便从基材除去被吸收的材料。然后,金属沉积步骤将金属薄膜沉积在基材上,同时不使基材暴露于会氧化预清洁的基材表面的氧化材料中,同时不使基材暴露于会吸附到基材上的非挥发性吸附材料中。一种将金属薄膜沉积到基材的装置包括转移组件、超临界加工组件、真空组件、和金属沉积组件。超临界加工组件被连接到转移组件。真空组件将金属沉积组件连接到转移组件。在操作时,该沉积金属薄膜装置执行超临界预清洁步骤、超临界解吸步骤、和金属沉积步骤。
Description
相关申请
本申请要求申请日为2000年4月25日的在先美国专利申请№.60/199580的优先权,此处将其编入作参考。
技术领域
本发明涉及薄膜沉积领域。更具体地说,本发明涉及在预先进行包括基材的解吸或预清洁加工步骤的基材上沉积薄膜的领域。
背景技术
在半导体加工中,在沉积金属薄膜之前通常需要预先对基材进行解吸和清洁步骤。解吸和清洁步骤确保金属薄膜对基材的粘附,并在基材金属与金属薄膜之间还提供更好的接触阻力性(contactresistance)。
在现有技术中,解吸步骤、预清洁步骤和金属薄膜沉积步骤均是用组合工具进行的,以致使在解吸步骤或预清洁步骤与金属薄膜沉积步骤之间基材未暴露于大气中。
为了使基材脱气,现有技术的解吸步骤是在真空下加热基材。通过在真空下加热基材,被吸附到基材表面的或被吸收到基材中的物质从基材中被除掉。典型的加工条件包括10-3乇或更高的真空和温度在200-400℃范围之间。一般说,为了缩短加工时间就使用较高的温度,就较高的温度而言加工时间一般在30-60秒的范围内。
现有技术的预清洁步骤是将基材暴露于离子轰击中,这通常被称为溅射蚀刻预清洁。在溅射蚀刻预清洁中,氩离子、氢离子、氦离子、或它们的混合物,和电子形成等离子体,等离子体轰击基材表面而溅蚀掉一层薄的材料层。通常,在半导体加工中,蚀刻步骤在沉积金属薄膜之前进行。蚀刻步骤在基材中形成沟槽和达到底层金属层的通道孔。蚀刻步骤后,由于将基材暴露于大气中之故,在通道处底下的金属层之暴露表面形成氧化物。溅射蚀刻预清洁试图溅蚀掉氧化物,并在灰化和湿清洁之后除去任何残留的残余物。溅射蚀刻预清洁通常要求10-3乇或更高的真空。
现有技术的一种特定的金属沉积方法是,在半导体基材上沉积隔离金属层和铜晶籽层,从而在通道孔处与底下的铜层形成接触。在蚀刻步骤中,形成穿透二氧化硅层与氮化硅层而到达底下铜层的通道孔。在现有技术的另一种蚀刻步骤中,通道孔在低-k电介质材料诸如聚合物基材料和含氟或碳的氧化物中形成。在蚀刻步骤之后和金属薄膜沉积之前,等离子体灰化步骤和湿清洁步骤基本上除掉光敏抗蚀剂、光敏抗蚀剂残余物、蚀刻残余物和留下的被吸收到基材表面的材料残余物和留在通孔处底下的铜层上的薄氧化铜层上的材料残余物。现有技术的解吸步骤除去吸附在基材表面上的材料。所述溅蚀预清洁除去通道孔中的氧化铜层,并除去通道孔周边基材的暴露层。然后在沉积隔离层金属后继之以铜晶籽层。随后,是电镀步骤将另外的铜层沉积在铜晶籽层上。
现有技术的组合工具包括无人管理站(handoff station)、前转移组件、后转移组件、脱气组件、溅射蚀刻组件、和金属沉积组件。前转移组件包括第一机械手。后转移组件包括第二机械手。无人管理站通过第一阀门或负载锁定装置(loadlock)而与前转移组件相连。脱气组件和溅射蚀刻组件被连接到前转移组件。后转移组件通过第二阀门或负载锁定装置而与前转移组件相连。金属沉积组件与后转移组件相连。通常,组合工具包括二个脱气组件、二个溅射蚀刻组件、和二个或多个金属沉积组件。在操作时,前后转移组件是在真空下操作的。现有技术中用于解吸、预清洁和金属沉积的第二组合工具包括单一的转移组件、脱气组件、溅射蚀刻组件、和金属沉积组件,在此情况下脱气组件、溅射蚀刻组件、和金属沉积组件与单一的转移组件相连。
组合工具的操作以第一机械手将基材从无人管理站输送到脱气组件开始,在进行脱气步骤。然后,第一机械手将基材输送到溅射蚀刻组件,在该处进行溅射蚀刻预清洁。然后,第一机械手将基材输送到第二机械手,而将基材置于金属沉积组件中。在沉积金属薄膜之后,然后第二机械手将基材返回到第一机械手,从而将基材返回到无人管理站。
由于现有技术的解吸步骤是在提高温度下进行的,因此提高的温度有可能引起基材的损坏。这种担心对聚合物材料是特别明显的,因为未来的整体电路可能使用低介电常数性的聚合物材料作绝缘体。
在预清洁步骤中,等离子体能够引起基材表面的等离子体损坏。还有,众所周知的,是溅射蚀刻预清洁会在沟槽的边缘和通孔的边缘引起角隅削剪(corner clipping)而产生小平面。此角隅削剪对较小尺寸的整体电路是特别有害的,因为角隅削剪减少毗邻线的分离,从而导致在毗邻线之间的不能接受的电干扰。不但溅射蚀刻预清洁会引起整体电路的物理损害,而且还会能引起电损害。
此外,在沟槽底下与通孔中的金属层的溅射,除了引起溅射材料在沟槽和通孔侧壁的沉积之外还会引起研磨。例如,在通孔中的氧化铜层的溅射蚀刻预清洁引起铜和氧化铜沉积在通孔侧壁。而且,还有,溅射蚀刻预清洁对预清洁聚合物基材料是不合适的,因为会出现预料到的在溅射蚀刻预清洁中由于物理轰击而引起的损坏。还有,即使够能使用溅射蚀刻预清洁的话,当聚合物材料被暴露时就不能使用氢离子,因为该氢会水合所述聚合物基材料。另外,溅射蚀刻预清洁随沟槽与通孔的长径比(深度除以宽度)增加,其效果逐渐变差。
脱气组件与溅射蚀刻组件二者均要求高真空泵和相关的真空管道设备,这就意味着增加组合工具的购置与维护费用,因为它们是相当复杂的并要求经常维修以保证不成为微粒污染源。
当前急需的是一种不要求过高温度且与金属沉积相适应的解吸方法。
当前急需的是一种不使用等离子体且与金属沉积相适应的预清洁方法。
当前急需的是一种与低k-材料诸如聚合物材料、和含氟或碳的氧化物相适应的解吸方法。
当前急需的是一种与低k-材料诸如聚合物材料、和含氟或碳的氧化物相适应的预清洁方法。
当前急需的是一种与金属沉积相适应且不昂贵的解吸方法。
当前急需的是一种与金属沉积相适应且不昂贵的预清洁方法。
发明内容
一种在基材上沉积金属薄膜的方法,包括超临界预清洁步骤、超临界解吸步骤、和金属沉积步骤。最好,预清洁步骤包括维持超临界二氧化碳和螯合剂与基材的接触,以便从基材的金属表面除去氧化物。更优选的是,该预清洁步骤包括维持超临界二氧化碳、螯合剂、一种酸与基材的接触,在此情况下该酸溶解氧化物层同时螯合剂被连接到疏松的金属离子并将其带走。另一种选择是,预清洁步骤包括维持超临界二氧化碳和一种胺与所述氧化物层的接触,在此情况下该胺溶解氧化物层并带走金属离子。解吸步骤包括维持超临界二氧化碳与基材的接触,以便从基材除去被吸收的材料。然后,金属沉积步骤将金属薄膜沉积在基材上,同时不使基材暴露于会氧化预清洁的基材表面的氧化材料中,同时不使基材暴露于会吸附到基材的非挥发性吸附材料中。
一种将金属薄膜沉积到基材的装置,它包括转移组件、超临界加工组件、真空组件、和金属沉积组件。超临界加工组件被连接到转移组件。真空组件将金属沉积组件连接到转移组件。在操作时,沉积金属薄膜的装置执行超临界预清洁步骤、超临界解吸步骤、和金属沉降步骤。
在本发明的一个方面,提供了一种在基材上沉积金属薄膜的方法,包括以下各步骤:
a.维持超临界二氧化碳和螯合剂与基材的接触,以便从基材的金属表面除去氧化物层,由此形成预清洁的基材;和
b.在不使预清洁基材暴露于会氧化预清洁基材金属表面的材料之前提下,在预清洁基材上沉积金属薄膜。
在本发明的一个方面,提供了一种在基材上沉积金属薄膜的方法,包括以下各步骤:
a.维持超临界二氧化碳和一种胺与基材的接触,以便从基材的金属表面除去氧化物层,由此形成预清洁的基材;和
b.在不使预清洁的基材暴露于会氧化预清洁基材金属表面的材料之前提下,在预清洁基材上沉积金属薄膜。
在本发明的一个方面,提供了一种在基材上沉积一种薄膜的方法,包括以下各步骤:
a.维持超临界二氧化碳在第一超临界加工组件中与基材的接触,以便从基材除去选自由被吸收物和被吸附物组成的组中的吸着物,由此形成解吸的基材;和
b.将该薄膜沉积在第二组件解吸的基材上,其中所述解吸的基材是从第一组件转移至第二组件中的,所述转移通过阀进行而不使基材暴露于周围环境中。
在本发明的一个方面,提供了一种在基材上沉积金属薄膜的方法,包括以下各步骤:
a.维持超临界二氧化碳与基材的接触,以便从基材除去选自由被吸收物和被吸附物组成的组中的吸着物;
b.维持超临界二氧化碳和一种螯合剂与基材的接触,以便从基材的金属表面除去氧化物层;和
c.随后在不使基材暴露于会在沉积金属薄膜之前形成非挥发性吸着物的第一种材料和不使基材暴露于会在沉积金属薄膜之前形成氧化物的第二种材料的前提下,在基材上沉积金属薄膜。
在本发明的一个方面,提供了一种在基材上沉积金属薄膜的方法,包括以下各步骤:
a.维持超临界二氧化碳与基材的接触,以便从基材除去选自由被吸收物和被吸附物组成的组中的吸着物;
b.维持超临界二氧化碳和一种胺与基材接触的步骤,以便从基材的金属表面除去氧化物层;和
c.随后在不使基材暴露于会在沉积金属薄膜之前形成非挥发性吸着物的第一种材料和不使基材暴露于会在沉积金属薄膜之前形成氧化物的第二种材料的前提下,在基材上沉积金属薄膜。
在本发明的一个方面,提供了一种在基材上沉积金属薄膜的装置,包括:
a.一种转移组件;
b.一种与转移组件相连的超临界加工组件;
c.一种金属沉积组件;
d.一种将金属沉积组件连接到转移组件的真空组件;和
e.在超临界加工组件和金属沉积组件之间的转移基材的设备。
在本发明的一个方面,提供了一种在基材上沉积金属薄膜的装置,包括:
a.包括入口与第一机械手的转移组件;
b.被连接到转移组件的超临界加工组件;
c.金属沉积组件;和
d.将金属沉积组件连接到转移组件的真空组件,该真空组件包括真空室和第二机械手,其中第一机械手和第二机械手配置成在超临界加工组件和金属沉积组件之间转移基材。
在本发明的一个方面,提供了一种装置,包括:
a.包括一个或多个超临界组件的前转移组件,该超临界组件配置成使用超临界溶液处理基材;
b.与前转移组件相连的后转移组件,该后转移组件包括一个或多个配置成在处理过的基材上沉积材料层的沉积组件;和
c.在前转移组件和后转移组件之间转移基材而不使基材暴露于环境的设备。
附图说明
图1示意性地说明本发明的优选的方法。
图2示意性地说明本发明的另一优选的方法。
图3示意性地说明本发明的优选的金属沉积组合工具。
具体实施方式
在图1中示意性地说明本发明的优选的方法。优选方法20将金属薄膜沉积在基材上。最好,基材为在整个电介质材料到底层金属层具有通孔的半导体基材。当金属薄膜被沉积在半导体基材上时,金属薄膜在通孔处与底层金属层接触。更优选的是,该半导体基材包括在双重的金属镶嵌结构中的通孔和沟槽。在金属镶嵌结构中,金属层也在通孔处与底层金属层接触。另一种选择是,金属薄膜被沉积在另一种基材上,在此金属薄膜与另一种基材上的被暴露的金属表面相接触。
优选方法20包括超临界预清洁步骤22、超临界解吸步骤24、和金属沉积步骤26。在超临界预清洁步骤22中,基材被保持于超临界室中且最好被暴露于超临界二氧化碳与螯合剂中。与超临界二氧化碳相混合的螯合剂与底层金属层上的氧化物反应,而形成底层金属的螯合物。超临界二氧化碳将螯合物带走。在超临界解吸步骤24中,基材保持在临界室中且暴露于超临界二氧化碳中,从而从基材中解吸被吸附的或被吸收的材料。中且最好被暴露于超临界二氧化碳与螯合剂中。与超临界二氧化碳相混合的螯合剂与底层金属层上的氧化物反应,而形成底层金属的螯合物。超临界二氧化碳将螯合物带走。在超临界解吸步骤24中,基材保持在临界室中且暴露于超临界二氧化碳中,从而从基材中解吸被吸附的或被吸收的材料。
更优选的是,超临界预清洁步骤22除包括维持超临界二氧化碳和螯合剂与基材的接触外,还维持一种酸与基材的接触。该酸起溶解氧化物的作用而螯合剂与疏松的金属离子相连接并将其带走。
最好,螯合剂选自由2,4-戊烷-二酮、1,1,1,6,6,6-六氟-2,4-戊二酮、1,1,1-三氟戊烷-2,4-二酮、2,6-二甲基庚烷-3,5-二酮、2,2,7-三甲基辛烷-2,4-二酮、2,2,6,6-四甲基-庚烷-3,5-二酮、乙二胺二乙酸(EDTA)、和次氮基三乙酸(NTA)的组成的组中。
优选的是,酸选自由有机酸或无机酸组成的组中,这取决于开始被预清洁的具体氧化物。最好,将有机酸用于预清洁氧化铜。更优选的是,对预清洁氧化铜而言,有机酸选自由乙酸、蚁酸、草酸和丙二酸;α-羟基酸诸如羟基乙酸、柠檬酸、苹果酸或乳酸;或氨基酸诸如甘氨酸、丙氨酸、白氨酸、缬氨酸、谷氨酰胺或赖氨酸组成的组中。
优选的是,无机酸被用于预清洁氧化铝。更优选的是,对预清洁氧化铝而言,无机酸选自由氢氟酸和缓冲的氢氟酸配方诸如氟化铵和氟化氢铵组成的组中。
另一种可选择的是,在预清洁步骤22中,螯合剂和酸被一种胺所取代。胺起溶解氧化物并将金属离子带走的作用。最好,该胺选自由三乙醇胺、2-甲基氨基乙醇、吡啶、2,2′-双吡啶、和五甲基二亚乙基三胺组成的组中。
在超临界预清洁步骤22中,超临界室最好被加压到超过临界压力的高压而超临界二氧化碳和螯合剂在基材上流动。更优选的是,超临界二氧化碳、螯合剂、和酸在基材上流动。可供选择的是,超临界二氧化碳和胺是在基材上流动。
为了在超临界室内达到超临界状态,室内的温度必须维持在或高于临界温度,该温度为30.5℃。此后,压力在高压与低压之间至少循环1.5次。最好,低压高于临界压力。
超临界解吸步骤24优选为超临界预清洁步骤22的一部份。超临界预清洁步骤22中,被吸收到基材或基材中的气体和液体被解吸。为了改善超临界解吸步骤24应升高室内的温度。还可供选择的是,超临界解吸步骤24作为独立步骤可在超临界预清洁步骤22之前或之后进行。
优选的是,在超临界预清洁步骤22与超临界解吸步骤24期间,室内的温度为31-100℃。还可供选择的是,室内的温度可维持在低于基材的温度限之内。
金属沉积步骤26包括将金属薄膜沉积在基材上。最好,基材包括通到底层金属层的通孔。金属沉积步骤26优选将金属薄膜沉积在通孔中,从而使金属薄膜与底层金属层接触。最好,金属沉积步骤26为化学蒸汽沉积(CVD)过程。另一种可选择的是,金属沉积步骤26为物理蒸汽沉积(PVD)过程。
重要的是,在超临界预清洁步骤22,超临界解吸步骤24和金属沉积步骤26之间,基材不被暴露于大气或不被暴露会在基材上形成非挥发性吸收的被吸附物、不会在基材内形成不挥发性被吸收物或与基材反应的其他气体中。在基材上挥发性被吸附物的形成并不是有害的,因为在超临界预清洁步骤22的终点或在超临界解吸步骤24的终点,短暂地暴露于真空中会引起挥发性被吸收物快速地从基材中解吸。同样,挥发性被吸收物的形成并不是有害的,因为短暂地暴露于真空中会引起挥发性被吸收物快速地从基材中解吸。最好,在超临界预清洁步骤22,起临界解吸步骤24和金属沉积步骤26之间,基材被保持在真空下。另外,也可在超临界预清洁步骤22,超临界解吸步骤24和金属沉积步骤26之间,将基材保持在惰性气体环境中,在此情况下惰性气体环境既不会形成非挥发性被吸附物也不会形成非挥发性被吸收物。
由于使用超临界预清洁步骤22而不使用溅射蚀刻预清洁,从而避免了基材的等离子体损害。另外,使用超临界预清洁步骤22而不使用溅射蚀刻预清洁,还避免在沟槽与通孔中的角隅削剪(cornerclipping)和小平面的产生,避免在沟槽与通孔中产生研磨,和避免将溅射的金属沉积在沟槽与通孔的侧壁上。另外,使用超临界预清洁步骤22而不使用溅射蚀刻预清洁,还避免制造在基材上的整体电路的电损害。而且,超临界预清洁步骤22与溅射蚀刻预清洁相比,对聚合物基电介质材料而言会造成较小的损害。
使用超临界解吸步骤24而不在真空下加热基材以使基材脱气,从而避免了在加工基材中使用过高的温度,此点对聚合物基电介质材料而言特别重要。
根据具体方法的需要,超临界预清洁步骤22或超临界解吸步骤24可能并不是必须的。在本发明的第一种可供选择的方法中,进行超临界预清洁步骤22和金属沉积步骤26,但是并不进行超临界解吸步骤24。在本发明的第二种可供选择的方法中,进行超临界解吸步骤24和金属沉积步骤26,但并不进行超临界预清洁步骤22。在本发明的第三种可供选择的方法中,第二可供选择方法金属沉积步骤26被另外的沉积步骤所取代。在这可选择的沉积步骤中,一种不是金属薄膜的薄膜被沉积在基材上。
附图2示意地说明本发明的第四种可供选择的方法。第四种可供选择的方法30是在优选方法20中添加上超临界残余物去除步骤32。超临界残余物去除步骤32去掉在先前的蚀刻步骤后仍留在基材上的残余物。在先前的蚀刻步骤中,光致抗蚀剂掩盖基材的某些部分以致只有基材的未掩盖部分被蚀刻掉。蚀刻步骤还蚀刻光致抗蚀剂,有时光致抗蚀剂被完全蚀刻掉。一般说,蚀刻步骤后在基材上存在某些残留的光致抗蚀剂,且在基材上还存在蚀刻残留物和光致抗蚀剂残留物。因此,蚀刻步骤后仍留在基材上的残留物包括光致抗蚀剂残留物、蚀刻残留物、和可能残留的光致抗蚀剂。超临界残余物去除步骤32包括将基材与残留物暴露于超临界二氧化碳和一种溶剂中,直至残留物被从基材上除掉时为止。超临界残余物去除步骤32是2000年10月25日提交的美国专利申请№ 09/697 227的主题,此处将其全文引入作参考文献。
本发明的优选金属沉积组合工具被示于图3中。该优选的金属沉积组合工具40包括装载机组件42、前转移组件44、前转移组件机械手46、第一-第四超临界组件(48-51)、后转移组件52、后转移组件机械手54、和第一-第四金属沉积组件(56-59)。装载机组件42包括第一和第二负载锁定装置(60与62)、和装载机机械手64。第一和第二负载锁定装置(60与62)包括前转移组件的入口。
装载机组件42、前转移组件机械手46、第一-第四超临界组件(48-51)均与前转移组件相连。后转移组件52通过阀66与前转移组件相连。后转移组件机械手54与第一-第四金属沉积组件(56-59)被连接到后转移组件52。
在操作中,使用标准机械界面(SMIF)概念的第一与第二前开启单元容器(FOUP)(68与70)与装载机组件42相连。优选的是,第一容器68最初含有在湿清洁法中已被蚀刻、灰化和清洁了的半导体基材72。装载机机械手64将半导体基材72从第一容器68转移到第一负载锁定装置60。负载锁定装置60被关闭并被抽真空。然后负载锁定装置60与真空状态的前转移组件机械手46相开通。前转移组件机械手46将半导体基材72转移到第一超临界组件48,在此情况下发生超临界预清洁和解吸(22与24)(图1)。同时,将另外的半导体基材从第一容器通过第一负载锁定装置60装到第二-三超临界组件(49-51)。可供选择的是,FOUP被SMIF容器所取代,或打开盒。
一旦完成超临界预清洁和解吸步骤(22和24),半导体基材72从第一起临界组件48通过阀66被转移到后转移组件机械手52。后转移组件52也是在真空下操作的。然后后转移组件机械手54将半导体基材72转移到第一金属沉积组件56,在该处进行金属沉积步骤(图1)。同时,另外的半导体基材从第二-三超临界组件(49-51)转移到第二-三金属沉积组件(57-59)。
一旦完成金属沉积步骤26,借助第二转移组件机械手54而将半导体基材从第一金属沉积组件56转移到第一转移组件机械手46。然后第一转移组件机械手46将半导体基材72转移到被加压到大气压的第一负载锁定装置60。然后借助于装载机组件机械手46将半导体基材72转移到第一容器68。随后,另外的半导体基材从第二-三金属沉积组件(57-59)被转移到第一容器68。以后,来自第二容器70的更多的半导体基材被加工并返回到第二容器70。
对本技术领域的熟练人员来说,将或多或少的超临界加工组件连接到前转移组件44是显而易见的。此外,对本技术领域的熟练人员来说,将或多或少的金属沉积组件连接到后转移组件52也是显而易见的。还有,对本技术领域的熟练人员来说,前转移组件44的单个负载锁定装置对于前转移组件44的入口已经足够。
在第一种可供选择的金属沉积组合工具中,前转移组件44是在大气压下操作,且对半导体晶片提供惰性气体环境的。在第一种可供选择的金属沉积组合工具中,第三和第四负载锁定装置将前转移组件44连接到后转移组件52。还有,在第一种可供选择的金属沉积组合工具中,惰性气体注入装置与前转移组件相连。
在第二种可供选择的金属沉积组合工具中,第一与第二超临界加工组件(48和49)和第一第二金属沉积组件(56和57)与单一的转移组件相连。与优选的金属沉积工具40相比,第一可供选择的金属沉积工具不被优选,因为通过在各别的转移组件上排列各组件,该优选的金属沉积工具使超临界加工组件与金属沉积组件相分开。此种方式可能提供更清洁的金属沉积过程。第一种可供选择的金属沉积组合工具被介绍于2000年11月1日提交的美国专利申请№ 09/704 641中,此处将其全文引入作参考文献。
对本技术领域的熟练人员来说,在不背离由权利要求书所定义的本发明的精神与范围之前提下,对优选的实施方案作出其他的改进是显而易见的。
Claims (41)
1.一种在基材上沉积金属薄膜的方法,包括以下各步骤:
a.维持超临界二氧化碳和螯合剂与基材的接触,以便从基材的金属表面除去氧化物层,由此形成预清洁的基材;和
b.在不使预清洁基材暴露于会氧化预清洁基材金属表面的材料之前提下,在预清洁基材上沉积金属薄膜。
2.权利要求1的方法,其中维持超临界二氧化碳和螯合剂与基材接触的步骤还包括维持一种酸与基材的接触以致使该酸溶解氧化物层。
3.权利要求2的方法,其中的酸选自由有机酸与无机酸组成的组中。
4.权利要求2的方法,其中氧化物层包括氧化铜。
5.权利要求4的方法,其中的酸包括有机酸。
6.权利要求4的方法,其中酸选自由乙酸、蚁酸、草酸、丙二酸、α-羟基酸、羟基乙酸、柠檬酸、苹果酸、乳酸、氨基酸、甘氨酸、丙氨酸、白氨酸、缬氨酸、谷氨酰胺和赖氨酸组成的组中。
7.权利要求2的方法,其中的氧化物包括氧化铝。
8.权利要求7的方法,其中的酸包括无机酸。
9.权利要求7的方法,其中的酸选自由氢氟酸、缓冲的氢氟酸、氟化铵和氟化氢铵组成的组中。
10.权利要求1的方法,还包括维持超临界二氧化碳与基材接触的步骤,以便在沉积金属薄膜步骤之前从基材中解吸被吸收物。
11.权利要求1的方法,还包括维持超临界二氧化碳和一种溶剂与基材接触的步骤,以便在沉积金属薄膜步骤之前从基材除去由选自由光致抗蚀剂、光致抗蚀剂残余物和蚀刻残余物组成的组中的残留物。
12.权利要求1的方法,其中螯合剂选自由2,4-戊烷-二酮、1,1,1,6,6,6-六氟-2,4-戊二酮、1,1,1-三氟戊烷-2,4-二酮、2,6-二甲基庚烷-3,5-二酮、2,2,7-三甲基辛烷-2,4-二酮、2,2,6,6-四甲基-庚烷-3,5-二酮、乙二胺二乙酸和次氮基三乙酸组成的组中。
13.一种在基材上沉积金属薄膜的方法,包括以下各步骤:
a.维持超临界二氧化碳和一种胺与基材的接触,以便从基材的金属表面除去氧化物层,由此形成预清洁的基材;和
b.在不使预清洁的基材暴露于会氧化预清洁基材金属表面的材料之前提下,在预清洁基材上沉积金属薄膜。
14.权利要求13的方法,其中的胺选自由三乙醇胺、2-甲基氨基乙醇、吡啶、2,2′-双吡啶和五甲基二亚乙基三胺组成的组中。
15.权利要求13的方法,还包括维持超临界二氧化碳和一种溶剂与基材接触的步骤,以便在沉积金属薄膜步骤之前从基材除去选自由光致抗蚀剂、光致抗蚀剂残余物和蚀刻残余物组成的组中的残留物。
16.一种在基材上沉积一种薄膜的方法,包括以下各步骤:
a.维持超临界二氧化碳在第一超临界加工组件中与基材的接触,以便从基材除去选自由被吸收物和被吸附物组成的组中的吸着物,由此形成解吸的基材;和
b.将该薄膜沉积在第二组件解吸的基材上,其中所述解吸的基材是从第一组件转移至第二组件中的,所述转移通过阀进行而不使基材暴露于周围环境中。
17.权利要求16的方法,其中的薄膜包括金属薄膜。
18.权利要求17的方法,还包括维持超临界二氧化碳和螯合剂与基材接触的步骤,以便在基材上沉积金属薄膜步骤之前,从基材的金属表面除去氧化物层。
19.权利要求18的方法,其中维持超临界二氧化碳和螯合剂与基材接触的步骤,还包括维持一种酸与基材的接触,以便使该酸溶解所述氧化物层。
20.权利要求17的方法,还包括维持超临界二氧化碳和一种胺与基材接触的步骤,以便在基材上沉积金属薄膜步骤之前,从基材的金属表面除去氧化物层。
21.权利要求17的方法,还包括维持超临界二氧化碳和一种溶剂与基材接触的步骤,以便在沉积金属薄膜步骤之前,从基材除去选自由光致抗蚀剂、光致抗蚀剂残余物和蚀刻残余物组成组中的残留物。
22.一种在基材上沉积金属薄膜的方法,包括以下各步骤:
a.维持超临界二氧化碳与基材的接触,以便从基材除去选自由被吸收物和被吸附物组成的组中的吸着物;
b.维持超临界二氧化碳和一种螯合剂与基材的接触,以便从基材的金属表面除去氧化物层;和
c.随后在不使基材暴露于会在沉积金属薄膜之前形成非挥发性吸着物的第一种材料和不使基材暴露于会在沉积金属薄膜之前形成氧化物的第二种材料的前提下,在基材上沉积金属薄膜。
23.权利要求22的方法,其中维持超临界二氧化碳和螯合剂与基材接触的步骤还包括维持一种酸与基材的接触,以致该酸溶解所述氧化物层。
24.权利要求22的方法,还包括维持超临界二氧化碳和一种溶剂与基材接触的步骤,以便在沉积金属薄膜步骤之前,除去选自由光致抗蚀剂、光致抗蚀剂残余物和蚀刻残余物组成组中的吸着物。
25.一种在基材上沉积金属薄膜的方法,包括以下各步骤:
a.维持超临界二氧化碳与基材的接触,以便从基材除去选自由被吸收物和被吸附物组成的组中的吸着物;
b.维持超临界二氧化碳和一种胺与基材接触的步骤,以便从基材的金属表面除去氧化物层;和
c.随后在不使基材暴露于会在沉积金属薄膜之前形成非挥发性吸着物的第一种材料和不使基材暴露于会在沉积金属薄膜之前形成氧化物的第二种材料的前提下,在基材上沉积金属薄膜。
26.权利要求25的方法,还包括维持超临界二氧化碳和一种溶剂与基材接触的步骤,以便在沉积金属薄膜步骤之前,除去选自由光致抗蚀剂、光致抗蚀剂残余物和蚀刻残余物组成的组中的残留物。
27.一种在基材上沉积金属薄膜的装置,包括:
a.一种转移组件;
b.一种与转移组件相连的超临界加工组件;
c.一种金属沉积组件;
d.一种将金属沉积组件连接到转移组件的真空组件;和
e.在超临界加工组件和金属沉积组件之间的转移基材的设备。
28.权利要求27的装置,其中的转移组件包括入口与第一机械手。
29.权利要求28的装置,其中的入口包括负载锁定装置。
30.权利要求29的装置,还包括连接到转移组件的惰性气体注入装置,以致在操作时转移组件提供惰性气体环境。
31.权利要求29的装置,还包括连接到转移组件的真空泵,以致转移组件是在真空下操作的。
32.权利要求28的装置,还包括将转移组件连接到真空组件的阀。
33.权利要求32的装置,还包括连接到真空组件的真空泵。
34.权利要求28的装置,还包括将转移组件连接到真空组件的负载锁定装置。
35.权利要求27的装置,其中超临界加工组件包括一个压力容器。
36.一种在基材上沉积金属薄膜的装置,包括:
a.包括入口与第一机械手的转移组件;
b.被连接到转移组件的超临界加工组件;
c.金属沉积组件;和
d.将金属沉积组件连接到转移组件的真空组件,该真空组件包括真空室和第二机械手,其中第一机械手和第二机械手配置成在超临界加工组件和金属沉积组件之间转移基材。
37.一种装置,包括:
a.包括一个或多个超临界组件的前转移组件,该超临界组件配置成使用超临界溶液处理基材;
b.与前转移组件相连的后转移组件,该后转移组件包括一个或多个配置成在处理过的基材上沉积材料层的沉积组件;和
c.在前转移组件和后转移组件之间转移基材而不使基材暴露于环境的设备。
38.权利要求37的装置,其中在第一转移组件和第二转移组件之间转移基材的设备包含一个或多个转移机械手。
39.权利要求37的装置,进一步包含在前转移组件和后转移组件之一中用于分离基材的阀。
40.权利要求37的装置,进一步包含用于引入基材的装载机组件。
41.权利要求40的装置,其中装载机组件与前转移组件相连。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US19958000P | 2000-04-25 | 2000-04-25 | |
US60/199,580 | 2000-04-25 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN1425194A CN1425194A (zh) | 2003-06-18 |
CN1216415C true CN1216415C (zh) | 2005-08-24 |
Family
ID=22738145
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN018083307A Expired - Fee Related CN1216415C (zh) | 2000-04-25 | 2001-04-24 | 沉积金属薄膜的方法和包括超临界干燥/清洁组件的金属沉积组合工具 |
Country Status (9)
Country | Link |
---|---|
US (2) | US6890853B2 (zh) |
EP (1) | EP1277233A2 (zh) |
JP (2) | JP2003534646A (zh) |
KR (1) | KR100693691B1 (zh) |
CN (1) | CN1216415C (zh) |
AU (1) | AU2001255656A1 (zh) |
IL (2) | IL152376A0 (zh) |
TW (1) | TWI287853B (zh) |
WO (1) | WO2001082368A2 (zh) |
Families Citing this family (73)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW539918B (en) * | 1997-05-27 | 2003-07-01 | Tokyo Electron Ltd | Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process |
US6497239B2 (en) | 1999-08-05 | 2002-12-24 | S. C. Fluids, Inc. | Inverted pressure vessel with shielded closure mechanism |
US6602349B2 (en) | 1999-08-05 | 2003-08-05 | S.C. Fluids, Inc. | Supercritical fluid cleaning process for precision surfaces |
US6748960B1 (en) * | 1999-11-02 | 2004-06-15 | Tokyo Electron Limited | Apparatus for supercritical processing of multiple workpieces |
KR100742473B1 (ko) * | 1999-11-02 | 2007-07-25 | 동경 엘렉트론 주식회사 | 제 1 및 제 2 소재를 초임계 처리하는 장치 및 방법 |
IL152376A0 (en) * | 2000-04-25 | 2003-05-29 | Tokyo Electron Ltd | Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module |
AU2001290171A1 (en) * | 2000-07-26 | 2002-02-05 | Tokyo Electron Limited | High pressure processing chamber for semiconductor substrate |
JP3955724B2 (ja) * | 2000-10-12 | 2007-08-08 | 株式会社ルネサステクノロジ | 半導体集積回路装置の製造方法 |
US7326673B2 (en) * | 2001-12-31 | 2008-02-05 | Advanced Technology Materials, Inc. | Treatment of semiconductor substrates using long-chain organothiols or long-chain acetates |
US7557073B2 (en) * | 2001-12-31 | 2009-07-07 | Advanced Technology Materials, Inc. | Non-fluoride containing supercritical fluid composition for removal of ion-implant photoresist |
US6924086B1 (en) * | 2002-02-15 | 2005-08-02 | Tokyo Electron Limited | Developing photoresist with supercritical fluid and developer |
US20030168431A1 (en) * | 2002-02-25 | 2003-09-11 | Ritdisplay Corporation | Etchant composition for silver alloy |
EP1495366A1 (en) * | 2002-04-12 | 2005-01-12 | Supercritical Systems Inc. | Method of treatment of porous dielectric films to reduce damage during cleaning |
JP3949504B2 (ja) * | 2002-04-25 | 2007-07-25 | 英夫 吉田 | 母材表面の活性化処理方法および活性化処理装置 |
US6846380B2 (en) | 2002-06-13 | 2005-01-25 | The Boc Group, Inc. | Substrate processing apparatus and related systems and methods |
US20040118812A1 (en) * | 2002-08-09 | 2004-06-24 | Watkins James J. | Etch method using supercritical fluids |
JP2004158534A (ja) * | 2002-11-05 | 2004-06-03 | Kobe Steel Ltd | 微細構造体の洗浄方法 |
US6722642B1 (en) | 2002-11-06 | 2004-04-20 | Tokyo Electron Limited | High pressure compatible vacuum chuck for semiconductor wafer including lift mechanism |
US20040112409A1 (en) * | 2002-12-16 | 2004-06-17 | Supercritical Sysems, Inc. | Fluoride in supercritical fluid for photoresist and residue removal |
JP2004228526A (ja) * | 2003-01-27 | 2004-08-12 | Tokyo Electron Ltd | 基板処理方法および半導体装置の製造方法 |
JP2004225152A (ja) * | 2003-01-27 | 2004-08-12 | Tokyo Electron Ltd | 基板処理方法および半導体装置の製造方法 |
US8241701B2 (en) * | 2005-08-31 | 2012-08-14 | Lam Research Corporation | Processes and systems for engineering a barrier surface for copper deposition |
US20040198066A1 (en) * | 2003-03-21 | 2004-10-07 | Applied Materials, Inc. | Using supercritical fluids and/or dense fluids in semiconductor applications |
US8580076B2 (en) * | 2003-05-22 | 2013-11-12 | Lam Research Corporation | Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith |
US7226512B2 (en) * | 2003-06-18 | 2007-06-05 | Ekc Technology, Inc. | Load lock system for supercritical fluid cleaning |
US20050158664A1 (en) * | 2004-01-20 | 2005-07-21 | Joshua Tseng | Method of integrating post-etching cleaning process with deposition for semiconductor device |
US7141496B2 (en) * | 2004-01-22 | 2006-11-28 | Micell Technologies, Inc. | Method of treating microelectronic substrates |
US20050183740A1 (en) * | 2004-02-19 | 2005-08-25 | Fulton John L. | Process and apparatus for removing residues from semiconductor substrates |
US20050261150A1 (en) * | 2004-05-21 | 2005-11-24 | Battelle Memorial Institute, A Part Interest | Reactive fluid systems for removing deposition materials and methods for using same |
US20090304914A1 (en) * | 2006-08-30 | 2009-12-10 | Lam Research Corporation | Self assembled monolayer for improving adhesion between copper and barrier layer |
US20060065288A1 (en) * | 2004-09-30 | 2006-03-30 | Darko Babic | Supercritical fluid processing system having a coating on internal members and a method of using |
US20060065189A1 (en) * | 2004-09-30 | 2006-03-30 | Darko Babic | Method and system for homogenization of supercritical fluid in a high pressure processing system |
US7387973B2 (en) * | 2004-09-30 | 2008-06-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for improving low-K dielectrics by supercritical fluid treatments |
US20060130966A1 (en) * | 2004-12-20 | 2006-06-22 | Darko Babic | Method and system for flowing a supercritical fluid in a high pressure processing system |
US20060135047A1 (en) * | 2004-12-22 | 2006-06-22 | Alexei Sheydayi | Method and apparatus for clamping a substrate in a high pressure processing system |
US20060134332A1 (en) * | 2004-12-22 | 2006-06-22 | Darko Babic | Precompressed coating of internal members in a supercritical fluid processing system |
US7140393B2 (en) * | 2004-12-22 | 2006-11-28 | Tokyo Electron Limited | Non-contact shuttle valve for flow diversion in high pressure systems |
US7434590B2 (en) * | 2004-12-22 | 2008-10-14 | Tokyo Electron Limited | Method and apparatus for clamping a substrate in a high pressure processing system |
US7435447B2 (en) * | 2005-02-15 | 2008-10-14 | Tokyo Electron Limited | Method and system for determining flow conditions in a high pressure processing system |
US7767145B2 (en) | 2005-03-28 | 2010-08-03 | Toyko Electron Limited | High pressure fourier transform infrared cell |
US20060226117A1 (en) * | 2005-03-29 | 2006-10-12 | Bertram Ronald T | Phase change based heating element system and method |
US7789971B2 (en) | 2005-05-13 | 2010-09-07 | Tokyo Electron Limited | Treatment of substrate using functionalizing agent in supercritical carbon dioxide |
US7524383B2 (en) * | 2005-05-25 | 2009-04-28 | Tokyo Electron Limited | Method and system for passivating a processing chamber |
US8747960B2 (en) * | 2005-08-31 | 2014-06-10 | Lam Research Corporation | Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide |
US8771804B2 (en) * | 2005-08-31 | 2014-07-08 | Lam Research Corporation | Processes and systems for engineering a copper surface for selective metal deposition |
JP4963815B2 (ja) | 2005-09-07 | 2012-06-27 | ソニー株式会社 | 洗浄方法および半導体装置の製造方法 |
US20080292895A1 (en) * | 2005-11-29 | 2008-11-27 | Nanon A/S | Method of Producing a Gas Barrier Polymer Foil and a Gas Barrier Polymer Foil |
MY148605A (en) * | 2006-08-30 | 2013-05-15 | Lam Res Corp | Processes and integrated systems for engineering a substrate surface for metal deposition |
KR101506352B1 (ko) * | 2006-08-30 | 2015-03-26 | 램 리써치 코포레이션 | 금속 증착을 위해 기판 표면을 가공하는 프로세스 및 통합 시스템 |
JP5212827B2 (ja) * | 2009-02-04 | 2013-06-19 | 富士電機株式会社 | 磁気記録媒体の製造方法、及びこの方法により製造された磁気記録媒体 |
DE102010005762A1 (de) * | 2010-01-25 | 2011-07-28 | Oerlikon Trading Ag, Trübbach | Reinigungsverfahren für Beschichtungsanlagen |
JP5698043B2 (ja) * | 2010-08-04 | 2015-04-08 | 株式会社ニューフレアテクノロジー | 半導体製造装置 |
CN102092672B (zh) * | 2010-12-31 | 2016-04-27 | 上海集成电路研发中心有限公司 | 微电子机械***的电连接的制造方法 |
US9129778B2 (en) | 2011-03-18 | 2015-09-08 | Lam Research Corporation | Fluid distribution members and/or assemblies |
CN103184424A (zh) * | 2011-12-30 | 2013-07-03 | 陈柏颕 | 低温材料优质化方法及其处理装置 |
US8592328B2 (en) * | 2012-01-20 | 2013-11-26 | Novellus Systems, Inc. | Method for depositing a chlorine-free conformal sin film |
KR102074527B1 (ko) * | 2014-01-10 | 2020-02-06 | 이형곤 | 박막클러스터 제조장치와 박막클러스터, 박막, 자외선차단제 및 화장품 |
CN106164328B (zh) * | 2014-02-12 | 2018-10-12 | 李亨坤 | 薄膜群制造装置、薄膜群、薄膜、紫外线阻隔剂及化妆品 |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US9601693B1 (en) | 2015-09-24 | 2017-03-21 | Lam Research Corporation | Method for encapsulating a chalcogenide material |
US10048594B2 (en) * | 2016-02-19 | 2018-08-14 | Tokyo Electron Limited | Photo-sensitized chemically amplified resist (PS-CAR) model calibration |
US10982336B2 (en) | 2016-04-01 | 2021-04-20 | Wayne State University | Method for etching a metal surface |
US10262858B2 (en) | 2016-04-25 | 2019-04-16 | Applied Materials, Inc. | Surface functionalization and passivation with a control layer |
KR102096952B1 (ko) * | 2016-05-26 | 2020-04-06 | 세메스 주식회사 | 기판 처리 장치 및 방법 |
US10629435B2 (en) | 2016-07-29 | 2020-04-21 | Lam Research Corporation | Doped ALD films for semiconductor patterning applications |
US10074543B2 (en) | 2016-08-31 | 2018-09-11 | Lam Research Corporation | High dry etch rate materials for semiconductor patterning applications |
US9865455B1 (en) | 2016-09-07 | 2018-01-09 | Lam Research Corporation | Nitride film formed by plasma-enhanced and thermal atomic layer deposition process |
US10832908B2 (en) | 2016-11-11 | 2020-11-10 | Lam Research Corporation | Self-aligned multi-patterning process flow with ALD gapfill spacer mask |
US10454029B2 (en) | 2016-11-11 | 2019-10-22 | Lam Research Corporation | Method for reducing the wet etch rate of a sin film without damaging the underlying substrate |
US10134579B2 (en) | 2016-11-14 | 2018-11-20 | Lam Research Corporation | Method for high modulus ALD SiO2 spacer |
JP2019518862A (ja) * | 2017-04-28 | 2019-07-04 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 複数の材料を基板上に堆積するための真空システムおよび方法 |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
CN112005343A (zh) | 2018-03-02 | 2020-11-27 | 朗姆研究公司 | 使用水解的选择性沉积 |
Family Cites Families (168)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US2439689A (en) | 1948-04-13 | Method of rendering glass | ||
US2617719A (en) | 1950-12-29 | 1952-11-11 | Stanolind Oil & Gas Co | Cleaning porous media |
US2993449A (en) * | 1959-03-09 | 1961-07-25 | Hydratomic Engineering Corp | Motor-pump |
US3135211A (en) * | 1960-09-28 | 1964-06-02 | Integral Motor Pump Corp | Motor and pump assembly |
DE1965723B2 (de) * | 1969-01-06 | 1972-12-07 | The Hobart Mfg Co , Troy, Ohio (V St A) | Hydraulische steuereinrichtung fuer waschmaschinen |
US3642020A (en) * | 1969-11-17 | 1972-02-15 | Cameron Iron Works Inc | Pressure operated{13 positive displacement shuttle valve |
GB1392822A (en) | 1971-03-02 | 1975-04-30 | Comitato Nazionale Per Lenergi | Extraction of metals from solutions |
US3890176A (en) | 1972-08-18 | 1975-06-17 | Gen Electric | Method for removing photoresist from substrate |
US4341592A (en) | 1975-08-04 | 1982-07-27 | Texas Instruments Incorporated | Method for removing photoresist layer from substrate by ozone treatment |
US4029517A (en) | 1976-03-01 | 1977-06-14 | Autosonics Inc. | Vapor degreasing system having a divider wall between upper and lower vapor zone portions |
US4091643A (en) | 1976-05-14 | 1978-05-30 | Ama Universal S.P.A. | Circuit for the recovery of solvent vapor evolved in the course of a cleaning cycle in dry-cleaning machines or plants, and for the de-pressurizing of such machines |
US4219333A (en) | 1978-07-03 | 1980-08-26 | Harris Robert D | Carbonated cleaning solution |
US4349415A (en) | 1979-09-28 | 1982-09-14 | Critical Fluid Systems, Inc. | Process for separating organic liquid solutes from their solvent mixtures |
DE3145815C2 (de) | 1981-11-19 | 1984-08-09 | AGA Gas GmbH, 2102 Hamburg | Verfahren zum Entfernen von ablösungsfähigen Materialschichten von beschichteten Gegenständen, |
FR2536433A1 (fr) | 1982-11-19 | 1984-05-25 | Privat Michel | Procede et installation de nettoyage et decontamination particulaire de vetements, notamment de vetements contamines par des particules radioactives |
US4865061A (en) | 1983-07-22 | 1989-09-12 | Quadrex Hps, Inc. | Decontamination apparatus for chemically and/or radioactively contaminated tools and equipment |
US4475993A (en) | 1983-08-15 | 1984-10-09 | The United States Of America As Represented By The United States Department Of Energy | Extraction of trace metals from fly ash |
GB8332394D0 (en) * | 1983-12-05 | 1984-01-11 | Pilkington Brothers Plc | Coating apparatus |
US4877530A (en) | 1984-04-25 | 1989-10-31 | Cf Systems Corporation | Liquid CO2 /cosolvent extraction |
US4960140A (en) | 1984-11-30 | 1990-10-02 | Ishijima Industrial Co., Ltd. | Washing arrangement for and method of washing lead frames |
US4693777A (en) | 1984-11-30 | 1987-09-15 | Kabushiki Kaisha Toshiba | Apparatus for producing semiconductor devices |
US4788043A (en) | 1985-04-17 | 1988-11-29 | Tokuyama Soda Kabushiki Kaisha | Process for washing semiconductor substrate with organic solvent |
US4749440A (en) | 1985-08-28 | 1988-06-07 | Fsi Corporation | Gaseous process and apparatus for removing films from substrates |
US4925790A (en) | 1985-08-30 | 1990-05-15 | The Regents Of The University Of California | Method of producing products by enzyme-catalyzed reactions in supercritical fluids |
US4917556A (en) | 1986-04-28 | 1990-04-17 | Varian Associates, Inc. | Modular wafer transport and processing system |
US4670126A (en) * | 1986-04-28 | 1987-06-02 | Varian Associates, Inc. | Sputter module for modular wafer processing system |
US4730630A (en) * | 1986-10-27 | 1988-03-15 | White Consolidated Industries, Inc. | Dishwasher with power filtered rinse |
US4951601A (en) * | 1986-12-19 | 1990-08-28 | Applied Materials, Inc. | Multi-chamber integrated process system |
JPS63157870A (ja) * | 1986-12-19 | 1988-06-30 | Anelva Corp | 基板処理装置 |
DE3861050D1 (de) | 1987-05-07 | 1990-12-20 | Micafil Ag | Verfahren und vorrichtung zum extrahieren von oel oder polychloriertem biphenyl aus impraegnierten elektrischen teilen mittels eines loesungsmittels sowie destillation des loesungsmittels. |
US4924892A (en) | 1987-07-28 | 1990-05-15 | Mazda Motor Corporation | Painting truck washing system |
DE3725565A1 (de) | 1987-08-01 | 1989-02-16 | Peter Weil | Verfahren und anlage zum entlacken von gegenstaenden mit einem tauchbehaelter mit loesungsmittel |
US5105556A (en) | 1987-08-12 | 1992-04-21 | Hitachi, Ltd. | Vapor washing process and apparatus |
US4838476A (en) | 1987-11-12 | 1989-06-13 | Fluocon Technologies Inc. | Vapour phase treatment process and apparatus |
US4933404A (en) | 1987-11-27 | 1990-06-12 | Battelle Memorial Institute | Processes for microemulsion polymerization employing novel microemulsion systems |
DE3887681T2 (de) | 1987-11-27 | 1994-05-11 | Battelle Memorial Institute, Richland, Wash. | Überkritische mizellentrennung in der flüssig-umkehrphase. |
US5266205A (en) | 1988-02-04 | 1993-11-30 | Battelle Memorial Institute | Supercritical fluid reverse micelle separation |
JP2663483B2 (ja) | 1988-02-29 | 1997-10-15 | 勝 西川 | レジストパターン形成方法 |
US5185296A (en) | 1988-07-26 | 1993-02-09 | Matsushita Electric Industrial Co., Ltd. | Method for forming a dielectric thin film or its pattern of high accuracy on a substrate |
US5013366A (en) | 1988-12-07 | 1991-05-07 | Hughes Aircraft Company | Cleaning process using phase shifting of dense phase gases |
US5051135A (en) | 1989-01-30 | 1991-09-24 | Kabushiki Kaisha Tiyoda Seisakusho | Cleaning method using a solvent while preventing discharge of solvent vapors to the environment |
JPH02209729A (ja) * | 1989-02-09 | 1990-08-21 | Matsushita Electric Ind Co Ltd | 半導体装置の製造方法及び異物除去装置 |
US5237824A (en) | 1989-02-16 | 1993-08-24 | Pawliszyn Janusz B | Apparatus and method for delivering supercritical fluid |
US5068040A (en) | 1989-04-03 | 1991-11-26 | Hughes Aircraft Company | Dense phase gas photochemical process for substrate treatment |
US5288333A (en) | 1989-05-06 | 1994-02-22 | Dainippon Screen Mfg. Co., Ltd. | Wafer cleaning method and apparatus therefore |
US5186718A (en) | 1989-05-19 | 1993-02-16 | Applied Materials, Inc. | Staged-vacuum wafer processing system and method |
US4923828A (en) | 1989-07-07 | 1990-05-08 | Eastman Kodak Company | Gaseous cleaning method for silicon devices |
JPH0414222A (ja) * | 1990-05-07 | 1992-01-20 | Hitachi Ltd | 半導体装置の製造方法及び製造装置 |
JP2888253B2 (ja) * | 1989-07-20 | 1999-05-10 | 富士通株式会社 | 化学気相成長法およびその実施のための装置 |
US4983223A (en) | 1989-10-24 | 1991-01-08 | Chenpatents | Apparatus and method for reducing solvent vapor losses |
US5213619A (en) | 1989-11-30 | 1993-05-25 | Jackson David P | Processes for cleaning, sterilizing, and implanting materials using high energy dense fluids |
US5269850A (en) | 1989-12-20 | 1993-12-14 | Hughes Aircraft Company | Method of removing organic flux using peroxide composition |
US5196134A (en) | 1989-12-20 | 1993-03-23 | Hughes Aircraft Company | Peroxide composition for removing organic contaminants and method of using same |
US5370741A (en) | 1990-05-15 | 1994-12-06 | Semitool, Inc. | Dynamic semiconductor wafer processing using homogeneous chemical vapors |
US5071485A (en) | 1990-09-11 | 1991-12-10 | Fusion Systems Corporation | Method for photoresist stripping using reverse flow |
US5279771A (en) | 1990-11-05 | 1994-01-18 | Ekc Technology, Inc. | Stripping compositions comprising hydroxylamine and alkanolamine |
JP2782560B2 (ja) | 1990-12-12 | 1998-08-06 | 富士写真フイルム株式会社 | 安定化処理液及びハロゲン化銀カラー写真感光材料の処理方法 |
US5306350A (en) | 1990-12-21 | 1994-04-26 | Union Carbide Chemicals & Plastics Technology Corporation | Methods for cleaning apparatus using compressed fluids |
US5143103A (en) | 1991-01-04 | 1992-09-01 | International Business Machines Corporation | Apparatus for cleaning and drying workpieces |
EP0496605B1 (en) | 1991-01-24 | 2001-08-01 | Wako Pure Chemical Industries Ltd | Surface treating solutions for semiconductors |
US5185058A (en) | 1991-01-29 | 1993-02-09 | Micron Technology, Inc. | Process for etching semiconductor devices |
US5201960A (en) | 1991-02-04 | 1993-04-13 | Applied Photonics Research, Inc. | Method for removing photoresist and other adherent materials from substrates |
EP0514337B1 (de) | 1991-05-17 | 1995-11-22 | Ciba-Geigy Ag | Verfahren zum Färben von hydrophobem Textilmaterial mit Dispersionsfarbstoffen aus überkritischem CO2 |
US5274129A (en) | 1991-06-12 | 1993-12-28 | Idaho Research Foundation, Inc. | Hydroxamic acid crown ethers |
US5225173A (en) | 1991-06-12 | 1993-07-06 | Idaho Research Foundation, Inc. | Methods and devices for the separation of radioactive rare earth metal isotopes from their alkaline earth metal precursors |
US5730874A (en) * | 1991-06-12 | 1998-03-24 | Idaho Research Foundation, Inc. | Extraction of metals using supercritical fluid and chelate forming legand |
US5356538A (en) | 1991-06-12 | 1994-10-18 | Idaho Research Foundation, Inc. | Supercritical fluid extraction |
US5174917A (en) | 1991-07-19 | 1992-12-29 | Monsanto Company | Compositions containing n-ethyl hydroxamic acid chelants |
US5320742A (en) | 1991-08-15 | 1994-06-14 | Mobil Oil Corporation | Gasoline upgrading process |
US5431843A (en) | 1991-09-04 | 1995-07-11 | The Clorox Company | Cleaning through perhydrolysis conducted in dense fluid medium |
GB2259525B (en) | 1991-09-11 | 1995-06-28 | Ciba Geigy Ag | Process for dyeing cellulosic textile material with disperse dyes |
EP0543779A1 (de) | 1991-11-20 | 1993-05-26 | Ciba-Geigy Ag | Verfahren zum optischen Aufhellen von hydrophobem Textilmaterial mit dispersen optischen Aufhellern in überkritischem CO2 |
KR930019861A (ko) | 1991-12-12 | 1993-10-19 | 완다 케이. 덴슨-로우 | 조밀상 기체를 이용한 코팅 방법 |
AU667337B2 (en) * | 1991-12-18 | 1996-03-21 | Schering Corporation | Method for removing residual additives from elastomeric articles |
US5474812A (en) | 1992-01-10 | 1995-12-12 | Amann & Sohne Gmbh & Co. | Method for the application of a lubricant on a sewing yarn |
EP0638095B1 (en) * | 1992-03-27 | 2002-08-07 | University Of North Carolina At Chapel Hill | Method of making fluoropolymers |
US5313965A (en) | 1992-06-01 | 1994-05-24 | Hughes Aircraft Company | Continuous operation supercritical fluid treatment process and system |
JPH0613361A (ja) | 1992-06-26 | 1994-01-21 | Tokyo Electron Ltd | 処理装置 |
US5401322A (en) | 1992-06-30 | 1995-03-28 | Southwest Research Institute | Apparatus and method for cleaning articles utilizing supercritical and near supercritical fluids |
JPH0624801A (ja) * | 1992-07-10 | 1994-02-01 | Asahi Glass Co Ltd | 非線形光学ガラスの製造方法 |
US5352327A (en) | 1992-07-10 | 1994-10-04 | Harris Corporation | Reduced temperature suppression of volatilization of photoexcited halogen reaction products from surface of silicon wafer |
US5267455A (en) | 1992-07-13 | 1993-12-07 | The Clorox Company | Liquid/supercritical carbon dioxide dry cleaning system |
US5370742A (en) | 1992-07-13 | 1994-12-06 | The Clorox Company | Liquid/supercritical cleaning with decreased polymer damage |
US5285352A (en) | 1992-07-15 | 1994-02-08 | Motorola, Inc. | Pad array semiconductor device with thermal conductor and process for making the same |
US5368171A (en) | 1992-07-20 | 1994-11-29 | Jackson; David P. | Dense fluid microwave centrifuge |
US5339844A (en) | 1992-08-10 | 1994-08-23 | Hughes Aircraft Company | Low cost equipment for cleaning using liquefiable gases |
US5456759A (en) | 1992-08-10 | 1995-10-10 | Hughes Aircraft Company | Method using megasonic energy in liquefied gases |
US5316591A (en) | 1992-08-10 | 1994-05-31 | Hughes Aircraft Company | Cleaning by cavitation in liquefied gas |
US5261965A (en) | 1992-08-28 | 1993-11-16 | Texas Instruments Incorporated | Semiconductor wafer cleaning using condensed-phase processing |
EP0591595A1 (en) * | 1992-10-08 | 1994-04-13 | International Business Machines Corporation | Molecular recording/reproducing method and recording medium |
US5355901A (en) | 1992-10-27 | 1994-10-18 | Autoclave Engineers, Ltd. | Apparatus for supercritical cleaning |
US5337446A (en) | 1992-10-27 | 1994-08-16 | Autoclave Engineers, Inc. | Apparatus for applying ultrasonic energy in precision cleaning |
US5294261A (en) | 1992-11-02 | 1994-03-15 | Air Products And Chemicals, Inc. | Surface cleaning using an argon or nitrogen aerosol |
US5328722A (en) | 1992-11-06 | 1994-07-12 | Applied Materials, Inc. | Metal chemical vapor deposition process using a shadow ring |
US5514220A (en) | 1992-12-09 | 1996-05-07 | Wetmore; Paula M. | Pressure pulse cleaning |
JP3356480B2 (ja) * | 1993-03-18 | 2002-12-16 | 株式会社日本触媒 | 無漏洩ポンプ |
US5403665A (en) | 1993-06-18 | 1995-04-04 | Regents Of The University Of California | Method of applying a monolayer lubricant to micromachines |
US5312882A (en) | 1993-07-30 | 1994-05-17 | The University Of North Carolina At Chapel Hill | Heterogeneous polymerization in carbon dioxide |
JP3338134B2 (ja) | 1993-08-02 | 2002-10-28 | 株式会社東芝 | 半導体ウエハ処理方法 |
US5364497A (en) | 1993-08-04 | 1994-11-15 | Analog Devices, Inc. | Method for fabricating microstructures using temporary bridges |
US5377705A (en) | 1993-09-16 | 1995-01-03 | Autoclave Engineers, Inc. | Precision cleaning system |
US5370740A (en) | 1993-10-01 | 1994-12-06 | Hughes Aircraft Company | Chemical decomposition by sonication in liquid carbon dioxide |
US5656097A (en) * | 1993-10-20 | 1997-08-12 | Verteq, Inc. | Semiconductor wafer cleaning system |
US5509431A (en) | 1993-12-14 | 1996-04-23 | Snap-Tite, Inc. | Precision cleaning vessel |
US5417768A (en) | 1993-12-14 | 1995-05-23 | Autoclave Engineers, Inc. | Method of cleaning workpiece with solvent and then with liquid carbon dioxide |
US5641887A (en) * | 1994-04-01 | 1997-06-24 | University Of Pittsburgh | Extraction of metals in carbon dioxide and chelating agents therefor |
US5872257A (en) * | 1994-04-01 | 1999-02-16 | University Of Pittsburgh | Further extractions of metals in carbon dioxide and chelating agents therefor |
EP0681317B1 (en) | 1994-04-08 | 2001-10-17 | Texas Instruments Incorporated | Method for cleaning semiconductor wafers using liquefied gases |
JP3320549B2 (ja) * | 1994-04-26 | 2002-09-03 | 岩手東芝エレクトロニクス株式会社 | 被膜除去方法および被膜除去剤 |
KR0137841B1 (ko) * | 1994-06-07 | 1998-04-27 | 문정환 | 식각잔류물 제거방법 |
US5482564A (en) | 1994-06-21 | 1996-01-09 | Texas Instruments Incorporated | Method of unsticking components of micro-mechanical devices |
US5637151A (en) * | 1994-06-27 | 1997-06-10 | Siemens Components, Inc. | Method for reducing metal contamination of silicon wafers during semiconductor manufacturing |
US5522938A (en) | 1994-08-08 | 1996-06-04 | Texas Instruments Incorporated | Particle removal in supercritical liquids using single frequency acoustic waves |
US6262510B1 (en) * | 1994-09-22 | 2001-07-17 | Iancu Lungu | Electronically switched reluctance motor |
US5501761A (en) | 1994-10-18 | 1996-03-26 | At&T Corp. | Method for stripping conformal coatings from circuit boards |
US5505219A (en) | 1994-11-23 | 1996-04-09 | Litton Systems, Inc. | Supercritical fluid recirculating system for a precision inertial instrument parts cleaner |
US5629918A (en) * | 1995-01-20 | 1997-05-13 | The Regents Of The University Of California | Electromagnetically actuated micromachined flap |
JP3277114B2 (ja) * | 1995-02-17 | 2002-04-22 | インターナショナル・ビジネス・マシーンズ・コーポレーション | 陰画調レジスト像の作製方法 |
US5681398A (en) * | 1995-03-17 | 1997-10-28 | Purex Co., Ltd. | Silicone wafer cleaning method |
JPH08264500A (ja) * | 1995-03-27 | 1996-10-11 | Sony Corp | 基板の洗浄方法 |
JPH08330266A (ja) * | 1995-05-31 | 1996-12-13 | Texas Instr Inc <Ti> | 半導体装置等の表面を浄化し、処理する方法 |
US5932100A (en) * | 1995-06-16 | 1999-08-03 | University Of Washington | Microfabricated differential extraction device and method |
US5783082A (en) * | 1995-11-03 | 1998-07-21 | University Of North Carolina | Cleaning process using carbon dioxide as a solvent and employing molecularly engineered surfactants |
US5783495A (en) * | 1995-11-13 | 1998-07-21 | Micron Technology, Inc. | Method of wafer cleaning, and system and cleaning solution regarding same |
US6063714A (en) * | 1995-11-16 | 2000-05-16 | Texas Instruments Incorporated | Nanoporous dielectric thin film surface modification |
US5679169A (en) * | 1995-12-19 | 1997-10-21 | Micron Technology, Inc. | Method for post chemical-mechanical planarization cleaning of semiconductor wafers |
US5726211A (en) * | 1996-03-21 | 1998-03-10 | International Business Machines Corporation | Process for making a foamed elastometric polymer |
US5804607A (en) * | 1996-03-21 | 1998-09-08 | International Business Machines Corporation | Process for making a foamed elastomeric polymer |
US5868856A (en) * | 1996-07-25 | 1999-02-09 | Texas Instruments Incorporated | Method for removing inorganic contamination by chemical derivitization and extraction |
US5868862A (en) * | 1996-08-01 | 1999-02-09 | Texas Instruments Incorporated | Method of removing inorganic contamination by chemical alteration and extraction in a supercritical fluid media |
US5881577A (en) * | 1996-09-09 | 1999-03-16 | Air Liquide America Corporation | Pressure-swing absorption based cleaning methods and systems |
US5798438A (en) * | 1996-09-09 | 1998-08-25 | University Of Massachusetts | Polymers with increased order |
US5908510A (en) * | 1996-10-16 | 1999-06-01 | International Business Machines Corporation | Residue removal by supercritical fluids |
US5888050A (en) * | 1996-10-30 | 1999-03-30 | Supercritical Fluid Technologies, Inc. | Precision high pressure control assembly |
US5797719A (en) * | 1996-10-30 | 1998-08-25 | Supercritical Fluid Technologies, Inc. | Precision high pressure control assembly |
US6149828A (en) * | 1997-05-05 | 2000-11-21 | Micron Technology, Inc. | Supercritical etching compositions and method of using same |
US6114044A (en) * | 1997-05-30 | 2000-09-05 | Regents Of The University Of California | Method of drying passivated micromachines by dewetting from a liquid-based process |
US5900354A (en) * | 1997-07-03 | 1999-05-04 | Batchelder; John Samuel | Method for optical inspection and lithography |
JPH1154590A (ja) * | 1997-08-01 | 1999-02-26 | Kokusai Electric Co Ltd | 基板搬送制御方法 |
US6021791A (en) * | 1998-06-29 | 2000-02-08 | Speedfam-Ipec Corporation | Method and apparatus for immersion cleaning of semiconductor devices |
US6017820A (en) * | 1998-07-17 | 2000-01-25 | Cutek Research, Inc. | Integrated vacuum and plating cluster system |
US6242165B1 (en) * | 1998-08-28 | 2001-06-05 | Micron Technology, Inc. | Supercritical compositions for removal of organic material and methods of using same |
US6277753B1 (en) * | 1998-09-28 | 2001-08-21 | Supercritical Systems Inc. | Removal of CMP residue from semiconductors using supercritical carbon dioxide process |
KR100304254B1 (ko) * | 1998-12-08 | 2002-03-21 | 윤종용 | 모듈외관검사설비 |
DE19860084B4 (de) * | 1998-12-23 | 2005-12-22 | Infineon Technologies Ag | Verfahren zum Strukturieren eines Substrats |
FR2791580B1 (fr) * | 1999-04-02 | 2001-05-04 | Centre Nat Rech Scient | Procede pour l'enrobage de particules |
US7044143B2 (en) * | 1999-05-14 | 2006-05-16 | Micell Technologies, Inc. | Detergent injection systems and methods for carbon dioxide microelectronic substrate processing systems |
US6508259B1 (en) * | 1999-08-05 | 2003-01-21 | S.C. Fluids, Inc. | Inverted pressure vessel with horizontal through loading |
US6602349B2 (en) * | 1999-08-05 | 2003-08-05 | S.C. Fluids, Inc. | Supercritical fluid cleaning process for precision surfaces |
US6497239B2 (en) * | 1999-08-05 | 2002-12-24 | S. C. Fluids, Inc. | Inverted pressure vessel with shielded closure mechanism |
US6228563B1 (en) * | 1999-09-17 | 2001-05-08 | Gasonics International Corporation | Method and apparatus for removing post-etch residues and other adherent matrices |
KR100742473B1 (ko) * | 1999-11-02 | 2007-07-25 | 동경 엘렉트론 주식회사 | 제 1 및 제 2 소재를 초임계 처리하는 장치 및 방법 |
IL152376A0 (en) * | 2000-04-25 | 2003-05-29 | Tokyo Electron Ltd | Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module |
US6319858B1 (en) * | 2000-07-11 | 2001-11-20 | Nano-Architect Research Corporation | Methods for reducing a dielectric constant of a dielectric film and for forming a low dielectric constant porous film |
JP2002237481A (ja) * | 2001-02-09 | 2002-08-23 | Kobe Steel Ltd | 微細構造体の洗浄方法 |
US6852194B2 (en) * | 2001-05-21 | 2005-02-08 | Tokyo Electron Limited | Processing apparatus, transferring apparatus and transferring method |
US6763840B2 (en) * | 2001-09-14 | 2004-07-20 | Micell Technologies, Inc. | Method and apparatus for cleaning substrates using liquid carbon dioxide |
JP3978023B2 (ja) * | 2001-12-03 | 2007-09-19 | 株式会社神戸製鋼所 | 高圧処理方法 |
US7326673B2 (en) * | 2001-12-31 | 2008-02-05 | Advanced Technology Materials, Inc. | Treatment of semiconductor substrates using long-chain organothiols or long-chain acetates |
US6848458B1 (en) * | 2002-02-05 | 2005-02-01 | Novellus Systems, Inc. | Apparatus and methods for processing semiconductor substrates using supercritical fluids |
US6843855B2 (en) * | 2002-03-12 | 2005-01-18 | Applied Materials, Inc. | Methods for drying wafer |
US6764552B1 (en) * | 2002-04-18 | 2004-07-20 | Novellus Systems, Inc. | Supercritical solutions for cleaning photoresist and post-etch residue from low-k materials |
US20030217764A1 (en) * | 2002-05-23 | 2003-11-27 | Kaoru Masuda | Process and composition for removing residues from the microstructure of an object |
US6989358B2 (en) * | 2002-10-31 | 2006-01-24 | Advanced Technology Materials, Inc. | Supercritical carbon dioxide/chemical formulation for removal of photoresists |
US20040112409A1 (en) * | 2002-12-16 | 2004-06-17 | Supercritical Sysems, Inc. | Fluoride in supercritical fluid for photoresist and residue removal |
US20040177867A1 (en) * | 2002-12-16 | 2004-09-16 | Supercritical Systems, Inc. | Tetra-organic ammonium fluoride and HF in supercritical fluid for photoresist and residue removal |
US6875285B2 (en) * | 2003-04-24 | 2005-04-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | System and method for dampening high pressure impact on porous materials |
US7250374B2 (en) * | 2004-06-30 | 2007-07-31 | Tokyo Electron Limited | System and method for processing a substrate using supercritical carbon dioxide processing |
-
2001
- 2001-04-24 IL IL15237601A patent/IL152376A0/xx active IP Right Grant
- 2001-04-24 JP JP2001579358A patent/JP2003534646A/ja not_active Withdrawn
- 2001-04-24 KR KR1020027014368A patent/KR100693691B1/ko not_active IP Right Cessation
- 2001-04-24 EP EP01928842A patent/EP1277233A2/en not_active Withdrawn
- 2001-04-24 US US09/841,800 patent/US6890853B2/en not_active Expired - Fee Related
- 2001-04-24 CN CN018083307A patent/CN1216415C/zh not_active Expired - Fee Related
- 2001-04-24 WO PCT/US2001/013278 patent/WO2001082368A2/en not_active Application Discontinuation
- 2001-04-24 AU AU2001255656A patent/AU2001255656A1/en not_active Abandoned
- 2001-04-25 TW TW090109943A patent/TWI287853B/zh not_active IP Right Cessation
-
2002
- 2002-10-20 IL IL152376A patent/IL152376A/en not_active IP Right Cessation
-
2004
- 2004-06-16 US US10/870,871 patent/US7208411B2/en not_active Expired - Fee Related
-
2008
- 2008-12-15 JP JP2008318624A patent/JP5000629B2/ja not_active Expired - Fee Related
Also Published As
Publication number | Publication date |
---|---|
CN1425194A (zh) | 2003-06-18 |
AU2001255656A1 (en) | 2001-11-07 |
IL152376A (en) | 2006-07-05 |
KR100693691B1 (ko) | 2007-03-09 |
KR20030043788A (ko) | 2003-06-02 |
JP2003534646A (ja) | 2003-11-18 |
JP5000629B2 (ja) | 2012-08-15 |
US7208411B2 (en) | 2007-04-24 |
WO2001082368A3 (en) | 2002-05-16 |
US20040229449A1 (en) | 2004-11-18 |
JP2009102740A (ja) | 2009-05-14 |
IL152376A0 (en) | 2003-05-29 |
EP1277233A2 (en) | 2003-01-22 |
US20020001929A1 (en) | 2002-01-03 |
WO2001082368A2 (en) | 2001-11-01 |
US6890853B2 (en) | 2005-05-10 |
TWI287853B (en) | 2007-10-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN1216415C (zh) | 沉积金属薄膜的方法和包括超临界干燥/清洁组件的金属沉积组合工具 | |
CN1192417C (zh) | 工件的超临界处理的方法和装置 | |
JP4668915B2 (ja) | プロセスチャンバのコンポーネントの洗浄 | |
KR100939124B1 (ko) | 텅스텐막 형성방법, 성막장치, 기억매체 및 반도체 장치 | |
KR101201039B1 (ko) | 반도체 공정의 k값 회복 및 표면 세정을 위한 uv 및 환원제 처리 | |
CN108573866B (zh) | 氧化膜去除方法和装置以及接触部形成方法和*** | |
CN1976003A (zh) | 半导体装置的制造方法及基板处理*** | |
KR100842463B1 (ko) | 기판의 유전체층을 사전 세정하기 위한 방법 | |
US9153482B2 (en) | Methods and apparatus for selective deposition of cobalt in semiconductor processing | |
KR20010050283A (ko) | 유전상수 k가 낮은 유전체의 손상을 최소화하는, 금속플러그를 위한 예비세정 방법 | |
US10395916B2 (en) | In-situ pre-clean for selectivity improvement for selective deposition | |
CN1272846C (zh) | 在半导体装置中形成金属线的方法 | |
US20180144973A1 (en) | Electromigration Improvement Using Tungsten For Selective Cobalt Deposition On Copper Surfaces | |
US10734243B2 (en) | Etching method and substrate processing system | |
JP2003051460A (ja) | 半導体素子の銅薄膜堆積装置 | |
US20210062330A1 (en) | Selective cobalt deposition on copper surfaces | |
US10643889B2 (en) | Pre-treatment method to improve selectivity in a selective deposition process | |
US7015568B2 (en) | System for ultraviolet atmospheric seed layer remediation | |
CN113939896A (zh) | 具有自成型阻挡层的低k电介质 | |
US20080029892A1 (en) | Method of fabricating semiconductor device | |
US20230035288A1 (en) | Methods for removing etch stop layers | |
CN1781865A (zh) | 增强氟硅玻璃层稳定性的方法 | |
CN1113391C (zh) | 减少硅衬底与外延Si或Si1-xGex层之间硼浓度的方法 | |
WO2023229612A1 (en) | Selective metal removal with flowable polymer | |
CN114930520A (zh) | 用于选择性间隙填充的低温等离子体预清洁 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
C17 | Cessation of patent right | ||
CF01 | Termination of patent right due to non-payment of annual fee |
Granted publication date: 20050824 Termination date: 20130424 |