CN118053739A - 处理方法、半导体器件的制造方法、处理装置及程序 - Google Patents

处理方法、半导体器件的制造方法、处理装置及程序 Download PDF

Info

Publication number
CN118053739A
CN118053739A CN202410181750.7A CN202410181750A CN118053739A CN 118053739 A CN118053739 A CN 118053739A CN 202410181750 A CN202410181750 A CN 202410181750A CN 118053739 A CN118053739 A CN 118053739A
Authority
CN
China
Prior art keywords
film
gas
substrate
silicon
nitrogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202410181750.7A
Other languages
English (en)
Inventor
桥本良知
松岗树
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kokusai Electric Corp
Original Assignee
Kokusai Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kokusai Electric Corp filed Critical Kokusai Electric Corp
Publication of CN118053739A publication Critical patent/CN118053739A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Photovoltaic Devices (AREA)

Abstract

本发明涉及处理方法、半导体器件的制造方法、处理装置及程序。使在衬底上形成的膜成为低介电常数膜并抑制基底氧化。半导体器件的制造方法包括:(a)向在表面露出氮化膜及导电性的含金属元素膜中的至少任一基底的衬底供给不含氧化气体的第1处理气体,从而以第1厚度在基底上形成含有硅、碳及氮而不含氧的第1膜的工序,和(b)向衬底供给含有氧化气体的第2处理气体从而在第1膜上以比第1厚度厚的第2厚度形成含有硅、氧及氮的第2膜的工序,在(b)中,来自于从第1膜的表面朝向基底扩散的氧化气体的氧原子由第1膜吸收,使第1膜改质。

Description

处理方法、半导体器件的制造方法、处理装置及程序
本申请是申请日为2020年6月9日、发明名称为“半导体器件的制造方法、衬底处理装置及记录介质”的中国发明专利申请No.202010520475.9的分案申请。
技术领域
本发明涉及处理方法、半导体器件的制造方法、处理装置及程序。
背景技术
作为半导体器件的制造工序的一个工序,存在执行在露出有基底的衬底上形成膜的工序的情况(例如,参见专利文献1)。
现有技术文献
专利文献
专利文献1:日本特开2017-028171号公报
发明内容
发明要解决的课题
本发明的目的在于,提供一种能够使在衬底上形成的膜成为低介电常数膜并能够抑制基底氧化的技术。
用于解决课题的手段
根据本发明的一方案,提供一种技术,其包括:
(a)向在表面露出氮化膜及导电性的含金属元素膜中的至少任一基底的衬底供给不含氧化气体的第1处理气体,从而在所述基底上以第1厚度形成含有硅、碳及氮而不含氧的第1膜的工序;以及
(b)向所述衬底供给含有氧化气体的第2处理气体,从而在所述第1膜上以比所述第1厚度厚的第2厚度形成含有硅、氧及氮的第2膜的工序,
在(b)中,来自于从所述第1膜的表面朝向所述基底扩散的所述氧化气体的氧原子由所述第1膜吸收,使所述第1膜改质。
本申请涉及下述项:
项1、半导体器件的制造方法,包括:
(a)向在表面露出氮化膜及导电性的含金属元素膜中的至少任一基底的衬底供给不含氧化气体的第1处理气体,从而在所述基底上以第1厚度形成含有硅、碳及氮而不含氧的第1膜的工序;以及
(b)向所述衬底供给含有氧化气体的第2处理气体,从而在所述第1膜上以比所述第1厚度厚的第2厚度形成含有硅、氧及氮的第2膜的工序,
在(b)中,来自于从所述第1膜的表面朝向所述基底扩散的所述氧化气体的氧原子由所述第1膜吸收,使所述第1膜改质。
项2、根据项1所述的半导体器件的制造方法,使在(a)中形成的所述第1膜中的碳浓度高于氮浓度。
项3、根据项2所述的半导体器件的制造方法,所述第1处理气体包含含硅气体和含氮及碳气体,
在(a)中,非同时地向所述衬底供给所述含硅气体和所述含氮及碳气体,从而形成碳氮化硅膜作为所述第1膜。
项4、根据项3所述的半导体器件的制造方法,所述含硅气体包含卤代硅烷系气体,所述含氮及碳气体包含胺系气体及有机肼系气体中的至少任一者。
项5、根据项1所述的半导体器件的制造方法,使所述第1厚度为0.05nm以上0.15nm以下。
项6、根据项1所述的半导体器件的制造方法,所述第2处理气体包含含硅气体、含氮气体及含氧气体,
在(b)中,非同时地向所述衬底供给所述含硅气体、所述含氮气体及所述含氧气体,从而形成碳氮氧化硅膜或氮氧化硅膜作为所述第2膜。
项7、根据项6所述的半导体器件的制造方法,所述含氮气体包含含氮及碳气体,
在(b)中,形成碳氮氧化硅膜作为所述第2膜。
项8、根据项7所述的半导体器件的制造方法,所述含硅气体包含卤代硅烷系气体,所述含氮及碳气体包含胺系气体及有机肼系气体中的至少任一者。
项9、根据项6所述的半导体器件的制造方法,所述含氮气体包含含氮及氢气体,
在(b)中,形成氮氧化硅膜作为所述第2膜。
项10、根据项9所述的半导体器件的制造方法,所述含硅气体包含卤代硅烷系气体,所述含氮及氢气体包含氮化氢系气体。
项11、根据项1所述的半导体器件的制造方法,在(b)中,将所述第1膜中包含的碳原子的至少一部分置换为氧原子。
项12、根据项1所述的半导体器件的制造方法,在(b)中,使所述第1膜改质为碳氮氧化硅膜或氮氧化硅膜。
项13、根据项1所述的半导体器件的制造方法,在(b)中,使所述第1膜改质为氧浓度高于氮浓度的碳氮氧化硅膜或氮氧化硅膜。
项14、根据项1所述的半导体器件的制造方法,在(b)完成的时间点,使所述第1膜的整体成为改质成碳氮氧化硅膜或氮氧化硅膜的状态。
项15、根据项1所述的半导体器件的制造方法,在(b)中,使所述第1膜改质为不含碳的氮氧化硅膜。
项16、根据项1所述的半导体器件的制造方法,在同一处理室内进行(a)及(b)。
项17、根据项1所述的半导体器件的制造方法,其还具有(c)在进行(a)之前,在所述衬底的表面形成所述氮化膜作为所述基底的工序,
在同一处理室内至少依次进行(c)及(a)。
项18、根据项1所述的半导体器件的制造方法,在与(c)及(a)不同的处理室内进行(b)。
项19、根据项1所述的半导体器件的制造方法,其还具有(d)在进行(b)之后,供给所述第1处理气体,在所述第2膜上以比所述第2厚度薄的第3厚度形成含有硅、碳及氮而不含氧的第3膜的工序。
项20、衬底处理装置,具有:
处理室,其供衬底被处理;
第1处理气体供给***,其向所述处理室内的衬底供给不含氧化气体的第1处理气体;
第2处理气体供给***,其向所述处理室内的衬底供给含有氧化气体的第2处理气体;以及
控制部,其构成为能够控制所述第1处理气体供给***及所述第2处理气体供给***以在所述处理室内进行:(a)向在表面露出氮化膜及导电性的含金属元素膜中的至少任一基底的衬底供给所述第1处理气体,从而在所述基底上以第1厚度形成含有硅、碳及氮而不含氧的第1膜的处理,和(b)向所述衬底供给所述第2处理气体,从而在所述第1膜上以比所述第1厚度厚的第2厚度形成含有硅、氧及氮的第2膜的处理,在(b)中,来自于从所述第1膜的表面朝向所述基底扩散的所述氧化气体的氧原子由所述第1膜吸收,使所述第1膜改质。
项21、计算机可读取的记录介质,其记录有通过计算机使衬底处理装置在所述衬底处理装置的处理室内执下述步骤的程序:
(a)向在表面露出氮化膜及导电性的含金属元素膜中的至少任一基底的衬底供给不含氧化气体的第1处理气体,从而在所述基底上以第1厚度形成含有硅、碳及氮而不含氧的第1膜的步骤;以及
(b)向所述衬底供给含有氧化气体的第2处理气体,从而在所述第1膜上以比所述第1厚度厚的第2厚度形成含有硅、氧及氮的第2膜的步骤,
在(b)中,来自于从所述第1膜的表面朝向所述基底扩散的所述氧化气体的氧原子由所述第1膜吸收,使所述第1膜改质。
发明效果
根据本发明,能够提供使在衬底上形成的膜成为低介电常数膜并能够抑制基底氧化的技术。
附图说明
图1是本发明的一方案中优选使用的衬底处理装置的纵型处理炉的概略构成图,是以纵剖视图示出处理炉部分的图。
图2是本发明的一方案中优选使用的衬底处理装置的纵型处理炉的概略构成图,是以图1的A-A线剖视图示出处理炉部分的图。
图3是本发明的一方案中优选使用的衬底处理装置的控制器的概略构成图,是以框图示出控制器的控制***的图。
图4是示出本发明的一方案中第1成膜中的气体供给时序的图。
图5是示出本发明的一方案中第2成膜中的气体供给时序的图。
图6的(a)为在表面分别露出W膜及SiN膜的处理对象晶片的表面处的剖面局部放大图,(b)为实施第1成膜而分别在W膜上及SiN膜的各自上形成SiCN膜后的晶片的表面处的剖面局部放大图,(c)为实施第2成膜而在SiCN膜上形成SiOCN膜并使在第1成膜中形成的SiCN膜改质成SiOCN膜或SiON膜后的晶片的表面处的剖面局部放大图。
图7的(a)为在表面露出SiN膜的处理对象晶片的表面处的剖面局部放大图,(b)为实施第1成膜而在SiN膜上形成SiCN膜后的晶片的表面处的剖面局部放大图,(c)为实施第2成膜而在SiCN膜上形成SiON膜并使在第1成膜中形成的SiCN膜改质成SiOCN膜或SiON膜后的晶片的表面处的剖面局部放大图。
具体实施方式
<本发明的第1方式>
以下,主要使用图1~图5、图6的(a)~图6的(c)说明本发明的第1方式。
(1)衬底处理装置的构成
如图1所示,处理炉202具有作为加热机构(温度调节部)的加热器207。加热器207为圆筒形状,通过支承于保持板而垂直安装。加热器207也作为以热量使气体活化(激发)的活化机构(激发部)发挥功能。
在加热器207的内侧与加热器207呈同心圆状地配置有反应管203。反应管203由例如石英(SiO2)或碳化硅(SiC)等耐热性材料构成,形成为上端封闭而下端开口的圆筒形状。在反应管203的下方与反应管203呈同心圆状地配置有歧管209。歧管209由例如不锈钢(SUS)等金属材料构成,形成为上端及下端开口的圆筒形状。歧管209的上端部与反应管203的下端部卡合,以支承反应管203的方式构成。在歧管209与反应管203之间设有作为密封构件的O型圈220a。反应管203与加热器207同样地垂直安装。主要由反应管203和歧管209构成处理容器(反应容器)。在处理容器的筒中空部形成有处理室201。处理室201以能够收容作为衬底的晶片200的方式构成。在该处理室201内进行针对晶片200的处理。
在处理室201内,作为第1供给部、第2供给部的喷嘴249a、249b分别以贯通歧管209的侧壁方式设置。也将喷嘴249a、249b分别称为第1喷嘴、第2喷嘴。喷嘴249a、249b分别由石英或SiC等作为耐热性材料的非金属材料构成。喷嘴249a、249b分别构成为用于多种气体供给的共用喷嘴。
在喷嘴249a、249b上,分别连接有作为第1配管、第2配管的气体供给管232a、232b。气体供给管232a、232b分别构成为多种气体的供给中所用的共用配管。在气体供给管232a、232b上,从气流的上游侧起依次分别设置作为流量控制器(流量控制部)的质量流量控制器(MFC)241a、241b及作为开闭阀的阀243a、243b。在气体供给管232a的比阀243a靠下游侧,连接有气体供给管232f。在气体供给管232f上,从气流的上游侧起依次设有MFC241f、阀243f。在气体供给管232b的比阀243b靠下游侧,分别连接有气体供给管232c~232e、232g。在气体供给管232c~232e、232g上,从气流的上游侧起依次分别设有MFC241c~241e、241g、阀243c~243e、243g。气体供给管232a~232g由例如SUS等金属材料构成。
如图2所示,喷嘴249a、249b在反应管203的内壁与晶片200之间的俯视观察呈圆环状的空间中,分别从反应管203的内壁的下部到上部以朝向晶片200的排列方向上方立起的方式设置。即,喷嘴249a、249b在晶片200排列的晶片排列区域的侧方的水平包围晶片排列区域的区域中分别以沿着晶片排列区域的方式设置。在喷嘴249a、249b的侧面分别设有供给气体的气体供给孔250a、250b。气体供给孔250a、250b分别在俯视观察时朝向晶片200的中心开口,构成为能够朝向晶片200供给气体。气体供给孔250a、250b从反应管203的下部到上部设有多个。
作为原料气体,从气体供给管232a经由MFC241a、阀243a、喷嘴249a向处理室201内供给例如含有作为构成膜的主元素(规定元素)的Si及卤族元素的卤代硅烷系气体。原料气体为气体状态的原料,例如为将常温常压下为液体状态的原料气化而得的气体、常温常压下为气体状态的原料等。卤代硅烷为含有卤元素的硅烷。卤元素包括氯(Cl)、氟(F)、溴(Br)、碘(I)等。作为卤代硅烷系气体,例如能够使用含有Si及Cl的原料气体即氯硅烷系气体。作为氯硅烷系气体,例如能够使用六氯乙硅烷(Si2Cl6、简称:HCDS)气体。HCDS气体作为Si源发挥作用。
作为反应气体,从气体供给管232b经由MFC241b、阀243b、喷嘴249b向处理室201内供给作为含氮(N)气体的一种的含N及碳(C)气体。作为含N及C气体,例如能够使用作为胺系气体的三乙基胺((C2H5)3N、简称:TEA)气体。TEA气体作为N源、另外作为C源发挥作用。
作为反应气体,从气体供给管232c经由MFC241c、阀243c、气体供给管232b、喷嘴249b向处理室201内供给含氧(O)气体。作为含O气体,例如能够使用氧气(O2)。O2气作为氧化气体即O源发挥作用。
作为反应气体,从气体供给管232d经由MFC241d、阀243d、气体供给管232b、喷嘴249b向处理室201内供给作为含N气体的一种的含N及氢(H)气体。作为含N及H气体,例如能够使用作为氮化氢系气体的氨(NH3)气体。NH3气体作为氮化气体即N源发挥作用。
作为反应气体,从气体供给管232e经由MFC241e、阀243e、气体供给管232b、喷嘴249b向处理室201内供给含C气体。作为含C气体,例如能够使用作为烃系气体的丙烯(C3H6)气体。C3H6气体作为C源发挥作用。需要说明的是,气体供给管232e、MFC241e、阀243e等在后述的其他方式中使用C3H6气体时使用。
作为非活性气体,从气体供给管232f、232g分别经由MFC241f、241g、阀243f、243g、气体供给管232a、232b、喷嘴249a、249b向处理室201内供给例如氮气(N2)。N2气体作为吹扫气体、载气、稀释气体等发挥作用。
主要由气体供给管232a、MFC241a、阀243a构成原料气体供给***(Si源供给***)。主要由气体供给管232b~232e、MFC241b~241e、阀243b~243e构成反应气体供给***(N及C源供给***、O源供给***、N源供给***、C源供给***)。主要由气体供给管232f、232g、MFC241f、241g、阀243f、243g构成非活性气体供给***。
也将在后述的第1成膜中使用的原料气体及反应气体统称为第1处理气体。另外,也将在第1成膜中使用的原料气体供给***及反应气体供给***称为第1处理气体供给***。另外,也将在后述的第2成膜中使用的原料气体及反应气体统称为第2处理气体。另外,也将在第2成膜中使用的原料气体供给***及反应气体供给***称为第2处理气体供给***。
上述各种供给***中的某一者或全部供给***也可以构成为由阀243a~243g、MFC241a~241g等集成而成的集成型供给***248。集成型供给***248分别与气体供给管232a~232g连接,各种气体向气体供给管232a~232g内的供给动作、即阀243a~243g的开闭动作、由MFC241a~241g进行的流量调节动作等以通过后述的控制器121控制的方式构成。集成型供给***248能够构成为一体型或分体型的集成单元,并构成为能够以集成单元单位相对于气体供给管232a~232g等进行装拆,能够以集成单元单位进行集成型供给***248的维护、更换、增设等。
在反应管203的侧壁下方设有对处理室201内的气氛进行排气的排气口231a。排气口231a也可以从反应管203的侧壁的下部到上部、即沿着晶片排列区域设置。在排气口231a连接有排气管231。在排气管231上经由对处理室201内的压力进行检测的作为压力检测器(压力检测部)的压力传感器245及作为压力调节器(压力调节部)的APC(Auto PressureController:自动压力控制器)阀244连接有作为真空排气装置的真空泵246。APC阀244通过在使真空泵246工作的状态下使阀开闭,从而能够进行处理室201内的真空排气及真空排气停止,此外构成为,在使真空泵246工作的状态下,基于通过压力传感器245检测到的压力信息对阀开度进行调节,从而能够对处理室201内的压力进行调节。主要由排气管231、APC阀244、压力传感器245构成排气***。也可以考虑在排气***中包含真空泵246。
在歧管209的下方设有能够气密地封闭歧管209的下端开口的作为炉口盖体的密封盖219。密封盖219由例如SUS等金属材料构成并形成为圆盘状。在密封盖219的上表面,设有与歧管209的下端抵接的作为密封构件的O型圈220b。在密封盖219的下方设有使后述的舟皿217旋转的旋转机构267。旋转机构267的旋转轴255由例如SUS等金属材料构成,贯通密封盖219并与舟皿217连接。旋转机构267构成为,通过使舟皿217旋转而使晶片200旋转。密封盖219构成为,通过设置在反应管203的外部的作为升降机构的舟皿升降机115而在垂直方向上升降。舟皿升降机115构成为通过使密封盖219升降而将晶片200向处理室201内外搬入及搬出(搬送)的搬送***(搬送机构)。
在歧管209的下方设有闸板219s,闸板219s作为在使密封盖219下降并将舟皿217从处理室201内搬出的状态下能够气密地封闭歧管209的下端开口的炉口盖体。闸板219s由例如SUS等金属材料构成并形成为圆盘状。在闸板219s的上表面,设有与歧管209的下端抵接的作为密封构件的O型圈220c。闸板219s的开闭动作(升降动作、转动动作等)通过闸板开闭机构115s控制。
作为衬底支承件的舟皿217构成为,将多张例如25~200张晶片200以水平姿态且以使中心相互对齐的状态在垂直方向上排列并分多层支承,即隔开间隔地排列。舟皿217由例如石英、SiC等耐热性材料构成。在舟皿217的下部将例如由石英、SiC等耐热性材料构成的绝热板218分多层支承。
在反应管203内设有作为温度检测器的温度传感器263。基于通过温度传感器263检测到的温度信息对向加热器207的通电状况进行调整,从而使得处理室201内的温度成为希望的温度分布。温度传感器263沿着反应管203的内壁设置。
如图3所示,作为控制部(控制机构)的控制器121构成为具备CPU(CentralProcessing Unit:中央处理器)121a、RAM(Random Access Memory:随机存取存储器)121b、存储装置121c、I/O端口121d的计算机。RAM121b、存储装置121c、I/O端口121d构成为能够经由内部总线121e与CPU121a进行数据交换。在控制器121上连接有构成为例如触摸面板等的输入输出装置122。
存储装置121c由例如闪存、HDD(Hard Disk Drive:硬盘驱动器)等构成。在存储装置121c内以可读取的方式保存有对衬底处理装置的动作进行控制的控制程序、记载有后述的衬底处理的步骤、条件等的工艺制程等。工艺制程为以使控制器121执行后述的衬底处理中的各步骤并能够获得规定的结果的方式组合而成,作为程序发挥功能。以下也将控制程序、工艺制程等简单地统称为程序。另外,也将工艺制程简称为制程。本说明书中使用程序这一词语的情况存在仅包含制程的情况、仅包含控制程序的情况或包含以上二者的情况。RAM121b构成为暂时保持通过CPU121a读取的程序、数据等的存储器区域(工作区)。
I/O端口121d与上述的MFC241a~241g、阀243a~243g、压力传感器245、APC阀244、真空泵246、温度传感器263、加热器207、旋转机构267、舟皿升降机115、闸板开闭机构115s等连接。
CPU121a构成为,从存储装置121c读取控制程序并执行,并根据来自输入输出装置122的操作命令的输入等从存储装置121c读取制程。CPU121a构成为,以按照所读取的制程的内容的方式对由MFC241a~241g进行的各种气体的流量调节动作、阀243a~243g的开闭动作、APC阀244的开闭动作及基于压力传感器245并由APC阀244进行的压力调节动作、真空泵246的启动及停止、基于温度传感器263的加热器207的温度调节动作、由旋转机构267进行的舟皿217的旋转及旋转速度调节动作、由舟皿升降机115进行的舟皿217的升降动作、由闸板开闭机构115s进行的闸板219s的开闭动作等进行控制。
控制器121能够通过将在外部存储装置123中保存的上述程序安装于计算机而构成。外部存储装置123例如包含HDD等磁盘、CD等光盘、MO等光磁盘、USB存储器等半导体存储器等。存储装置121c、外部存储装置123构成为计算机可读取的记录介质。以下也将这些装置简单地统称为记录介质。本说明书中使用记录介质这一词语的情况存在仅包含存储装置121c的情况、仅包含外部存储装置123的情况或包含以上二者的情况。需要说明的是,程序向计算机的提供也可以不使用外部存储装置123而使用因特网、专用线路等通信机构进行。
(2)衬底处理工序
主要使用图4、图5、图6的(a)~图6的(c),说明作为半导体器件的制造工序的一个工序,使用上述的衬底处理装置在表面露出导电性的含金属元素膜(以下也简称为含金属膜)及氮化膜中的至少任一基底的作为衬底的晶片200上抑制基底的氧化并形成低介电常数膜的衬底处理时序例。在以下的说明中,构成衬底处理装置的各部的动作由控制器121控制。
在本方式的衬底处理时序中,进行以下步骤:
向在表面露出作为导电性的含金属元素膜的钨膜(W膜)及作为氮化膜的硅氮化膜(SiN膜)中的至少任一(在此为两者)基底的晶片200,作为不含氧化气体的第1处理气体供给HCDS气体及TEA气体,从而在基底上以第1厚度形成碳氮化硅膜(SiCN膜)作为含有Si、C及N而不含O的第1膜的步骤(第1成膜);以及
通过向晶片200供给HCDS气体、TEA气体及O2气作为含有氧化气体的第2处理气体,从而在SiCN膜上以比第1厚度厚的第2厚度形成含有Si、O、C及N的膜即碳氮氧化硅膜(SiOCN膜)作为含有Si、O及N的第2膜的步骤(第2成膜),
在第2成膜中,来自于从SiCN膜的表面朝向基底扩散的氧化气体的O原子由SiCN膜吸收,使SiCN膜改质。
需要说明的是,在上述的第1成膜中,进行规定次数的向晶片200供给HCDS气体及TEA气体的循环。图4所示气体供给时序示出在第1成膜中进行m次(m为1以上3以下的整数)间歇地且非同时地向晶片200供给HCDS气体及TEA气体的循环的时序例。
另外,在上述的第2成膜中,进行规定次数的向晶片200供给HCDS气体、TEA气体及O2气的循环。图5所示的气体供给时序示出在第2成膜中进行n次(n为1以上的整数)间歇地且非同时地向晶片200供给HCDS气体、TEA气体及O2气的循环的时序例。
在本说明书中,还存在方便起见将图4所示的第1成膜的气体供给时序及图5所示的第2成膜的气体供给时序分别如下示出的情况。在以下的其他方式的说明中也使用相同的表述。
本说明书中使用“晶片”这一词语的情况存在表示晶片本身的情况和表示晶片与在其表面形成的规定的层、膜的层叠体的情况。本说明书中使用“晶片的表面”这一词语的情况存在表示晶片本身的表面的情况和表示在晶片上形成的规定的层等的表面的情况。本说明书中记载为“在晶片上形成规定的层”的情况存在表示在晶片本身的表面上直接形成规定的层的情况和在晶片上形成的层等上形成规定的层的情况。本说明书中使用“衬底”这一词语的情况,也与使用“晶片”这一词语的情况的含义相同。
(晶片填充、舟皿装载)
当将多张晶片200装填于舟皿217中(晶片填充)时,通过闸板开闭机构115s使闸板219s移动,歧管209的下端开口开放(闸板打开)。之后,如图1所示,支承有多张晶片200的舟皿217由舟皿升降机115抬升并向处理室201内搬入(舟皿装载)。在该状态下,密封盖219成为借助O型圈220b使歧管209的下端密封的状态。
作为晶片200,例如能够使用在由单晶Si构成的Si衬底或在表面形成有单晶Si膜的衬底。如图6的(a)所示,在晶片200的表面的至少一部分,作为基底分别预先设有作为导电性的含金属元素膜的W膜和作为氮化膜的SiN膜。W膜中的至少一部分及SiN膜中的至少一部分分别成为露出的状态。
(压力、温度调节步骤)
通过真空泵246进行真空排气(减压排气),以使得处理室201内即晶片200所在空间成为希望的压力(真空度)。此时,处理室201内的压力由压力传感器245测定,APC阀244基于该测定的压力信息进行反馈控制(压力调节)。另外,通过加热器207进行加热,以使得处理室201内的晶片200成为希望的温度。此时,基于温度传感器263检测到的温度信息对针对加热器207的通电状况进行反馈控制,以使得处理室201内成为希望的温度分布(温度调节)。另外,通过旋转机构267使舟皿217及晶片200开始旋转。真空泵246的运行、晶片200的加热及旋转均至少在针对晶片200的处理结束为止的期间持续进行。
(第1成膜)
之后依次执行下述步骤A1、A2。
[步骤A1]
在该步骤中,向处理室201内的晶片200供给HCDS气体(HCDS气体供给)。具体来说,将阀243a打开,使HCDS气体流向气体供给管232a内。HCDS气体由MFC241a进行流量调节,经由喷嘴249a向处理室201内供给,并从排气口231a排气。此时向晶片200供给HCDS气体。此时也可以将阀243f、243g打开,经由喷嘴249a、249b向处理室201内供给N2气。
作为本步骤中的处理条件,可例示:
HCDS气体供给流量:0.01~2slm,优选0.1~1slm
N2气供给流量(每个气体供给管):0~10slm
各气体供给时间:1~120秒,优选1~60秒
处理温度:400~800℃,优选600~700℃
处理压力:1~2666Pa,优选67~1333Pa。
需要说明的是,本说明书中的“1~2666Pa”这样的数值范围的表述表示下限值及上限值包含在其范围内。由此,例如,“1~2666Pa”表示“1Pa以上2666Pa以下”。其他数值范围也相同。
在上述条件下向晶片200供给HCDS气体,从而在晶片200的最外表面上形成有含有Cl的含Si层。含有Cl的含Si层通过HCDS向晶片200的最外表面上的物理吸附、化学吸附、HCDS的一部分分解而得的物质(以下,记为SixCly)的化学吸附、由HCDS的热分解引起的Si的堆积等形成。含有Cl的含Si层既可以是HCDS、SixCly的吸附层(物理吸附层、化学吸附层),也可以是含有Cl的Si的堆积层。在本说明书中,也将含有Cl的含Si层简称为含Si层。
在形成含Si层后,将阀243a关闭,停止向处理室201内供给HCDS气体。然后,对处理室201内进行真空排气,将残留在处理室201内的气体等从处理室201内排除(吹扫)。此时,将阀243f、243g打开,向处理室201内供给N2气。N2气作为吹扫气体发挥作用。
作为原料气体,除了HCDS气体以外,能够使用一氯硅烷(SiH3Cl、简称:MCS)气体、二氯硅烷(SiH2Cl2、简称:DCS)气体、三氯硅烷(SiHCl3、简称:TCS)气体、四氯硅烷(SiCl4、简称:STC)气体、八氯三硅烷(Si3Cl8、简称:OCTS)气体等氯硅烷系气体、四氟化硅(SiF4)气体等氟硅烷系气体、四溴硅烷(SiBr4)气体等溴硅烷系气体、四碘硅烷(SiI4)气体等碘硅烷系气体。这一点在后述的步骤B1等中也相同。
作为非活性气体,除了N2气以外,能够使用Ar气、He气、Ne气、Xe气等稀有气体。这一点在后述的各步骤中也相同。
[步骤A2]
在步骤A1结束后,向处理室201内的晶片200即在晶片200上形成的含Si层供给TEA气体(TEA气体供给)。具体来说,将阀243b打开,使TEA气体流向气体供给管232b内。TEA气体通过MFC241b进行流量调节,经由喷嘴249b向处理室201内供给,并从排气口231a排气。此时向晶片200供给TEA气体。此时也可以将阀243f、243g打开,经由喷嘴249a、249b向处理室201内供给N2气。
作为本步骤中的处理条件,可例示:
TEA气体供给流量:0.1~10slm
TEA气体供给时间:1~120秒,优选1~60秒
处理压力:1~4000Pa,优选1~3000Pa。
其他处理条件设为与步骤A1中的处理条件相同的处理条件。
通过在上述条件下向晶片200供给TEA气体,从而使在晶片200上形成的含Si层与TEA气体反应,能够将TEA气体中含有的N成分及C成分分别捕获至含Si层中,使含Si层改质。作为结果,在晶片200上形成碳氮化硅层(SiCN层)作为含有Si、C及N而不含O的层。需要说明的是,在本步骤中,作为反应气体使用作为胺系气体的TEA气体,从而能够增加SiCN层中的C成分的添加量,例如能够使其比SiCN层中的N成分的添加量多。作为结果,能够使SiCN层中的C浓度高于该层中的N浓度。
在形成SiCN层时,含Si层中含有的Cl等杂质在基于TEA气体的含Si层的改质反应的过程中,构成至少含有Cl的气体状物质,从处理室201内排出。由此,SiCN层与在步骤A1中形成的含Si层相比,成为Cl等杂质少的层。
在形成SiCN层后,将阀243b关闭,停止向处理室201内供给TEA气体。然后,通过与步骤A1中的吹扫相同的处理步骤,将处理室201内残留的气体等从处理室201内排除(吹扫)。
作为反应气体(含N及C气体),除了TEA气体以外,例如能够使用二乙基胺((C2H5)2NH、简称:DEA)气体、单乙基胺(C2H5NH2、简称:MEA)气体等乙基胺系气体、三甲基胺((CH3)3N、简称:TMA)气体、二甲基胺((CH3)2NH、简称:DMA)气体、单甲基胺(CH3NH2、简称:MMA)气体等甲基胺系气体等。另外,作为含有N及C的气体,除了胺系气体以外,能够使用三甲基肼((CH3)2N2(CH3)H、简称:TMH)气体、二甲基肼((CH3)2N2H2、简称:DMH)气体、单甲基肼((CH3)HN2H2、简称:MMH)气体等有机肼系气体。这一点在后述的步骤B2等中也相同。
[实施规定次数]
通过进行规定次数(m次、m为1以上且3以下的整数)非同时即非同步地进行上述的步骤A1、A2的循环,从而如图6的(b)所示,在晶片200上即在晶片200的表面露出的W膜及SiN膜上分别形成规定组成及规定膜厚的SiCN膜。
如上所述,在第1成膜中,能够使在晶片200上形成的SiCN膜中的C浓度高于该膜中的N浓度。在第1成膜完成的时间点,能够使SiCN膜中的C浓度成为例如30~50at%(原子%)范围内的规定的浓度。通过像这样提高SiCN膜中的C浓度,从而能够恰当地获得后述的氧化阻挡效果。
需要说明的是,若SiCN膜中的C浓度低于30at%,则后述的O原子捕获效果变得不充分,从而后述的氧化阻挡效果变得不充分,在后述的第2成膜中,存在基底(W膜、SiN膜)的一部分被氧化的情况。通过使SiCN膜中的C浓度成为30at%以上,从而能够充分地获得O原子捕获效果,充分获得氧化阻挡效果,能够在第2成膜中避免基底的氧化。
另外,若SiCN膜中的C浓度超过50at%,则存在在后述的第2成膜中改质后的SiCN膜(SiOCN膜或SiON膜)中残留的C的量增多而漏电流增大的情况。通过将SiCN膜中的C浓度设为50at%以下,从而能够减少改质后的SiCN膜(SiOCN膜或SiON膜)中残留的C的量,能够抑制漏电流。
SiCN膜的厚度(第1厚度)设为例如0.05nm以上0.15nm以下的范围内的厚度。
若SiCN膜的厚度低于0.05nm,则后述的氧化阻挡效果变得不充分,在后述的第2成膜中,存在基底(W膜、SiN膜)的一部分被氧化的情况。通过将SiCN膜的厚度设为0.05nm以上的厚度,从而能够充分获得氧化阻挡效果,能够在第2成膜中避免基底的氧化。
若SiCN膜的厚度超过0.15nm,则存在后述的层叠膜的总的介电常数增大的情况。通过将SiCN膜的厚度设为0.15nm以下的厚度,从而能够抑制后述的层叠膜的总的介电常数增大。
优选上述循环重复多次。即,优选使在进行一次上述循环时形成的SiCN层的厚度比希望的膜厚薄,并重复多次上述循环直到将SiCN层层叠而形成的SiCN膜的膜厚达到希望的膜厚。通过将上述的循环的实施次数设为1次以上且3次以下的规定次数,从而能够使SiCN膜的厚度成为上述范围内的厚度。
(第2成膜)
之后,依次执行以下的步骤B1~B3。
[步骤B1]
在该步骤中,通过与上述步骤A1中的处理步骤、处理条件相同的处理步骤、处理条件向处理室201内的晶片200供给HCDS气体(HCDS气体供给)。由此,在晶片200上即在晶片200上形成的SiCN膜上形成含Si层。在形成含Si层后停止向处理室201内供给HCDS气体,通过与步骤A1中的吹扫相同的处理步骤,将处理室201内残留的气体等从处理室201内排除(吹扫)。
[步骤B2]
在步骤B1结束后,通过与上述步骤A2中的处理步骤、处理条件相同的处理步骤、处理条件向处理室201内的向晶片200供给TEA气体(TEA气体供给)。由此,步骤B1中形成的含Si层利用TEA气体而被改质,在晶片200上即在晶片200上形成的SiCN膜上形成SiCN层。在形成SiCN层后,停止向处理室201内供给TEA气体,通过与步骤A1中的吹扫相同的处理步骤将处理室201内残留的气体等从处理室201内排除(吹扫)。
[步骤B3]
在步骤B2结束后,向处理室201内的晶片200即在晶片200上的SiCN膜上形成的SiCN层供给O2气(O2气供给)。具体来说,将阀243c打开,使O2气流向气体供给管232c内。O2气通过MFC241c进行流量调节,经由气体供给管232b、喷嘴249b向处理室201内供给,并从排气口231a排气。此时向晶片200供给O2气。此时也可以将阀243f、243g打开,经由喷嘴249a、249b向处理室201内供给N2气。
作为本步骤中的处理条件,可例示:
O2气供给流量:0.1~10slm
O2气供给时间:1~120秒,优选1~60秒
处理压力:1~4000Pa,优选1~3000Pa。
其他处理条件设为与步骤A1中的处理条件相同的处理条件。
通过在上述条件下向晶片200供给O2气,从而在晶片200上的SiCN膜上形成的SiCN层的至少一部分被氧化(改质)。作为结果,在晶片200上即在晶片200上形成的SiCN膜上,作为含有Si、O及N的层形成含有Si、O、C及N的层即碳氮氧化硅层(SiOCN层)。在形成SiOCN层时,SiCN层中含有的Cl等杂质在基于O2气的SiCN层的改质反应的过程中构成至少含有Cl的气体状物质,从处理室201内排出。由此,SiOCN层成为与步骤B1中形成的含Si层、步骤B2中形成的SiCN层相比Cl等杂质少的层。
在形成SiOCN层后,将阀243c关闭,停止向处理室201内供给O2气。然后,通过与步骤A1中的吹扫相同的处理步骤,将处理室201内残留的气体等从处理室201内排除(吹扫)。
作为反应气体(含O气体),除了O2气以外,例如能够使用臭氧(O3)气体、水蒸气(H2O气体)、一氧化氮(NO)气体、一氧化二氮(N2O)气体等。
[实施规定次数]
通过进行规定次数(n次、n为1以上的整数)非同时即非同步地进行上述步骤B1~B3的循环,从而在晶片200上、即在通过进行第1成膜而在晶片200上形成的SiCN膜上形成规定组成及规定膜厚的SiOCN膜。
需要说明的是,在第2成膜中,能够在形成SiOCN膜的过程中将来自于氧化气体(在此为O2气)的O原子、例如向晶片200供给的氧化气体中含有的O成分的一部分、在晶片200上形成的SiOCN层中含有的O成分的一部分也向成为第2成膜的基底的SiCN膜供给。由此,将成为第2成膜的基底的SiCN膜中含有的C原子的至少一部分置换为O原子,使O成分扩散到该膜中来添加,能够将该膜改质(氧化)为介电常数低于SiCN膜的SiOCN膜。此时,根据条件,也可以使该膜改质为O浓度高于N浓度的SiOCN膜。另外,根据条件,也可以使该膜改质为不含C的氮氧化硅膜(SiON膜),此外,还能够改质为O浓度高于N浓度的SiON膜。
另外,在第2成膜中,能够在第2成膜完成的时间点使SiCN膜的整体成为改质成SiOCN膜或SiON膜的状态。由此,如图6的(c)所示,能够在晶片200上即在晶片200上露出的W膜及SiN膜上形成由介电常数均低的第1膜(SiOCN膜或SiON膜)和第2膜(SiOCN膜)依次层叠而成的层叠膜。该层叠膜成为所谓的低介电常数膜(Low-k膜)。
需要说明的是,在进行第2成膜时,来自于欲向SiCN膜、即形成层叠膜时的基底侧(W膜侧、SiN膜侧)扩散的氧化气体的O原子(O成分)通过SiCN膜自身被氧化而被捕获,向基底侧的扩散得以被阻挡。像这样,通过由SiCN膜限制O成分向基底侧扩散,从而能够抑制作为基底的W膜及SiN膜各自的氧化。在本说明书中,也将通过SiCN膜获得的阻挡该O成分向基底扩散的扩散阻挡效果、即基底的氧化抑制效果称为氧化阻挡效果。需要说明的是,如上所述,能够在第1成膜中使SiCN膜中的C浓度高于N浓度。通过采用这种方式,能够提高第2成膜中的由SiCN膜带来的O原子捕获效果,能够进一步提高第2成膜中获得的基底的氧化阻挡效果,能够进一步抑制基底的氧化。
需要说明的是,优选在第2成膜中形成的SiOCN膜的厚度(第2厚度)比在第1成膜中形成的SiCN膜的厚度(第1厚度)厚。即,优选在第1成膜中形成的SiCN膜的厚度比在第2成膜中形成的SiOCN膜的厚度薄。通过采用这种方式,从而能够在进行第2成膜时使在第1成膜中形成的SiCN膜的整体氧化而向SiOCN膜或SiON膜改质,能够使在第1成膜中形成的SiCN膜的整体改质为低介电常数膜。作为结果,能够降低第1膜和第2膜层叠而成的层叠膜的总的介电常数。另外,通过提高层叠膜的总的膜厚中的介电常数倾向于变得低于第1膜的介电常数的第2膜所占的厚度的比例,即通过减小介电常数倾向于高于第2膜的介电常数的第1膜所占的厚度的比例,从而能够进一步降低层叠膜的平均介电常数。
优选上述循环重复多次。即,优选使进行一次上述循环时形成的SiOCN层的厚度比希望的膜厚薄,并重复多次上述循环直到将SiOCN层层叠而形成的SiOCN膜的膜厚达到希望的膜厚。
(后吹扫及大气压恢复)
在作为第2膜的SiOCN膜的形成及作为第1膜而形成的SiCN膜向SiOCN膜或SiON膜的改质分别结束后,分别从喷嘴249a、249b向处理室201内供给作为吹扫气体的N2气并从排气口231a排气。由此,处理室201内被吹扫,处理室201内残留的气体、反应副产物被从处理室201内去除(后吹扫)。之后,处理室201内的气氛被置换为非活性气体(非活性气体置换),处理室201内的压力恢复为常压(大气压恢复)。
(舟皿卸载、晶片取出)
通过舟皿升降机115使密封盖219下降,歧管209的下端开口。并且,处理完的晶片200在支承于舟皿217的状态下被从歧管209的下端向反应管203的外部搬出(舟皿卸载)。在舟皿卸载后,闸板219s移动,歧管209的下端开口借助O型圈220c由闸板219s密封(闸板闭合)。处理完的晶片200在搬出到反应管203的外部后被从舟皿217取出(晶片取出)。
(3)本方式的效果
根据本方式,能够获得以下所示的一个或多个效果。
(a)通过在进行第2成膜前进行第1成膜,从而能够在进行第2成膜时对来自于欲向比SiCN膜靠下方扩散的氧化气体的O原子(O成分)即欲到达基底的O成分进行阻挡。通过由该SiCN膜带来的O成分的扩散阻挡作用,能够抑制基底的氧化。需要说明的是,若作为基底的W膜的表面被氧化,则存在W膜的电阻上升的情况,但根据本方式能够避免该课题。另外,若作为基底的SiN膜的表面被氧化,则SiN膜所具有的电荷捕获特性有时劣化,但根据本方式能够避免该课题。
(b)在第1成膜中,能够使SiCN膜中的C浓度高于N浓度,通过采用这种方式,从而能够进一步提高第2成膜中获得的基底的氧化阻挡效果,能够进一步抑制基底的氧化。
(c)在第2成膜中,通过使用含有氧化气体的第2处理气体,从而能够在晶片200上形成介电常数低的SiOCN膜。另外,通过进行第2成膜,从而能够使在第1成膜中形成的SiCN膜氧化,向介电常数低的SiOCN膜或SiON膜改质。通过以上处理,能够使第1膜和第2膜层叠而成的层叠膜成为低介电常数膜。
(d)通过使在第2成膜中形成的SiOCN膜的厚度比在第1成膜中形成的SiCN膜的厚度厚,从而能够促进SiCN膜的氧化,能够进一步减小第1膜和第2膜层叠而成的层叠膜的介电常数。另外,通过提高层叠膜的总的膜厚中的介电常数倾向于变得低于第1膜的介电常数的第2膜所占的厚度的比例、即减小介电常数倾向于变得高于第2膜的介电常数的第1膜所占的厚度的比例,从而能够进一步降低层叠膜的平均介电常数。
(e)如上所述,根据本方式,即使使在基底(W膜及SiN膜)上形成的氧化膜(第1膜与第2膜的层叠膜)成为低介电常数膜,也能够抑制基底的氧化。通过本方式的方法形成的层叠膜例如能够合适地应用于MPU等逻辑器件、DRAM、3DNAND等存储器件中的侧壁间隔件、硬掩模、蚀刻阻挡件等。
(f)通过在第1成膜和第2成膜中使温度条件相同,从而无需在第1成膜与第2成膜之间设置对晶片200的温度进行变更的工序(升温工序或降温工序),能够提高衬底处理的生产率。
(g)本方式的效果在使用HCDS气体以外的原料气体的情况下、在使用TEA气体以外的含N及C气体的情况下、在使用O2气以外的含O气体的情况下及在使用除了N2气以外的非活性气体的情况下均同样地能够获得。
<本发明的第2方式>
以下,主要使用图7的(a)~图7的(c)说明本发明的第2方式。
如图7的(a)所示,在本方式的晶片200的表面的至少一部分,预先设有作为氮化膜的SiN膜作为基底。SiN膜中的至少一部分成为在晶片200的表面露出的状态。
(第1成膜)
第1成膜如下述的成膜时序所示,与上述方式中的第1成膜同样地进行。其结果,如图7的(b)所示,在晶片200上即在晶片200的表面露出的SiN膜上形成规定组成及规定膜厚的SiCN膜。
(第2成膜)
在第2成膜中,按照以下所示的成膜时序依次进行以下的步骤C1~C3。
[步骤C1]
在步骤C1中,通过与上述的步骤A1中的处理步骤、处理条件相同的处理步骤、处理条件向处理室201内的晶片200供给HCDS气体(HCDS气体供给)。由此,在晶片200上即在晶片200上形成的SiCN膜上形成含Si层。在形成含Si层后,停止向处理室201内供给HCDS气体,通过与步骤A1中的吹扫相同的处理步骤,将处理室201内残留的气体等从处理室201内排除(吹扫)。
[步骤C2]
在步骤C1结束后,向处理室201内的晶片200即在晶片200上的SiCN膜上形成的含Si层供给NH3气体(NH3气体供给)。具体来说,将阀243d打开,使NH3气体流向气体供给管232d内。NH3气体通过MFC241d进行流量调节,经由气体供给管232b、喷嘴249b向处理室201内供给,并从排气口231a排气。此时,向晶片200供给NH3气体。此时也可以将阀243f、243g打开,经由喷嘴249a、249b向处理室201内供给N2气。
作为本步骤中的处理条件,可例示:
NH3气体供给流量:0.1~10slm
NH3气体供给时间:1~120秒,优选1~60秒
处理压力:1~4000Pa,优选1~3000Pa。
其他处理条件设为与上述方式的步骤A1中的处理条件相同的处理条件。
在上述条件下向晶片200供给NH3气体,在晶片200上的SiCN膜上形成的含Si层的至少一部分被氮化(改质)。通过使含Si层改质,从而在晶片200上形成含有Si及N的层、即氮化硅层(SiN层)。在形成SiN层时,含Si层中含有的Cl等杂质在基于NH3气体的含Si层的改质反应的过程中构成至少含有Cl的气体状物质,并从处理室201内排出。由此,SiN层成为与在步骤C1中形成的含Si层相比Cl等杂质少的层。
在形成SiN层后,将阀243d关闭,停止向处理室201内供给NH3气体。然后,通过与步骤A1中的吹扫相同的处理步骤,将处理室201内残留的气体等从处理室201内排除(吹扫)。
作为反应气体(含N及H气体),除了NH3气体以外,例如能够使用二氮烯(N2H2)气体、肼(N2H4)气体、N3H8气体等氮化氢系气体。
[步骤C3]
在步骤C2结束后,通过与上述的步骤B3中的处理步骤、处理条件相同的处理步骤、处理条件向处理室201内的晶片200即在晶片200上的SiCN膜上形成的SiN层供给O2气(O2气供给)。由此,在晶片200上的SiCN膜上形成的SiN层的至少一部分被氧化(改质),在晶片200上即在晶片200上的SiCN膜上形成氮氧化硅层(SiON层)作为含有Si、O及N的层。在形成SiON层时,SiN层中含有的Cl等杂质在基于O2气的SiN层的改质反应的过程中构成至少含有Cl的气体状物质,并从处理室201内排出。由此,SiON层成为与在步骤C1中形成的含Si层、在步骤C2中形成的SiN层相比Cl等杂质少的层。
在SiCN膜上形成SiON层后,停止向处理室201内供给NH3气体,通过与步骤A1中的吹扫相同的处理步骤将处理室201内残留的气体等从处理室201内排除(吹扫)。
[实施规定次数]
通过进行规定次数(n次、n为1以上的整数)非同时即非同步地进行步骤C1~C3的循环,从而能够在晶片200上、即在通过进行第1成膜而在晶片200上形成的SiCN膜上形成规定组成及规定膜厚的SiON膜。
需要说明的是,在第2成膜中,能够在形成SiON膜的过程中将来自于氧化气体(在此为O2气)的O原子、例如向晶片200供给的氧化气体中含有的O成分的一部分、在晶片200上形成的SiON层中含有的O成分的一部分也向成为第2成膜的基底的SiCN膜供给。由此,能够将成为第2成膜的基底的SiCN膜中含有的C原子的至少一部分置换为O原子,使O成分扩散到该膜中来添加,使该膜改质(氧化)为与SiCN膜相比介电常数低的SiOCN膜。此时,根据条件,也可以使该膜改质为O浓度高于N浓度的SiOCN膜。另外,根据条件,也可以使该膜改质为不含C的SiON膜,此外,也可以使之改质为O浓度高于N浓度的SiON膜。
另外,在第2成膜中,能够在第2成膜完成的时间点使SiCN膜的整体成为改质成SiOCN膜或SiON膜的状态。由此,如图7的(c)所示,能够在晶片200上即在晶片200上露出的SiN膜上形成由介电常数均低的第1膜(SiOCN膜或SiON膜)和第2膜(SiON膜)依次层叠而成的层叠膜。该层叠膜成为所谓的Low-k膜。
在本方式中也能够获得与上述方式相同的效果。
即,通过在进行第2成膜前进行第1成膜,从而能够在进行第2成膜时对来自于欲向比SiCN膜靠下方扩散的氧化气体的O原子(O成分)即欲到达基底的O成分。能够通过由该SiCN膜带来的O成分的扩散阻挡作用来抑制基底的氧化。
另外,在第1成膜中,能够使SiCN膜中的C浓度高于N浓度,通过采用这种方式,能够进一步提高第2成膜中获得的基底的氧化阻挡效果,能够进一步抑制基底的氧化。
另外,在第2成膜中,通过使用含有氧化气体的第2处理气体,从而能够在晶片200上形成介电常数低的SiON膜。
另外,通过进行第2成膜,从而能够使在第1成膜中形成的SiCN膜氧化,向介电常数低的SiOCN膜或SiON膜改质。通过以上处理,能够将第1膜和第2膜层叠而成的层叠膜设为低介电常数膜。
另外,通过使在第2成膜中形成的SiON膜的厚度比第1成膜中形成的SiCN膜的厚度厚,从而能够促进SiCN膜的氧化,能够使第1膜和第2膜层叠而成的层叠膜的介电常数进一步降低。另外,通过提高层叠膜的总的膜厚中的第2膜所占的厚度的比例,从而能够使层叠膜的平均介电常数接近第2膜的介电常数。
如上所述,在本方式中,也同样地,即使在将在基底(SiN膜)上形成的氧化膜(第1膜和第2膜的层叠膜)设为低介电常数膜的情况下,也能够抑制基底的氧化。
另外,在本方式中,也同样地,能够通过在第1成膜和第2成膜中使温度条件相同来提高衬底处理的生产率。
需要说明的是,本方式的效果在使用HCDS气体以外的原料气体的情况下、在使用NH3气体以外的含N气体的情况下、使用O2气以外的含O气体的情况下及在使用N2气以外的非活性气体的情况也能够同样地获得。
<本发明的其他方式>
以上对本发明的方式具体地进行了说明。但本发明并非限定于上述方式,能够在不脱离其要旨的范围内进行多种变更。
例如,在上述方式中,说明了准备预先在表面形成有基底(W膜、SiN膜)的晶片,将该晶片搬入处理室内以进行第1成膜和第2成膜的例子、即在不同的处理室内(异位地(ex-situ))进行基底的形成和基底上的层叠膜形成(第1成膜、第2成膜)的例子。但是,例如也可以按照以下所示的成膜时序在同一处理室内(原位地(in-situ))进行作为基底的SiN膜的形成和基底上的层叠膜形成。需要说明的是,以下的成膜时序中的l、n分别表示1以上的整数,m表示1以上且3以下的整数。
(HCDS→NH3)×l→(HCDS→TEA)×m→(HCDS→NH3→O2)×n
另外,例如也可以按照以下所示的成膜时序,原位地进行基底的形成和第1成膜、异位地进行第1成膜和第2成膜。
(HCDS→NH3)×l→(HCDS→TEA)×m
(HCDS→NH3→O2)×n
另外,例如也可以按照以下所示的成膜时序,异位地进行基底的形成和第1成膜、异位地进行第1成膜和第2成膜。
(HCDS→NH3)×l
(HCDS→TEA)×m
(HCDS→NH3→O2)×n
在以上情况下,也能够获得与上述方式相同的效果。需要说明的是,在原位地进行基底的形成和基底上的层叠膜的形成的情况下,容易将基底与第1膜的界面及第1膜与第2膜的界面保持为洁净的状态。
另外,例如也可以在进行第2成膜后以与第1成膜相同的处理步骤、处理条件进行成膜处理,从而在第2膜上即在层叠膜的最外表面上形成SiCN膜作为含有Si、C及N而不含O的第3膜(封盖层)。由此,能够均衡性良好地提高包含封盖层在内的层叠膜的耐灰化性及耐湿式蚀刻性。需要说明的是,为了避免包含封盖层在内的层叠膜中的总的介电常数的增大,优选第3膜的厚度(第3厚度)比第2膜的厚度(第2厚度)薄。
在上述方式中,作为在衬底的表面露出的导电性的含金属膜,例示了作为金属单质膜的W膜,但本发明不限定于这样的方式。例如,在衬底的表面露出的导电性的含金属膜,既可以是氮化钛膜(TiN膜)、氮化钨膜(WN膜)等金属氮化膜,也可以是铝膜(Al膜)、钴膜(Co膜)、镍膜(Ni膜)、铂膜(Pt膜)、铜膜(Cu膜)等金属单质膜。在这些情况下,也能够获得与上述方式相同的效果。需要说明的是,在本说明书中,也将TiN膜、W膜等导电性的含金属膜简称为金属膜。
在第1成膜中,作为第1处理气体(原料气体),除了HCDS气体等上述各种卤代硅烷系气体以外,也可以使用1,1,2,2-四氯-1,2-二甲基乙硅烷((CH3)2Si2Cl4、简称:TCDMDS)气体等烷基卤代硅烷系气体、六甲基二硅烷((CH3)3-Si-Si-(CH3)3、简称:HMDS)气体等烷基硅烷系气体、1,4-二硅代丁烷(Si2C2H10、简称:DSB)气体等亚烷基硅烷系气体。另外,作为第1处理气体(反应气体),除了TEA气体等上述各种含N及C气体以外,也可以使用NH3气体等含N气体、C3H6气体等含C气体。并且,也可以通过以下所示的气体供给时序,在在表面露出氮化膜及导电性的含金属膜中的至少任一基底的晶片上形成SiCN膜作为第1膜。在这些情况下也能够获得与上述方式相同的效果。需要说明的是,烷基卤代硅烷系气体、烷基硅烷系气体及亚烷基硅烷系气体分别为作为Si源及C源发挥作用的气体。
在第2成膜中,作为第2处理气体(原料气体),除了HCDS气体等上述各种卤代硅烷系气体以外,也可以使用TCDMDS气体等烷基卤代硅烷系气体、HMDS气体等烷基硅烷系气体、DSB气体等亚烷基硅烷系气体。另外,作为第2处理气体(反应气体),除了TEA气体、NH3气体、O2气等上述各种含N及C气体、含N气体、含O气体以外,也可以使用C3H6气体等含C气体。并且,也可以通过以下所示的气体供给时序,在晶片200上即在第1膜上形成SiOCN膜或SiON膜作为第2膜。在这些情况下也能够获得与上述方式相同的效果。
优选各处理使用的制程根据处理内容单独准备,经由电气通信线路、外部存储装置123预先保存在存储装置121c内。并且,优选在各处理开始时,由CPU121a根据处理内容从在存储装置121c内保存的多个制程中适当选择恰当的制程。由此能够由一台衬底处理装置再现性良好地形成多种膜种、组成比、膜质、膜厚的膜。另外,能够减轻操作者的负担,避免操作失误并迅速开始各处理。
上述制程不限于新创建的情况,例如也可以通过对已安装在衬底处理装置中的现有制程进行变更来准备。在对制程进行变更的情况下,也可以将变更后的制程经由电气通信线路、记录有该制程的记录介质安装于衬底处理装置。另外,也可以对现有衬底处理装置具备的输入输出装置122进行操作,对已安装于衬底处理装置的现有制程直接进行变更。
在上述方式中,对使用一次处理多张衬底的批量式衬底处理装置形成膜的例子进行了说明。本发明不限定于上述方式,例如也能够恰当地应用于使用一次处理一张或几张衬底的单张式衬底处理装置形成膜的情况。另外,在上述方式中,对使用具有热壁型处理炉的衬底处理装置形成膜的例子进行了说明。本发明不限定于上述方式,也能够恰当地应用于使用具有冷壁型处理炉的衬底处理装置形成膜的情况。
在使用以上衬底处理装置的情况下,也能够以与上述方式相同的处理步骤、处理条件进行各处理,能够获得与上述方式相同的效果。
另外,上述方式能够适当组合使用。此时的处理步骤、处理条件例如能够设为与上述方式的处理步骤、处理条件相同。

Claims (25)

1.一种处理方法,其具有:
(a)准备衬底的工序,所述衬底为向衬底供给包含含硅气体、和含氮及碳气体的第1处理气体从而在所述衬底的表面上的基底上形成有含有硅、碳及氮的第1膜的衬底;和
(b)向所述衬底供给包含含氧气体的第2处理气体,从而在所述第1膜上形成含有硅及氧的第2膜的工序,
其中,在(b)中,利用所述第1膜对来自于从所述第1膜的表面朝向所述基底扩散的所述含氧气体的氧原子进行吸收,使所述第1膜改质。
2.根据权利要求1所述的处理方法,其中,(a)中的所述第1膜的碳浓度高于氮浓度。
3.根据权利要求1所述的处理方法,其中,(a)中的所述第1膜的碳浓度为30原子%以上。
4.根据权利要求1所述的处理方法,其中,(a)中的所述第1膜的碳浓度为30原子%以上50原子%以下。
5.根据权利要求1所述的处理方法,其中,在(a)中,向所述衬底间歇地供给所述含硅气体和所述含氮及碳气体,从而形成碳氮化硅膜作为所述第1膜。
6.根据权利要求1所述的处理方法,其中,所述含硅气体包含卤代硅烷系气体,所述含氮及碳气体包含胺系气体及有机肼系气体中的至少任一者。
7.根据权利要求1所述的处理方法,其中,使所述第1膜的厚度为0.05nm以上0.15nm以下。
8.根据权利要求1所述的处理方法,其中,所述第2处理气体包含含硅气体、含氮气体及含氧气体,
在(b)中,间歇地向所述衬底供给所述含硅气体、所述含氮气体及所述含氧气体,从而形成碳氮氧化硅膜或氮氧化硅膜作为所述第2膜。
9.根据权利要求8所述的处理方法,其中,所述含氮气体包含含氮及碳气体,
在(b)中,形成所述碳氮氧化硅膜作为所述第2膜。
10.根据权利要求9所述的处理方法,其中,所述含硅气体包含卤代硅烷系气体,所述含氮及碳气体包含胺系气体及有机肼系气体中的至少任一者。
11.根据权利要求8所述的处理方法,其中,所述含氮气体包含含氮及氢气体,
在(b)中,形成所述氮氧化硅膜作为所述第2膜。
12.根据权利要求11所述的处理方法,其中,所述含硅气体包含卤代硅烷系气体,所述含氮及氢气体包含氮化氢系气体。
13.根据权利要求1所述的处理方法,其中,在(b)中,将所述第1膜中包含的碳原子的至少一部分置换为氧原子。
14.根据权利要求1所述的处理方法,其中,在(b)中,使所述第1膜改质为碳氮氧化硅膜或氮氧化硅膜。
15.根据权利要求1所述的处理方法,其中,在(b)中,使所述第1膜改质为氧浓度高于氮浓度的碳氮氧化硅膜或氮氧化硅膜。
16.根据权利要求1所述的处理方法,其中,在(b)完成的时间点,使所述第1膜的整体成为改质成碳氮氧化硅膜或氮氧化硅膜的状态。
17.根据权利要求1所述的处理方法,其中,在(b)中,使所述第1膜改质为氮氧化硅膜。
18.根据权利要求1~17中任一项所述的处理方法,其中,在同一处理室内进行(a)及(b)。
19.根据权利要求1~17中任一项所述的处理方法,其还具有(c)在进行(a)之前,在所述衬底的表面形成氮化膜作为所述基底的工序,
在第1处理室内依次进行(c)及(a)。
20.根据权利要求19所述的处理方法,其中,在与所述第1处理室不同的第2处理室内进行(b)。
21.根据权利要求1~17中任一项所述的处理方法,其中,在不同的处理室内进行(a)及(b)。
22.根据权利要求1~17中任一项所述的处理方法,其还具有(d)在进行(b)之后,供给所述第1处理气体,在所述第2膜上形成含有硅、碳及氮的第3膜的工序。
23.一种半导体器件的制造方法,其具有:
(a)准备衬底的工序,所述衬底为向衬底供给包含含硅气体、和含氮及碳气体的第1处理气体从而在所述衬底的表面上的基底上形成有含有硅、碳及氮的第1膜的衬底;和
(b)向所述衬底供给包含含氧气体的第2处理气体,从而在所述第1膜上形成含有硅及氧的第2膜的工序,
其中,在(b)中,利用所述第1膜对来自于从所述第1膜的表面朝向所述基底扩散的所述含氧气体的氧原子进行吸收,使所述第1膜改质。
24.一种处理装置,其具有:
第1处理气体供给***,其向衬底供给包含含硅气体、和含氮及碳气体的第1处理气体;
第2处理气体供给***,其向衬底供给包含含氧气体的第2处理气体;和
控制部,其构成为能够控制所述第1处理气体供给***及所述第2处理气体供给***以进行:(a)准备衬底的处理,所述衬底为向衬底供给所述第1处理气体从而在所述衬底的表面上的基底上形成有含有硅、碳及氮的第1膜的衬底;和(b)向所述衬底供给所述第2处理气体,从而在所述第1膜上形成含有硅及氧的第2膜的处理,其中,在(b)中,利用所述第1膜对来自于从所述第1膜的表面朝向所述基底扩散的所述含氧气体的氧原子进行吸收,使所述第1膜改质。
25.一种计算机可读取的记录介质,其记录有通过计算机使衬底处理装置执下述步骤的程序:
(a)准备衬底的步骤,所述衬底为向衬底供给包含含硅气体、和含氮及碳气体的第1处理气体从而在所述衬底的表面上的基底上形成有含有硅、碳及氮的第1膜的衬底;
(b)向所述衬底供给包含含氧气体的第2处理气体,从而在所述第1膜上形成含有硅及氧的第2膜的步骤;和
在(b)中,利用所述第1膜对来自于从所述第1膜的表面朝向所述基底扩散的所述含氧气体的氧原子进行吸收,使所述第1膜改质的步骤。
CN202410181750.7A 2019-06-20 2020-06-09 处理方法、半导体器件的制造方法、处理装置及程序 Pending CN118053739A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2019114560A JP6946374B2 (ja) 2019-06-20 2019-06-20 半導体装置の製造方法、基板処理装置、およびプログラム
JP2019-114560 2019-06-20
CN202010520475.9A CN112117182B (zh) 2019-06-20 2020-06-09 半导体器件的制造方法、衬底处理装置及记录介质

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN202010520475.9A Division CN112117182B (zh) 2019-06-20 2020-06-09 半导体器件的制造方法、衬底处理装置及记录介质

Publications (1)

Publication Number Publication Date
CN118053739A true CN118053739A (zh) 2024-05-17

Family

ID=73798882

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202010520475.9A Active CN112117182B (zh) 2019-06-20 2020-06-09 半导体器件的制造方法、衬底处理装置及记录介质
CN202410181750.7A Pending CN118053739A (zh) 2019-06-20 2020-06-09 处理方法、半导体器件的制造方法、处理装置及程序

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202010520475.9A Active CN112117182B (zh) 2019-06-20 2020-06-09 半导体器件的制造方法、衬底处理装置及记录介质

Country Status (6)

Country Link
US (2) US11515143B2 (zh)
JP (3) JP6946374B2 (zh)
KR (3) KR102345397B1 (zh)
CN (2) CN112117182B (zh)
SG (1) SG10202005751RA (zh)
TW (1) TWI733492B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7175210B2 (ja) * 2019-02-04 2022-11-18 東京エレクトロン株式会社 排気装置、処理システム及び処理方法
JP6946374B2 (ja) * 2019-06-20 2021-10-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP7460676B2 (ja) 2022-03-24 2024-04-02 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、および、プログラム
JP7461396B2 (ja) 2022-03-24 2024-04-03 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、プログラム、および基板処理装置

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003142579A (ja) * 2001-11-07 2003-05-16 Hitachi Ltd 半導体装置の製造方法および半導体装置
JP4142941B2 (ja) 2002-12-06 2008-09-03 株式会社東芝 半導体装置の製造方法
US7091133B2 (en) * 2003-01-27 2006-08-15 Asm Japan K.K. Two-step formation of etch stop layer
JP2006135363A (ja) 2006-02-14 2006-05-25 Renesas Technology Corp 半導体装置および半導体装置の製造方法
JP5806612B2 (ja) 2011-12-27 2015-11-10 東京エレクトロン株式会社 シリコン酸炭窒化膜の形成方法
JP2014075491A (ja) * 2012-10-04 2014-04-24 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
JP6024484B2 (ja) * 2013-01-29 2016-11-16 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6035166B2 (ja) * 2013-02-26 2016-11-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP5855691B2 (ja) * 2014-02-25 2016-02-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
JP5883049B2 (ja) * 2014-03-04 2016-03-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US9607825B2 (en) * 2014-04-08 2017-03-28 International Business Machines Corporation Hydrogen-free silicon-based deposited dielectric films for nano device fabrication
JP6086934B2 (ja) * 2015-01-14 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6523091B2 (ja) 2015-07-24 2019-05-29 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10153351B2 (en) * 2016-01-29 2018-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9735005B1 (en) * 2016-03-11 2017-08-15 International Business Machines Corporation Robust high performance low hydrogen silicon carbon nitride (SiCNH) dielectrics for nano electronic devices
JP6568508B2 (ja) 2016-09-14 2019-08-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP6817845B2 (ja) * 2017-02-22 2021-01-20 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR102379950B1 (ko) 2017-03-02 2022-03-29 주성엔지니어링(주) 반도체 소자 및 그 제조 방법
US10355111B2 (en) * 2017-04-26 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Deposition selectivity enhancement and manufacturing method thereof
JP6842988B2 (ja) * 2017-05-19 2021-03-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6853116B2 (ja) 2017-05-31 2021-03-31 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10629496B2 (en) * 2017-07-31 2020-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming transistor gates with hafnium oxide layers and lanthanum oxide layers
JP6653308B2 (ja) * 2017-11-15 2020-02-26 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US11417566B2 (en) * 2018-07-31 2022-08-16 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device structure with interconnect structure and method for forming the same
JP6980624B2 (ja) * 2018-09-13 2021-12-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP7149407B2 (ja) 2019-03-05 2022-10-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
JP6946374B2 (ja) * 2019-06-20 2021-10-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム

Also Published As

Publication number Publication date
US20230049006A1 (en) 2023-02-16
KR20220000889A (ko) 2022-01-04
SG10202005751RA (en) 2021-01-28
TW202105475A (zh) 2021-02-01
KR102422162B1 (ko) 2022-07-18
KR20220104119A (ko) 2022-07-26
CN112117182A (zh) 2020-12-22
US20200402788A1 (en) 2020-12-24
JP7368427B2 (ja) 2023-10-24
JP6946374B2 (ja) 2021-10-06
JP2023178365A (ja) 2023-12-14
JP2021193748A (ja) 2021-12-23
KR20200145740A (ko) 2020-12-30
TWI733492B (zh) 2021-07-11
US11967499B2 (en) 2024-04-23
JP2021002556A (ja) 2021-01-07
CN112117182B (zh) 2024-03-05
KR102345397B1 (ko) 2021-12-31
US11515143B2 (en) 2022-11-29

Similar Documents

Publication Publication Date Title
CN111710604B (zh) 半导体器件的制造方法、衬底处理装置及记录介质
CN107818911B (zh) 半导体器件的制造方法、衬底处理装置及记录介质
CN112117182B (zh) 半导体器件的制造方法、衬底处理装置及记录介质
TWI756612B (zh) 半導體裝置之製造方法、基板處理方法、基板處理裝置及程式
CN108962733B (zh) 半导体装置的制造方法、基板处理装置和记录介质
CN112309830A (zh) 半导体器件的制造方法、衬底处理装置及记录介质
CN112349578A (zh) 半导体器件的制造方法、衬底处理装置及记录介质
CN113243042B (zh) 半导体器件的制造方法、衬底处理方法、衬底处理装置及记录介质
CN115881511A (zh) 衬底处理方法、半导体器件的制造方法、衬底处理***、及记录介质
JP7138130B2 (ja) 基板処理方法、半導体装置の製造方法、基板処理装置およびプログラム
CN113113284B (zh) 半导体器件的制造方法、衬底处理装置及记录介质
CN113314393B (zh) 半导体器件的制造方法、衬底处理装置及记录介质
JP7349033B2 (ja) 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
CN117941038A (zh) 衬底处理方法、半导体器件的制造方法、衬底处理装置及程序
CN116057677A (zh) 半导体器件的制造方法、衬底处理装置及程序
CN113314393A (zh) 半导体器件的制造方法、衬底处理装置及记录介质
CN116802776A (zh) 半导体器件的制造方法、衬底处理装置及程序

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination