CN106816373A - 制造半导体装置的方法 - Google Patents

制造半导体装置的方法 Download PDF

Info

Publication number
CN106816373A
CN106816373A CN201610830422.0A CN201610830422A CN106816373A CN 106816373 A CN106816373 A CN 106816373A CN 201610830422 A CN201610830422 A CN 201610830422A CN 106816373 A CN106816373 A CN 106816373A
Authority
CN
China
Prior art keywords
substrate
intensity
plasma
reaction
product
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610830422.0A
Other languages
English (en)
Other versions
CN106816373B (zh
Inventor
曾李全
吴常明
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN106816373A publication Critical patent/CN106816373A/zh
Application granted granted Critical
Publication of CN106816373B publication Critical patent/CN106816373B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00555Achieving a desired geometry, i.e. controlling etch rates, anisotropy or selectivity
    • B81C1/00563Avoid or control over-etching
    • B81C1/00587Processes for avoiding or controlling over-etching not provided for in B81C1/00571 - B81C1/00579
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C99/00Subject matter not provided for in other groups of this subclass
    • B81C99/0035Testing
    • B81C99/004Testing during manufacturing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/03Static structures
    • B81B2203/0323Grooves
    • B81B2203/033Trenches
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0111Bulk micromachining
    • B81C2201/0112Bosch process
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0128Processes for removing material
    • B81C2201/013Etching
    • B81C2201/0132Dry etching, i.e. plasma etching, barrel etching, reactive ion etching [RIE], sputter etching or ion milling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Geometry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Micromachines (AREA)

Abstract

本发明提供一种制造半导体装置的方法。所述方法包括以下步骤。(a)将衬底图案化。(b)在所述图案化的衬底上形成聚合物层。(c)将所述聚合物层图案化。交替重复步骤(a)、(b)与(c)。检测等离子与步骤(a)、(b)与(c)产生的产物反应所发生的发射光的强度。所述强度的采样速度范围大体上为1pt/20ms到1pt/100ms。使用平滑函数处理所述等离子与所述产物的所述反应所发生的所述发射光的所述强度。

Description

制造半导体装置的方法
技术领域
本发明实施例涉及制造半导体装置的方法。
背景技术
近年来,微机电***(Micro-Electro-Mechanical System,MEMS)市场与半导体工艺的其它领域已快速成长。MEMS的制造从半导体装置制造中的工艺技术演进,包括沉积工艺、蚀刻工艺以及可制造小型装置的其它技术。
所述技术其中之一,波希(Bosch)工艺,是广泛用于达成MEMS产业中所使用的极高的深宽比(aspect ratio)以及蚀刻硅微结构的高蚀刻速率。波希工艺是切换工艺(switched process),其特征在于交替硅蚀刻、聚合物沉积以及聚合物突破(polymerbreak through)的步骤。
发明内容
本发明的一些实施例为提供一种制造半导体装置的方法,其包含(a)图案化衬底;(b)在所述图案化的衬底上形成聚合物层;(c)图案化所述聚合物层;(d)交替重复步骤(a)、(b)与(c);(e)检测等离子与步骤(a)、(b)与(c)产生的产物的反应所发生的发射光的强度,其中所述强度的采样速度的范围大体上为1pt/20ms到1pt/100ms;以及(f)根据所述等离子与所述产物的所述反应所发生的所述发射光的所述强度,确定图案化所述衬底的终点。
本发明的一些实施例为提供一种制造半导体装置的方法,其包含(a)图案化衬底;(b)在所述图案化的衬底上形成聚合物层;(c)图案化所述聚合物层;(d)交替重复步骤(a)、(b)与(c);(e)检测等离子与步骤(a)、(b)与(c)产生的产物的反应所发生的发射光的强度;以及(f)根据所述等离子与步骤(a)、(b)与(c)其中的步骤产生的所述产物的所述反应所发生的所述发射光的所述强度,确定图案化所述衬底的终点。
本发明的一些实施例为提供一种制造半导体装置的方法,其包含(a)图案化衬底;(b)在所述图案化的衬底上形成聚合物层;(c)图案化所述聚合物层;(d)交替重复步骤(a)、(b)与(c);(e)检测等离子与步骤(a)、(b)与(c)产生的产物的反应所发生的发射光的强度;(f)使用平滑函数,以处理所述等离子与所述产物的所述反应所发生的所述发射光的所述强度;以及(g)根据所述平滑函数处理所述等离子与所述产物的所述反应所发生的所述发射光的所述强度,确定图案化所述衬底的终点。
附图说明
为协助读者达到最佳理解效果,建议在阅读本发明时同时参考附件图示及其详细文字叙述说明。请注意为遵循业界标准作法,本专利说明书中的图式不一定按照正确的比例绘制。在某些图式中,尺寸可能刻意放大或缩小,以协助读者清楚了解其中的讨论内容。
图1为根据本发明的各个方面说明制造半导体装置的方法的流程图。
图2A到2E为根据本发明的一些实施例说明制造沟槽结构的各种操作之一的剖面图。
图3A到3E为根据本发明的一些实施例说明制造沟槽结构的各种操作之一的剖面图。
图4为根据本发明的一些实施例说明波希工艺中变化的CFx的OES信号的概示图。
图5为根据本发明的一些实施例说明随时间变化的等离子的OES信号强度图式。
图6为根据本发明的一些实施例说明随时间变化的等离子的OES信号强度图式。
图7为根据本发明的一些实施例说明随时间变化的等离子的OES信号强度图式。
符号说明
200 载体衬底
201 中间层
202 掩模层
203 凹部
204 衬底
204A 第一表面
204B 第二表面
206 开口
208 沟槽
210 聚合物层
212 蚀刻停止层
212A 界面
具体实施方式
本发明提供了数个不同的实施方法或实施例,可用于实现本发明的不同特征。为简化说明起见,本发明也同时描述了特定零组件与布置的范例。请注意提供这些特定范例的目的仅在于示范,而非予以任何限制。举例来说,在以下说明第一构件如何在第二构件上或上方的叙述中,可能会包含某些实施例,其中第一构件与第二构件为直接接触,而叙述中也可能包含其它不同实施例,其中第一构件与第二构件中间另有其它构件,以致第一构件与第二构件并不直接接触。此外,本发明中的各种范例可能使用重复的参考数字和/或文字注记,以使文件更加简单化和明确,这些重复的参考数字与注记不代表不同的实施例与布置之间的关联性。
另外,本发明在使用与空间相关的叙述词汇,如“在……之下”、“低”、“下”、“上方”、“之上”、“下”、“顶”、“底”和类似词汇时,为便于叙述,其用法均在于描述图示中一个元件或构件与另一个(或多个)元件或构件的相对关系。除了图示中所显示的角度方向外,这些空间相对词汇也用来描述所述装置在使用中以及操作时的可能角度和方向。所述装置的角度方向可能不同(旋转90度或其它方位),而在本发明所使用的这些空间相关叙述可以同样方式加以解释。
在本发明实施例中,“半导体装置”一词可关于形成于结构层或衬底中的凹部(recess)、沟槽(trench)、空腔(cavity)、开口(opening)或孔洞(hole)。
在本发明实施例中,“沟槽”一词当其通常用于定义时,不限于长的、窄的沟渠(ditch)。“沟槽”一词广义解读为包括不那么狭长的矩形孔洞、正方形孔洞、圆孔或多角形孔洞,其都被认为“沟槽”用于本发明的目的。
在本发明实施例中,“终点”一词可指当衬底或结构层被蚀刻到所欲的深度的一个点。在一些实施例中,当蚀刻穿过衬底或结构层时,确定所述终点。在一些其它的实施例中,当蚀刻两个结构层其中之一以暴露此两个结构层之间的界面时,确定所述终点。
图1为根据本发明实施例的各种方面说明制造半导体装置的方法的流程图。方法100可用于图案化衬底或结构层。在一些实施例中,衬底或结构层受到掩模层覆盖,所述掩模层例如为光阻层或硬掩模层,其具有暴露所述衬底或所述结构层的一部分的开口。方法100始于操作170(即波希工艺),其包括操作130、140与150。在操作130中,图案化所述衬底。例如,使用掩模层作为硬掩模,蚀刻所述衬底,以形成沟槽。在操作140中,形成聚合物层。例如,在沟槽的侧壁与底部表面上,沉积聚合物层。在操作150中,图案化聚合物层。例如,图案化沉积在沟槽的底部表面上的聚合物层,例如突破(broken through)聚合物层。方法100继续进行操作155,检测等离子与操作130、140与150中产生的产物反应所发生的发射光的强度。方法100继续操作160,根据所述等离子与所述产物反应所发生的所述发射光的所述强度,确定是否达到图案化衬底的终点。如果未确定所述终点,则方法100再次继续进行操作170,直到达到所需要的蚀刻深度。
方法100仅为范例,并且非用于限制本发明超出权利要求书所明确主张的内容。在方法100之前、期间以及之后,可提供其它操作,并且在所述方法的其它实施例中,可重复、排除或移动一些所述的操作。方法100是如下所述,结合图2A到2E与3A到3E,其为制造工艺的各种阶段中的半导体装置的剖面图。
图2A到2E为根据本发明实施例的一些实施例说明制造沟槽结构的各种操作之一的剖面图。如图2A所示,提供衬底204。本文所述的衬底204包括晶片,在其上方欲形成装置,例如半导体装置、MEMS装置或其它装置。在一些实施例中,衬底204可包括半导体衬底,例如块状半导体衬底。块状半导体衬底包括元素半导体,例如硅/及或锗;合适的化合物半导体,例如碳化硅、砷化镓、磷化镓、磷化铟及/或锑化铟;合适的合金半导体,例如硅锗、碳化硅锗、磷砷化镓及/或磷化铟镓;或其等的组合。在一些实施例中,衬底204可包括多层衬底,例如绝缘体上覆硅(SOI)衬底。
在一些实施例中,衬底204的第二表面204B附接至载体衬底200。载体衬底200可包括晶片或是衬底,用于作为载体或支撑物,以于运送或制造过程中携载、支撑或承持衬底204。载体衬底200与衬底204的尺寸、材料或特性可相同或是不同。衬底204与载体衬底200可通过任何其它合适的直接或间接接合技术而接合。在一些实施例中,中间层201,例如粘着层,用于附接衬底204与载体衬底200。在一些实施例中,凹部203位于衬底204与载体衬底200之间,因而衬底204的一部分是从载体衬底200悬空(suspend)。在一些实施例中,凹部203可通过衬底204与载体衬底200而密封。在一些实施例中,载体衬底200用于当衬底204被蚀刻穿过时防止冷却气体进入所述凹部并且干扰终点的检测,所述冷却气体为例如氦气或氮气。
如图2A所示,在衬底204上方,形成具有开口206的掩模层202。可通过使用许多沉积操作的任何一种,例如涂覆操作、物理气相沉积(PVD)操作、化学气相沉积(CVD)操作、原子层沉积(ALD)操作或任何其它合适的操作,以形成掩模层202,接着进行图案化工艺以形成开口206。衬底204的第一表面204A的一部分从掩模层202的开口206暴露。在一些实施例中,掩模层202可由图案化的光阻层形成。在一些其它的实施例中,掩模层202可为图案化的氧化物层、图案化的氮化物层或适合后续波希工艺的任何其它形式的硬掩模。
如图2B与图1的操作130所示,方法100始于操作130,其中衬底204被图案化。在一些实施例中,经由掩模层202的开口206蚀刻衬底204,以于衬底204中形成沟槽208。通过使从掩模层202的开口206暴露的衬底204的第一表面204A凹陷而形成沟槽208。在一些实施例中,在操作130中,导入氟类(F-based)反应气体于腔室中,以实施蚀刻工艺。例如,提供六氟化硫(SF6)气体作为氟类反应气体,用于蚀刻硅所形成的衬底204。在一些实施例中,也可导入其它合适的气体,例如氩气、氧气及/或氦气。在操作130中,进行等离子处理以产生包含氟类自由基、离子与电子的SF6等离子。氟类自由基经由形成于掩模层202中的开口206达到衬底204,并且与衬底204的材料反应。因此,在衬底204中形成沟槽208。
如图2C与图1中的操作140所示,方法100继续进行操作140,其中在图案化的衬底204上,形成聚合物层210。例如,在沟槽208的侧壁与底部表面上,沉积聚合物层210。在一些实施例中,在操作130中,导入氟碳类气体于所述腔室中,以形成聚合物层210。例如,提供八氟环丁烷(C4F8)气体用于沉积。在一些实施例中,也可导入其它合适的气体,例如氩气、氧气及/或氦气。在操作140中,进行等离子处理,以分解C4F8气体,而后从C4F8等离子产生氟碳类聚合物。沉积该些氟碳类聚合物,以于沟槽208的侧壁与底部表面上形成聚合物层210。
如图2D与图1中的操作140所示,方法100继续进行操作150,其中图案化聚合物层210。例如,通过再次提供F系反应性气体,突破沉积于沟槽208的底部表面上的聚合物层210。例如,使用六氟化硫(SF6)气体作为F系反应性气体。在一些实施例中,可导入其它合适的气体,例如氩气、氧气及/或氦气。类似于操作130,进行等离子处理,以产生SF6等离子,其包含F系自由基、离子与电子。在一些实施例中,施加自偏压(self-bias),以加速离子朝向沟槽208的底部,因而在以下操作130之前,选择性移除形成于底部沟槽表面上的聚合物层210的一部分。在一些实施例中,位于沟槽208的侧壁上的聚合物层210的至少一部分被保留,因而沟槽208的周围受到保护而不被扩大。
在一些实施例中,操作130、140与150的例示工艺条件是如下所述,气体流速:约10sccm与约1000sccm之间;等离子功率:从约100瓦到约5000瓦;工艺压力:约5毫托与约500毫托之间。操作130、140与150中的各个工艺条件可彼此相同或不同。在一些实施例中,操作150中的自偏压高于操作130的自偏压,但并不以此为限。也可使用其它工艺条件。
如图1所示,方法100继续进行操作155,检测等离子与操作170产生的产物反应所发生的发射光强度。例如,通过使用如下所述的光学发射光谱仪(optical emissionspectroscopy,OES)技术检测发射光的强度。而后,方法100继续进行操作160,其中根据等离子与产物反应所发生的发射光的强度,确定图案化衬底的终点。如果未确定到终点,则方法100继续重复进行操作130到150(即波希工艺170),直到达到所欲的沟槽深度。在一些实施例中,当蚀刻穿过衬底204以暴露凹部203时(图2E),达到终点。在一些其它的实施例中,当衬底204与蚀刻停止层212之间的界面212A暴露时(图3E),或是当达到所需要的沟槽深度时,达到终点。此连续循环广泛用于达成整个MEMS产业所使用的高的深宽比(例如,高达20:1)、极高的深宽比(例如,高达100:1)或是蚀刻硅微结构的高蚀刻速度(例如,高达每分钟20微米)。例如,图2E所示的在沟槽208下方具有凹部203的结构可作为MEMS装置的可移动的元件或是悬挂元件,例如弹簧、质量块(proof mass)或其它结构。在波希工艺之后,在衬底204中,形成具有垂直侧壁或是近似为垂直侧壁的沟槽208。然而,在一些其它的实施例中,可形成具有v形或任何其它侧壁表面几何的沟槽,可依照所欲的深宽比与蚀刻速度,广泛地变化形成沟槽的工艺条件。
在一些范例中,在进行固定数目的工艺循环之后,终止波希工艺。然而,由于无法正确确定终点,因而此方法可能造成过度蚀刻或是蚀刻不足。为了控制沟槽的尺寸与轮廓,重要的是在工艺过程中正确检测终点。在一些实施例中,通过使用光学发射光谱仪(OES)技术,进行操作155,以监视原位(in-situ)等离子工艺条件,因而可检测等离子与操作170产生的产物反应所发生的发射光强度。OES技术涉及测量在UV与可见光(约200nm到1000nm)范围中等离子(例如,等离子中的电子)的光发射,不同波长对应于波希工艺(操作170)的各个操作所产生的不同元素与自由基。等离子的光发射随着操作170所产生的产物量而变化。如果产物量减少,则对应于所述产物量的等离子的OES信号强度也降低。在如2E所示的一些实施例中,当蚀刻穿过衬底204且暴露凹部203时,等离子与衬底204的材料的反应所发生的产物量停止增加。在图3E所示的一些其它的实施例中,当衬底204与蚀刻停止层212之间的界面212A被蚀刻时,等离子与蚀刻停止层212的材料所反应产生的产物量开始增加。如图4所示,图式为根据本发明实施例的一些实施例说明在波希工艺的连续循环中变化的CFx(由操作140产生)的OES信号的概示图。
然而,在图5所示的真实情况中,由于波希工艺中在操作130到150之间快速切换而可能造成复杂的信号变化,因而无法轻易找到终点。可调整各个操作的期间以符合特定需求。在一些实施例中,各个操作的期间范围可为0.2秒到10秒。如图5所示,表示所接收的OES信号强度的曲线具有显著的变化并且难以解释。
图3A到3E为根据本发明实施例的一些实施例说明制造沟槽结构的各种操作其中之一的剖面图。图3A到3E提供另一实施例,其中在衬底204的第二表面204B上,形成蚀刻停止层212,并从第一表面204A图案化衬底204以形成沟槽208。在一些实施例中,当蚀刻停止层212暴露时,确定终点。
可理解,图2A到2E与图3A到3E仅为范例,并非用于限制使用波希工艺的结构。
为了缓解此挑战,本发明实施例提供三种方法,可监视原位等离子条件并且找到波希工艺的终点:(1)在检测等离子与产物反应发生的发射光强度时,增加采样速度;(2)根据所述操作之一中的信号,确定终点;或(3)施加平滑函数(smooth function)以处理信号。上述方法各自可使其更容易检测终点。在一些实施例中,必要时,可结合此三种方法中的两种或是结合此三种方法。
方法(1)增加采样速度。由于操作之间快速切换,因而所接收的信号可能无法正确回应所述工艺。增加采样速度可在时间期间中接受更多的采样点,因而可改良等离子的OES信号的正确性。在一些其它的实施例中,方法(1)中所使用的采样速度范围可从1pt/20ms到1pt/100ms,例如1pt/50ms。然而,也可使用其它合适的采样速度。
方法(2)基于操作130、140与105其中一者的信号但非所有操作130、140、105中的信号,确定终点。仅于操作中获取信号的目的是排除不需要的信号并且使得曲线较容易解释。在一些实施例中,可使用操作130中找到的信号。然而,也可使用仅于操作140或150中找到的信号。使用单一操作中产生的OES信号以排除不需要的信号并且使得所接收的OES信号强度变得清楚且较易解释。
方法(3)使用平滑函数以处理信号,因而信号较容易解释。可通过任何合适的平滑函数实施方法(3)。平滑函数可减少信号之间的差异,并且产生相对平滑的曲线,因而可轻易找到终点。在一些实施例中,可使用简单移动平均(simple moving average,SMA)。例如,使用每n(例如,n=3)个连续信号采样点的平均值作为代表值。当计算连续代表值时,新的连续获得的信号采样点进入总和,而旧的信号采样点退出。更具体来说,如果找到100个采样点,则可通过使用第一、第二与第三个采样点的平均值作为第一代表值,第二、第三与第四个采样点的平均值作为第二代表值等等,而获得平滑函数。在一些其它的实施例中,可使用任何其它合适的平滑函数以处理信号。在一些其它的实施例中,可使用加权移动平均(weighted moving average,WMA)运算、指数移动平均(EMA)运算或任何其它合适的平滑运算。
图5与6为根据本发明的一些实施例说明随时间变化的等离子的OES信号强度的图式。如图6所示,图式说明当使用方法(1)、(2)与(3)于波希工艺中检测的信号时的结果,而如图5所示,图式说明未使用方法(1)、(2)与(3)时的原始结果。在一些实施例中,操作130的期间为0.5秒,操作140的期间为0.4秒,以及操作150的期间为0.5秒。例如,各个操作的期间范围可为0.2秒到10秒,并且可相同或不同。在一些实施例中,提供SF6气体作为操作130与150的蚀刻气体,以及提供C4F8用于操作140,但并不以此为限。在一些实施例中,操作150中的自偏压高于操作130的自偏压。
图5为说明OES信号的强度的曲线。通过使用OES技术,观察对应于其光学发射波长的特定离子物种与自由基。在一些实施例中,观察波希工艺中产生的CFx(波长约271nm)、CN(波长约387nm)以及F(波长约487nm),并且于操作155中监视其光学发射强度。然而,在一些其它的实施例中,也可使用随切换工艺而变化的任何合适的产物用于监视。图5中所使用的采样速度为1pt/200ms(每200微秒收集1个采样点)。操作130、140与150中产生的所有信号都绘示于图式中,并且未使用平滑函数于所述信号。
相对地,图6为说明使用方法(1)、(2)与(3)的曲线。为了实施方法(1),使用采样速度为1pt/50ms(每50微秒收集1个采样点)。采样速度增加到比图5快4倍。因此,操作130、140与150之间的切换非常快速(分别为0.5秒、0.4秒与0.5秒),以及增加的采样速度使得在时间期间内接收更多的采样点,因而等离子的OES信号的正确性改良并且可正确回应工艺。为了实施方法(2),仅操作130产生的信号绘示于图式中。使用单一操作产生的信号的理由是排除不需要的信号,因而曲线可变得清楚且较易解释。为了实施方法(3),使用简单移动平均运算到CFx信号。在图5中,每3个连续信号采样点的平均值是作为计算信号的代表值,因而原始强度曲线是平滑的。
如图5与6所示,图5所示的曲线具有显著差异,其难以被解释。相对地,图6所示的曲线为容易解释的曲线。当强度到达到相对稳定值时,其意味蚀刻穿过衬底204,以及CFx、CN与F的量的变化减缓或停止。据此,确定终点。
应理解所述三种方法不需要被结合使用。所述三种方法各自可个别使用以使波希工艺中的终点更易确定。
在一些实施例中,仅方法(1)用于找到波希工艺中的终点。为了实施方法(1),采样速度增加到1pt/50ms,但不以此为限。在一些实施例中,方法(1)中所使用的采样速度范围可从1pt/20ms到1pt/100ms。通过在监视过程中使用更快的采样速度,接收更多的采样点。借此,可减缓操作130、140与150之间快速切换造成的采样错误。因此,所接收的信号的强度曲线变得较易解释。
在一些实施例中,仅使用方法(2),以找到波希工艺中的终点。为了实施方法(2),仅使用操作130中产生的信号绘示曲线。随着切换工艺变化的任何产物可被视为观察标的。例如,可观察CFx、CN、F或其它合适的产物的OES信号。选择仅于一操作中产生的信号有助于阐述曲线的复杂图案。
在一些实施例中,仅使用方法(3),以找到波希工艺中的终点。为了实施方法(3),使用简单移动平均运算以减少信号之间的差异且立即画出相对平滑的曲线;因此,可轻易观察到终点。在一些实施例中,每4个连续信号采样点的平均值是作为代表值,以计算信号,因而原始强度曲线是平滑的。在一些实施例中,也可使用其它合适的平滑函数。
仅使用上述方法之一可使曲线变得容易解释。再者,在一些实施例中,这些方法中任两个的结合可使波希工艺中的终点轻易被找到。
在图7所示的一些实施例中,方法(1)与(2)是用于找到波希工艺中的终点。为了实施方法(1),采样速度范围可为从1pt/20ms到1pt/100ms。在一些实施例中,采样速度为约1pt/50ms。为了实施方法(2),仅使用操作130中产生的信号。在一些其它的实施例中,也可使用操作140或150中产生的信号。如图7所示,当CFx信号的强度到达稳定值时,仍可找到波希工艺中的终点。
在一些实施例中,方法(1)与(3)可用以找到波希工艺中的终点。为了实施方法(1),采样速度范围可为从1pt/20ms到1pt/100ms。在一些实施例中,采样速度约为1pt/50ms。为了实施方法(3),使用平滑函数,例如简单移动平均运算以处理信号,计算每3个连续信号采样点的代表值。在一些其它的实施例中,可从每4个连续信号采样点、每5个连续信号采样点或任何合适的连续信号采样点计算代表值。
在一些实施例中,方法(2)与(3)用于找到波希工艺中的终点。为了实施方法(2),仅使用操作130产生的信号。在一些其它的实施例中,也可使用操作140或150产生的信号。为了实施方法(3),使用简单移动平均运算以处理信号,计算每3个连续信号采样点的代表值。或者,可从每4个连续信号采样点、每5个连续信号采样点或任何合适的连续信号采样点计算代表值。
在本发明实施例的例示方面,提供制造半导体装置的方法。所述方法包含以下操作。(a)将衬底图案化。(b)在所述图案化的衬底上形成聚合物层。(c)将所述聚合物层图案化。交替重复步骤(a)、(b)与(c)。检测等离子与步骤(a)、(b)与(c)产生的产物反应所发生的发射光的强度。所述强度的采样速度范围大体上为1pt/20ms到1pt/100ms。根据所述等离子与所述产物的反应所发生的所述发射光的所述强度,确定图案化所述衬底的终点。
在本发明实施例的另一例示方面,提供制造半导体装置的方法。所述方法包含以下操作。(a)图案化衬底。(b)在所述图案化的衬底上形成聚合物层。(c)将所述聚合物层图案化。交替重复步骤(a)、(b)与(c)。检测等离子与步骤(a)、(b)与(c)产生的产物反应所发生的发射光的强度。根据所述等离子与仅步骤(a)、(b)与(c)其中的步骤产生的所述产物的所述反应所发生的所述发射光的所述强度,确定图案化所述衬底的终点。
在本发明实施例的另一例示方面,提供制造半导体装置的方法。所述方法包含以下操作。(a)图案化衬底。(b)在所述图案化的衬底上形成聚合物层。(c)图案化所述聚合物层。交替重复步骤(a)、(b)与(c)。检测等离子与步骤(a)、(b)与(c)产生的产物反应所发生的发射光的强度。使用平滑函数处理所述等离子与所述产物的所述反应所发生的所述发射光的所述强度。根据经所述平滑函数处理的所述等离子与之所述产物的所述反应所发生的所述发射光的所述强度,确定图案化所述衬底的终点。
前述内容概述一些实施方式的特征,因而所属领域的技术人员可更加理解本发明的各方面。所属领域的技术人员应理解可轻易使用本发明作为基础,用于设计或修饰其它工艺与结构而实现与本申请案所述的实施例具有相同目的及/或达到相同优点。所属领域的技术人员也应理解此均等架构并不脱离本发明揭示内容的精神与范围,并且所属领域的技术人员可进行各种变化、取代与替换,而不脱离本发明的精神与范围。

Claims (10)

1.一种制造半导体装置的方法,其包含:
(a)图案化衬底;
(b)在所述图案化的衬底上形成聚合物层;
(c)图案化所述聚合物层;
(d)交替重复步骤(a)、(b)与(c);
(e)检测等离子与步骤(a)、(b)与(c)产生的产物的反应所发生的发射光的强度,
其中所述强度的采样速度的范围为1pt/20ms到1pt/100ms;以及
(f)根据所述等离子与所述产物的所述反应所发生的所述发射光的所述强度,确定图案化所述衬底的终点。
2.一种制造半导体装置的方法,其包含:
(a)图案化衬底;
(b)在所述图案化的衬底上形成聚合物层;
(c)图案化所述聚合物层;
(d)交替重复步骤(a)、(b)与(c);
(e)检测等离子与步骤(a)、(b)与(c)产生的产物的反应所发生的发射光的强度;
以及
(f)根据所述等离子与步骤(a)、(b)与(c)中的步骤产生的所述产物的所述反应所发生的所述发射光的所述强度,确定图案化所述衬底的终点。
3.根据权利要求2所述的方法,其中根据所述等离子与步骤(a)产生的所述产物的所述反应所发生的所述发射光的所述强度,确定图案化所述衬底的所述终点。
4.根据权利要求2所述的方法,其中根据所述等离子与步骤(b)产生的所述产物的所述反应所发生的所述发射光的所述强度,确定图案化所述衬底的所述终点。
5.根据权利要求2所述的方法,其中根据所述等离子与步骤(c)产生的所述产物的所述反应所发生的所述发射光的所述强度,确定图案化所述衬底的所述终点。
6.一种制造半导体装置的方法,其包含:
(a)图案化衬底;
(b)在所述图案化的衬底上形成聚合物层;
(c)图案化所述聚合物层;
(d)交替重复步骤(a)、(b)与(c);
(e)检测等离子与步骤(a)、(b)与(c)产生的产物的反应所发生的发射光的强度;
(f)使用平滑函数,以处理所述等离子与所述产物的所述反应所发生的所述发射光的所述强度;以及
(g)根据经所述平滑函数处理的所述等离子与所述产物的所述反应所发生的所述发射光的所述强度,确定图案化所述衬底的终点。
7.根据权利要求6所述的方法,其中所述平滑函数是用于处理所述等离子与步骤(a)产生的所述产物的所述反应所发生的所述发射光的所述强度。
8.根据权利要求6所述的方法,其中所述平滑函数是用于处理所述等离子与步骤(b)产生的所述产物的所述反应所发生的所述发射光的所述强度。
9.根据权利要求6所述的方法,其中所述平滑函数是用于处理所述等离子与步骤(c)产生的所述产物的所述反应所发生的所述发射光的所述强度。
10.根据权利要求6所述的方法,其中所述平滑函数包括移动平均运算。
CN201610830422.0A 2015-11-30 2016-09-13 制造半导体装置的方法 Active CN106816373B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562261150P 2015-11-30 2015-11-30
US62/261,150 2015-11-30
US15/088,127 US10522429B2 (en) 2015-11-30 2016-04-01 Method of manufacturing semiconductor device
US15/088,127 2016-04-01

Publications (2)

Publication Number Publication Date
CN106816373A true CN106816373A (zh) 2017-06-09
CN106816373B CN106816373B (zh) 2022-06-21

Family

ID=58692927

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610830422.0A Active CN106816373B (zh) 2015-11-30 2016-09-13 制造半导体装置的方法

Country Status (4)

Country Link
US (1) US10522429B2 (zh)
CN (1) CN106816373B (zh)
DE (1) DE102016114935B4 (zh)
TW (1) TWI589516B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer

Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5501893A (en) * 1992-12-05 1996-03-26 Robert Bosch Gmbh Method of anisotropically etching silicon
US6084257A (en) * 1995-05-24 2000-07-04 Lucas Novasensor Single crystal silicon sensor with high aspect ratio and curvilinear structures
US20040065932A1 (en) * 1999-12-21 2004-04-08 Frank Reichenbach Sensor with at least one micromechanical structure and method for production thereof
CN1739185A (zh) * 2003-02-14 2006-02-22 美国犹奈克赛斯股份有限公司 有时分多重蚀刻工艺中的终点检测
US20060048891A1 (en) * 2002-10-31 2006-03-09 Tokyo Electron Limited Method and apparatus for determining an etch property using an endpoint signal
CN1784778A (zh) * 2003-05-09 2006-06-07 优利讯美国有限公司 时分复用处理中的包络跟随器终点检测
CN1898547A (zh) * 2003-10-28 2007-01-17 兰姆研究有限公司 用于蚀刻终点检测的方法和设备
CN101006550A (zh) * 2004-06-30 2007-07-25 朗姆研究公司 用于在等离子体处理***中确定端点的方法和装置
CN101233072A (zh) * 2005-08-04 2008-07-30 阿维扎技术有限公司 加工衬底的方法
CN101962166A (zh) * 2010-08-16 2011-02-02 苏州晶方半导体科技股份有限公司 封装结构以及封装方法
US20110244687A1 (en) * 2010-03-30 2011-10-06 Denso Corporation Semiconductor device manufacturing method
CN102556942A (zh) * 2010-12-10 2012-07-11 中国科学院上海微***与信息技术研究所 基于温度敏感电阻的热对流加速度传感器芯片的制作方法
CN102693911A (zh) * 2011-03-23 2012-09-26 上海华虹Nec电子有限公司 干法刻蚀方法
CN102741155A (zh) * 2010-01-14 2012-10-17 佳能株式会社 结构体的制造方法和液体排出头用基板的制造方法
CN102792428A (zh) * 2010-03-31 2012-11-21 朗姆研究公司 用于硅蚀刻的无机快速交变处理
CN102795593A (zh) * 2012-08-29 2012-11-28 深迪半导体(上海)有限公司 超薄真空密封mems晶圆的加工方法
CN103400800A (zh) * 2013-08-14 2013-11-20 中微半导体设备(上海)有限公司 Bosch刻蚀方法
CN103597113A (zh) * 2011-05-31 2014-02-19 朗姆研究公司 用于电感耦合等离子体蚀刻反应器的气体分配喷头

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5565114A (en) 1993-03-04 1996-10-15 Tokyo Electron Limited Method and device for detecting the end point of plasma process
KR100263406B1 (ko) 1993-08-23 2000-11-01 히가시 데쓰로 플라즈마처리의종점검지방법및장치
US6582618B1 (en) 1999-09-08 2003-06-24 Advanced Micro Devices, Inc. Method of determining etch endpoint using principal components analysis of optical emission spectra
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
EP1912889B1 (en) 2005-08-04 2015-09-02 SPP Process Technology Systems UK Limited A method of processing substrates
US9039909B2 (en) * 2011-02-28 2015-05-26 Tokyo Electron Limited Plasma etching method, semiconductor device manufacturing method and computer-readable storage medium
US8987140B2 (en) * 2011-04-25 2015-03-24 Applied Materials, Inc. Methods for etching through-silicon vias with tunable profile angles
JP6050106B2 (ja) 2011-12-21 2016-12-21 株式会社半導体エネルギー研究所 非水二次電池用シリコン負極の製造方法
US8587077B2 (en) 2012-01-02 2013-11-19 Windtop Technology Corp. Integrated compact MEMS device with deep trench contacts
US9738512B2 (en) 2012-06-27 2017-08-22 Invensense, Inc. CMOS-MEMS integrated device including multiple cavities at different controlled pressures and methods of manufacture
JP6056380B2 (ja) 2012-10-31 2017-01-11 コニカミノルタ株式会社 放射線画像撮影システム
US8891711B1 (en) 2012-12-11 2014-11-18 Amazon Technologies, Inc. Adaptive de-noise filtering
US8906803B2 (en) * 2013-03-15 2014-12-09 Sandia Corporation Method of forming through substrate vias (TSVs) and singulating and releasing die having the TSVs from a mechanical support substrate
US9640371B2 (en) * 2014-10-20 2017-05-02 Lam Research Corporation System and method for detecting a process point in multi-mode pulse processes

Patent Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5501893A (en) * 1992-12-05 1996-03-26 Robert Bosch Gmbh Method of anisotropically etching silicon
US6084257A (en) * 1995-05-24 2000-07-04 Lucas Novasensor Single crystal silicon sensor with high aspect ratio and curvilinear structures
US20040065932A1 (en) * 1999-12-21 2004-04-08 Frank Reichenbach Sensor with at least one micromechanical structure and method for production thereof
US20060048891A1 (en) * 2002-10-31 2006-03-09 Tokyo Electron Limited Method and apparatus for determining an etch property using an endpoint signal
CN1739185A (zh) * 2003-02-14 2006-02-22 美国犹奈克赛斯股份有限公司 有时分多重蚀刻工艺中的终点检测
CN1784778A (zh) * 2003-05-09 2006-06-07 优利讯美国有限公司 时分复用处理中的包络跟随器终点检测
CN1898547A (zh) * 2003-10-28 2007-01-17 兰姆研究有限公司 用于蚀刻终点检测的方法和设备
CN101006550A (zh) * 2004-06-30 2007-07-25 朗姆研究公司 用于在等离子体处理***中确定端点的方法和装置
CN101233072A (zh) * 2005-08-04 2008-07-30 阿维扎技术有限公司 加工衬底的方法
CN102741155A (zh) * 2010-01-14 2012-10-17 佳能株式会社 结构体的制造方法和液体排出头用基板的制造方法
US20110244687A1 (en) * 2010-03-30 2011-10-06 Denso Corporation Semiconductor device manufacturing method
CN102792428A (zh) * 2010-03-31 2012-11-21 朗姆研究公司 用于硅蚀刻的无机快速交变处理
CN101962166A (zh) * 2010-08-16 2011-02-02 苏州晶方半导体科技股份有限公司 封装结构以及封装方法
CN102556942A (zh) * 2010-12-10 2012-07-11 中国科学院上海微***与信息技术研究所 基于温度敏感电阻的热对流加速度传感器芯片的制作方法
CN102693911A (zh) * 2011-03-23 2012-09-26 上海华虹Nec电子有限公司 干法刻蚀方法
CN103597113A (zh) * 2011-05-31 2014-02-19 朗姆研究公司 用于电感耦合等离子体蚀刻反应器的气体分配喷头
CN102795593A (zh) * 2012-08-29 2012-11-28 深迪半导体(上海)有限公司 超薄真空密封mems晶圆的加工方法
CN103400800A (zh) * 2013-08-14 2013-11-20 中微半导体设备(上海)有限公司 Bosch刻蚀方法

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
戴达煌等: "《功能薄膜及其沉积制备技术》", 31 January 2013, 冶金工业出版社 *
童敏明等: "《检测与转换技术》", 31 August 2008, 中国矿业大学出版社 *

Also Published As

Publication number Publication date
TW201808784A (zh) 2018-03-16
CN106816373B (zh) 2022-06-21
DE102016114935B4 (de) 2024-02-22
TWI589516B (zh) 2017-07-01
DE102016114935A1 (de) 2017-06-01
US10522429B2 (en) 2019-12-31
US20170154830A1 (en) 2017-06-01

Similar Documents

Publication Publication Date Title
JP4724795B2 (ja) 時分割多重化エッチプロセスにおける終点検出方法
US6808953B2 (en) Gap tuning for surface micromachined structures in an epitaxial reactor
US10665516B2 (en) Etching method and plasma processing apparatus
JP2010251813A (ja) 時分割多重プロセスにおける包絡線フォロア終点検出
KR20110028506A (ko) 다단형 기판의 제조 방법
US6919259B2 (en) Method for STI etching using endpoint detection
US9598279B2 (en) Semiconductor structures and fabrication methods thereof
US20030003753A1 (en) Method and apparatus for fabricating encapsulated micro-channels in a substrate
CN106816373A (zh) 制造半导体装置的方法
KR20150089044A (ko) 기판 에칭 방법
TWI678734B (zh) 電漿處理裝置及電漿處理方法
KR101503535B1 (ko) 반도체 장치의 제조 방법
KR102587775B1 (ko) 생물학적 응용들을 위한 독립 멤브레인을 생성하는 방법
KR100549204B1 (ko) 실리콘 이방성 식각 방법
US20220230886A1 (en) Method for forming a trench in a first semiconductor layer of a multi-layer system
JP4769737B2 (ja) エッチング方法及びエッチング装置
CN111312587B (zh) 刻蚀方法、半导体器件及其制造方法
US9607847B1 (en) Enhanced lateral cavity etch
CN113113302A (zh) 刻蚀方法及刻蚀设备
CN114446779B (zh) 一种深硅刻蚀方法和半导体工艺设备
US20240120213A1 (en) Substrate processing apparatus, substrate processing method and method of fabricating semiconductor device
Lai et al. Scalloping minimization in deep Si etching on Unaxis DSE tools
JP2002284545A (ja) ドライエッチング法
KR20240049131A (ko) 기판 처리 장치, 기판 처리 방법 및 반도체 소자의 제조 방법
CN112701062A (zh) 等离子体切单的、污染物减少的半导体管芯

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant