CN106814543B - 与外涂布光致抗蚀剂一起使用的涂料组合物 - Google Patents

与外涂布光致抗蚀剂一起使用的涂料组合物 Download PDF

Info

Publication number
CN106814543B
CN106814543B CN201611015528.1A CN201611015528A CN106814543B CN 106814543 B CN106814543 B CN 106814543B CN 201611015528 A CN201611015528 A CN 201611015528A CN 106814543 B CN106814543 B CN 106814543B
Authority
CN
China
Prior art keywords
photoresist
groups
composition
coating composition
resin
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201611015528.1A
Other languages
English (en)
Other versions
CN106814543A (zh
Inventor
沈载桓
赵廷奎
E·曹
李惠元
朴琎洪
E·H·柳
林载峰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rohm and Haas Electronic Materials Korea Ltd
Original Assignee
Rohm and Haas Electronic Materials Korea Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rohm and Haas Electronic Materials Korea Ltd filed Critical Rohm and Haas Electronic Materials Korea Ltd
Publication of CN106814543A publication Critical patent/CN106814543A/zh
Application granted granted Critical
Publication of CN106814543B publication Critical patent/CN106814543B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/201Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by an oblique exposure; characterised by the use of plural sources; characterised by the rotation of the optical device; characterised by a relative movement of the optical device, the light source, the sensitive system or the mask
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/12Esters of monohydric alcohols or phenols
    • C08F220/16Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms
    • C08F220/18Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms with acrylic or methacrylic acids
    • C08F220/1818C13or longer chain (meth)acrylate, e.g. stearyl (meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/26Esters containing oxygen in addition to the carboxy oxygen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/26Esters containing oxygen in addition to the carboxy oxygen
    • C08F220/32Esters containing oxygen in addition to the carboxy oxygen containing epoxy radicals
    • C08F220/325Esters containing oxygen in addition to the carboxy oxygen containing epoxy radicals containing glycidyl radical, e.g. glycidyl (meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F8/00Chemical modification by after-treatment
    • C08F8/14Esterification
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G63/00Macromolecular compounds obtained by reactions forming a carboxylic ester link in the main chain of the macromolecule
    • C08G63/68Polyesters containing atoms other than carbon, hydrogen and oxygen
    • C08G63/685Polyesters containing atoms other than carbon, hydrogen and oxygen containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G63/00Macromolecular compounds obtained by reactions forming a carboxylic ester link in the main chain of the macromolecule
    • C08G63/68Polyesters containing atoms other than carbon, hydrogen and oxygen
    • C08G63/685Polyesters containing atoms other than carbon, hydrogen and oxygen containing nitrogen
    • C08G63/6854Polyesters containing atoms other than carbon, hydrogen and oxygen containing nitrogen derived from polycarboxylic acids and polyhydroxy compounds
    • C08G63/6856Dicarboxylic acids and dihydroxy compounds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G63/00Macromolecular compounds obtained by reactions forming a carboxylic ester link in the main chain of the macromolecule
    • C08G63/78Preparation processes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L35/00Compositions of homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a carboxyl radical, and containing at least one other carboxyl radical in the molecule, or of salts, anhydrides, esters, amides, imides or nitriles thereof; Compositions of derivatives of such polymers
    • C08L35/06Copolymers with vinyl aromatic monomers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L61/00Compositions of condensation polymers of aldehydes or ketones; Compositions of derivatives of such polymers
    • C08L61/04Condensation polymers of aldehydes or ketones with phenols only
    • C08L61/06Condensation polymers of aldehydes or ketones with phenols only of aldehydes with phenols
    • C08L61/14Modified phenol-aldehyde condensates
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D125/00Coating compositions based on homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring; Coating compositions based on derivatives of such polymers
    • C09D125/18Homopolymers or copolymers of aromatic monomers containing elements other than carbon and hydrogen
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D133/00Coating compositions based on homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides, or nitriles thereof; Coating compositions based on derivatives of such polymers
    • C09D133/04Homopolymers or copolymers of esters
    • C09D133/06Homopolymers or copolymers of esters of esters containing only carbon, hydrogen and oxygen, the oxygen atom being present only as part of the carboxyl radical
    • C09D133/08Homopolymers or copolymers of acrylic acid esters
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D133/00Coating compositions based on homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides, or nitriles thereof; Coating compositions based on derivatives of such polymers
    • C09D133/04Homopolymers or copolymers of esters
    • C09D133/14Homopolymers or copolymers of esters of esters containing halogen, nitrogen, sulfur or oxygen atoms in addition to the carboxy oxygen
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D161/00Coating compositions based on condensation polymers of aldehydes or ketones; Coating compositions based on derivatives of such polymers
    • C09D161/04Condensation polymers of aldehydes or ketones with phenols only
    • C09D161/06Condensation polymers of aldehydes or ketones with phenols only of aldehydes with phenols
    • C09D161/14Modified phenol-aldehyde condensates
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D167/00Coating compositions based on polyesters obtained by reactions forming a carboxylic ester link in the main chain; Coating compositions based on derivatives of such polymers
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D167/00Coating compositions based on polyesters obtained by reactions forming a carboxylic ester link in the main chain; Coating compositions based on derivatives of such polymers
    • C09D167/02Polyesters derived from dicarboxylic acids and dihydroxy compounds
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D5/00Coating compositions, e.g. paints, varnishes or lacquers, characterised by their physical nature or the effects produced; Filling pastes
    • C09D5/006Anti-reflective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0041Photosensitive materials providing an etching agent upon exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • G03F7/2006Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light using coherent light; using polarised light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • G03F7/327Non-aqueous alkaline compositions, e.g. anhydrous quaternary ammonium salts
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/26Esters containing oxygen in addition to the carboxy oxygen
    • C08F220/30Esters containing oxygen in addition to the carboxy oxygen containing aromatic rings in the alcohol moiety
    • C08F220/302Esters containing oxygen in addition to the carboxy oxygen containing aromatic rings in the alcohol moiety and two or more oxygen atoms in the alcohol moiety
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L2666/00Composition of polymers characterized by a further compound in the blend, being organic macromolecular compounds, natural resins, waxes or and bituminous materials, non-macromolecular organic substances, inorganic substances or characterized by their function in the composition
    • C08L2666/02Organic macromolecular compounds, natural resins, waxes or and bituminous materials
    • C08L2666/04Macromolecular compounds according to groups C08L7/00 - C08L49/00, or C08L55/00 - C08L57/00; Derivatives thereof

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Materials Engineering (AREA)
  • Wood Science & Technology (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)
  • Epoxy Resins (AREA)
  • Paints Or Removers (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

在一个优选方面,提供了有机涂料组合物,特别是与外涂布光致抗蚀剂一起使用的抗反射涂料组合物,所述组合物包含1)一个或多个缩水甘油基;和2)一个或多个芳香族基,每个芳香族基包含两个或更多个包含羟基、硫醇和/或胺部分的取代基。还提供了含儿茶酚聚合物及其制备方法。

Description

与外涂布光致抗蚀剂一起使用的涂料组合物
背景技术
本发明涉及组合物,并且具体来说涉及用于微电子应用的抗反射涂料组合物。在一个优选的方面,本发明的组合物包含1)一个或多个缩水甘油基;和2)一个或多个芳香族基,芳香族基各自包含两个或更多个包含羟基、硫醇和/或胺部分的取代基。本发明的优选组合物与外涂布光致抗蚀剂组合物一起使用且可称为底部抗反射组合物或“BARC”。
光致抗蚀剂为用于将图像转印到衬底的感光膜。在衬底上形成光致抗蚀剂涂层并且随后经由光掩模使光致抗蚀剂层曝光于活化辐射源。在曝光之后,光致抗蚀剂经显影,以提供允许选择性处理衬底的浮雕图像。
用于曝光光致抗蚀剂的活化辐射的反射通常对光致抗蚀剂层中图案化的图像的分辨率造成限制。来自衬底/光致抗蚀剂界面的辐射的反射可产生光致抗蚀剂中的辐射强度的空间变化,导致显影时的非均一光致抗蚀剂线宽。辐射还可从衬底/光致抗蚀剂界面散射到光致抗蚀剂的不预期曝光的区域中,再次导致线宽变化。
用于减少反射辐射问题的一种方法已是使用在衬底表面和光致抗蚀剂涂层之间***的辐射吸收层(抗反射组合物层)。参见美国专利8338078;69270152;5677112;8481247;8012670;6818381;和7846638;WO067329A1以及EP2000852。
SiO2、TiN和其它金属为在其上涂布有光致抗蚀剂和抗反射组合物的常见衬底。氮氧化硅(SiON)层和其它无机物如Si3N4涂层已经用于半导体器件制造,例如作为蚀刻终止层和无机抗反射层。参见美国专利6,124,217;6,153,504以及6,245,682。
具有光致抗蚀剂浮雕图像的图案转印经常优选干式蚀刻。然而,在干式蚀刻方法中使用的等离子体可对薄氧化物层和氮化物层(如可用于快闪存储器制造)引起损伤。因此,由于湿式蚀刻方法的相对更温和条件,湿式蚀刻通常用于此类更易碎衬底的图案转印。
已经使用氢氧化铵和过氧化氢的水性混合物或酸如硫酸和过氧化物如过氧化氢的混合物进行对金属氮化物(如氮化钛(TiN)的湿式蚀刻。参见例如US 2006/0226122。
此类使用常规湿式蚀刻剂的问题是其缺乏选择性。这些湿式蚀刻剂通常侵蚀周围结构,导致蚀刻或特别是一些光致抗蚀剂的情况下溶胀和/或丧失对光致抗蚀剂涂覆到其上的衬底的粘附。随着临界尺寸持续减小,此类缺乏选择性变得越来越无法接受。
因此,将期望具有与外涂布光致抗蚀剂一起使用的新颖抗反射组合物。将特别期望具有展现增强的性能且可提供图案化到外涂布光致抗蚀剂中的图像的增加分辨率的新颖抗反射组合物。还将期望具有用于涂覆到金属衬底表面上并且将提供包括通过湿式蚀刻方法的良好分辨率和金属衬底粘附性的新颖抗反射组合物。
发明内容
我们现在提供可与外涂布光致抗蚀剂组合物一起使用的新颖涂料组合物。在优选方面,本发明的涂料组合物可充当外涂布抗蚀剂层的有效抗反射层。
在优选的实施例中,提供了有机涂料组合物,特别是与外涂布光致抗蚀剂一起使用的抗反射组合物,其可展现出对底层金属衬底表面(如包含SiO2或TiN的衬底表面)的增强粘附性。在优选方面,此类增强的粘附性可通过对底层金属层如SiO2或TiN的湿式蚀刻处理或对覆盖金属层(如金属层包含氧化物或氮化物,例如SiO2或TiN)的涂层的湿式蚀刻而展现。
我们已经发现本发明的底层涂料组合物可提供出人意料地良好光刻性能,包括在湿式蚀刻过程期间。此外,本发明的底层涂料组合物可包括在整个显影后湿式蚀刻过程中提供对金属衬底(如SiO2或TiN衬底表面)的良好粘附。参见,例如在之后的实例中阐述的结果。
在优选方面,提供了包含一种或多种材料的底层涂料组合物,所述一种或多种材料包含1)一个或多个缩水甘油基;和2)一个或多个芳香族基,每个芳香族基包含两个或更多个包含羟基、硫醇和/或胺部分的取代基。
在某些方面,涂料组合物可包含1)包含一个或多个缩水甘油基的第一树脂和2)包含一个或多个芳香族基的第二树脂,每个芳香族基包含两个或更多个包含羟基、硫醇和/或胺部分的取代基。
在其他方面,涂料组合物可包含树脂,所述树脂包含1)一个或多个缩水甘油基和2)一个或多个芳香族基两者,每个芳香族基包含两个或更多个包含羟基、硫醇和/或胺部分的取代基。
在特别优选的方面,涂料组合物包含包含芳香族基(其包含儿茶酚部分)的材料,例如包含儿茶酚基团如侧接基团或树脂主链的重复单元的树脂。
关于抗反射应用,本发明的底层组合物还优选地含有包含发色团的组分,所述发色团可吸收用于曝光外涂布抗蚀剂层的不期望的辐射,免于反射回抗蚀剂层中。树脂或其它材料可包含此类发色团基团,如单环芳香烃或稠环芳香烃,例如任选经取代的苯基、萘基或蒽基。
在另一实施例中,提供了新颖含儿茶酚的聚合物。在优选的方面,儿茶酚试剂与预形成的树脂反应以提供具有侧接儿茶酚部分的树脂。
在与外涂布光致抗蚀剂一起使用中,涂料组合物可涂覆于衬底上,如上面可具有一或多个有机或无机涂层的半导体晶片。
如所论述,本发明的涂料组合物可特别用于涂覆于氧化物或氮化物衬底表面(如SiO2或TiN)上方。
涂覆的涂层可任选地在用光致抗蚀剂层外涂布之前经热处理。此类热处理可引起涂料组合物层的硬化,包括交联。此类交联可包括在一种或多种组合物组分之间的硬化和/或共价键形成反应,且可调节涂料组合物层的水接触角。
此后,光致抗蚀剂组合物可涂覆于涂料组合物层上方,接着通过图案化活化辐射对涂覆的光致抗蚀剂组合物层成像,且成像的光致抗蚀剂组合物层经显影以提供光致抗蚀剂浮雕图像。
然后,具有抗蚀剂浮雕图像的衬底可经湿式蚀刻,例如用碱性湿式蚀刻剂如氢氧化铵和过氧化氢的水性混合物处理或用酸性湿式蚀刻剂如硫酸和过氧化氢的水性混合物处理。
多种光致抗蚀剂可与本发明的涂料组合物组合使用(即外涂布)。与本发明的底层涂料组合物一起使用的优选光致抗蚀剂为化学增强的抗蚀剂,包括含有一种或多种光敏化合物和含有在光生酸存在下经受去封端或断裂反应的单元的树脂组分的光致抗蚀剂。
在优选方面,光致抗蚀剂组合物经设计用于负型抗蚀剂,其中曝光区域在显影过程之后保留,但正型显影还可用于去除光致抗蚀剂层的曝光部分。
本发明进一步提供形成光致抗蚀剂浮雕图像的方法和包含涂布有单独或与光致抗蚀剂组合物组合的本发明的涂料组合物的衬底(如微电子晶片衬底)的新颖制品。
本发明还包括包含对通过外涂布光致抗蚀剂浮雕图像裸露的衬底区域湿式蚀刻的方法。
本发明的其它方面公开于下文中。
具体实施方式
涂料组合物
如上文所论述,在优选方面,提供了有机涂料组合物,特别是与外涂布光致抗蚀剂一起使用的抗反射组合物,所述组合物包含一种或多种材料,所述一种或多种材料包含1)一个或多个缩水甘油基;和2)一个或多个芳香族基,每个芳香族基包含两个或更多个包含羟基(-OH)、硫醇(S<)和/或胺(-N<)部分的取代基。应理解,此类羟基、硫基或氨基可具有直接键联到芳香族环原子(例如芳香族环的碳原子)的O、S或N原子,或者一个或多个除O、S或N之外的原子可***在相应的羟基、硫基或胺部分和芳香族环的O、S或N原子之间。
优选的芳香族基取代基包括具有一个或多个O、S和/或N原子的部分,特别是包含羟基、胺或硫基部分的部分。在某些方面,优选的是其中杂(O、S或N)原子直接键联到芳香族环的部分,例如选自-OH、-SR和-NRR的芳香族环取代基,其中每个R独立地为氢或非氢取代基(如任选经取代的C1-20烷基)。在额外方面,优选的是其中杂(O、S或N)原子与芳香族环间隔开的部分,例如通过一个或多个任选经取代的碳原子,包括任选经取代的C1-20烷基(包括C1-20亚烷基)、任选经取代的C1-20杂烷基(包括C1-20杂亚烷基)和杂脂环基(包括C1-20烷氧基)、任选经取代的C1-20烷硫基以及任选经取代的C1-20烷基胺。
两个或更多个含杂取代基可在芳香族基上存在于多个位置中的任一个处。在某些方面,芳香族基将具有两个存在于相邻环位置处(例如,在苯环中的邻位关系)的含杂取代基。在其它方面,两个含杂取代基可通过在两个含杂环位置之间***的最小1、2、3或更多个芳香族环位置分开。
一般来说,包含缩水甘油基和/或杂-取代的芳香族基的优选材料为树脂。举例来说,如上文所论述,优选的涂料组合物可包含1)包含一个或多个缩水甘油基的第一树脂和2)包含一个或多个芳香族基的第二树脂,每个芳香族基包含两个或更多个包含羟基、硫醇和/或胺部分的取代基。另外优选的涂料组合物可包含树脂,所述树脂包含1)一个或多个缩水甘油基和2)一个或多个芳香族基两者,每个芳香族基包含两个或更多个包含羟基、硫醇和/或胺部分的取代基。
合适的缩水甘油基可例如通过使单体聚合而提供,所述单体包含缩水甘油基部分,如由以下例示的丙烯酸酯化合物:
Figure BDA0001156148120000041
其中在上面结构中,n为1到20的合适正整数,更通常为1到8,并且R为氢或非氢取代基,如任选经取代的C1-20烷基。
包含多个含杂(N、O、S)取代基的合适芳香族基还可例如通过使单体聚合而提供,所述单体包含合适的杂(N、O、S)-取代的芳香族基(例如苯基),如以下例示的丙烯酸酯化合物:
Figure BDA0001156148120000051
其中在上面结构中,n为正整数,如1到20,更通常为1到8。
合适的发色团可例如通过使单聚合体而提供于树脂中,所述单体包含合适的发色团部分,如单环或或稠环芳香族,特别是任选经取代的苯基、萘基或蒽基,如以下例示的丙烯酸酯化合物:
Figure BDA0001156148120000061
Figure BDA0001156148120000071
其中在上面结构中,n为1到20合适的正整数,更通常为1到8。
用于本发明的涂料组合物的树脂合适地可包含除含缩水甘油基单元和杂-取代的芳香族单元以外的另外的单元,如通过使以下化合物中任一项聚合而提供的单元:
Figure BDA0001156148120000081
Figure BDA0001156148120000091
Figure BDA0001156148120000101
其中在上面结构中,R2合适地为氢或非氢取代基,如任选经取代的C1-20烷基,包括甲基,并且X为碳或杂(O、S或N)原子。
用于本发明的涂料组合物的具体优选的树脂包括如下:
1)树脂,其包含1)缩水甘油基,2)具有多个含杂取代基如羟基的芳香族基以及3)如蒽基的发色团,如由以下树脂例示,其中值x、y和z表示在树脂中每个重复单元的相应摩尔量,并且每个可合适独立地在10摩尔%到80摩尔%范围内变化。
Figure BDA0001156148120000102
2)树脂,其包含1)缩水甘油基,2)如蒽基的发色团以及3)不同于具有多个含杂环取代基的芳香族基的任选的第三单元,如由以下树脂例示,其中在第一树脂中,单独树脂主链的值x、y和z,表示在树脂中每个重复单元的相应的摩尔量,并且x和z可各自合适独立地在5摩尔%到95摩尔%范围内变化并且x可在0到80摩尔%范围内变化。在下面即将示出的第一树脂中,在中间单元和来自主链的侧基中示出的基团X可为多种基团,包括任选经取代的C1-20烷基,任选经取代的碳环芳基以及任选经取代的杂芳基。
Figure BDA0001156148120000111
3)树脂,其包含1)缩水甘油基,2)具有多个含杂环取代基的芳香族基以及3)任选的第三单元,如由以下树脂例示,其中在第一树脂中,单独树脂主链的值x、y和z表示在树脂中每个重复单元的相应摩尔量,并且x和z可各自合适独立地在5摩尔%到95摩尔%范围内变化,并且x可在0到80摩尔%范围内变化。在下面即将示出的第一树脂中,在中间单元和来自主链的侧基中示出的基团X可为多种基团,包括任选经取代的C1-20烷基,任选经取代的碳环芳基以及任选经取代的杂芳基。
Figure BDA0001156148120000112
在上面刚刚的三种树脂结构中,每个合适n可合适地为1到20的正整数,更通常为1到8的整数,并且R为氢或非氢取代基,如任选经取代的C1-20烷基。
4)树脂(包括均聚物或共聚物),其包含具有除具有多个含杂环取代基的芳香族基外的单元,如以下示例性1)儿茶酚化的酚醛清漆;和2)基于丙烯酸酯的树脂:
Figure BDA0001156148120000121
5)包含缩水甘油基的树脂(包括均聚物或共聚物),如以下基于丙烯酸酯的树脂:
Figure BDA0001156148120000122
如上文所论述,在又一实施例中,提供了新颖含儿茶酚聚合物。在优选的方面,儿茶酚试剂与预形成的树脂反应以提供具有侧接儿茶酚部分的树脂。举例来说,儿茶酚试剂,如具有如酸或氨基的另外反应性取代基的二羟苯化合物可与具有反应性基团如环氧基的聚合物反应。
因此,举例来说,如以下方案1中所例示,具有反应性基团的聚合物如具有缩水甘油基部分的所描绘的丙烯酸酯树脂与包含一个或多个可与聚合物反应的部分的儿茶酚试剂反应,以提供具有侧接儿茶酚基团的聚合物反应产物。
方案1
Figure BDA0001156148120000123
如一般在以下方案2中例示的具体优选的***包括儿茶酚试剂与反应性聚合物如包含环氧基的树脂的反应以提供聚合物反应产物,所述聚合物反应产物包含1)侧接儿茶酚部分和2)反应性部分,如可参与含有聚合物反应产物的涂层的交联的羟基、任选经取代的胺或羧基。
方案2
Figure BDA0001156148120000131
如本文中所提及,合适的杂烷基包括任选经取代的C1-20烷氧基、优选地具有1到约20个碳原子的任选经取代的烷硫基;优选地1到约20个碳原子的任选经取代的烷基亚磺酰基;优选地具有1到约20个碳原子的任选经取代的烷基磺酰基;和优选地具有1到约20个碳原子的任选经取代的烷基胺。
还应理解的是,除非另外指明,否则术语“杂烷基”均包括“杂脂环”基。杂脂环基为具有一个或多个杂(例如N、O或S)环原子的非芳香族环基团。优选的杂脂环基具有5到20个环原子以及1、2或3个N、O或S环原子。
术语“烷基”是指饱和脂族基的基团,包括直链烷基基团、支链烷基基团、环烷基(脂环族)基团、烷基取代的环烷基基团及环烷基取代的烷基基团。在优选方面,直链或支链烷基在其主链中具有30个或更少的碳原子(例如对非环状C1-C30,对支链C3-C30),优选26个或更少,并且更优选20个或更少以及更优选4个或更少。
还应理解的是,除非另外指明,否则术语“烷基”均包括“碳脂环”基。
如本文中所提及,术语“碳脂环基”意指非芳香族基的每一环成员都是碳。碳脂环基可具有一个或多个内环碳-碳双键,其限制条件是该环不是芳族环。术语任选地经取代的“环烷基”意指非芳香族基的每一环成员都是碳,并且碳环不具有任何内环碳-碳双键。举例来说,环己基、环戊基和金刚烷基均为环烷基以及碳脂环基。碳脂环基和环烷基可包含一个环或多个(例如2、3、4个或更多个)桥联、稠合或以其它方式共价键联的环。
如本文中所提及,“杂芳基”基团包括芳香族5元到8元单环、8元到12元双环或11元到14元三环环***,如果为单环所述环***具有1到3个杂原子,如果为双环具有1到6个杂原子或者如果为三环具有1到9个杂原子,所述杂原子选自O、N或S(例如,碳原子和如果为单环、双环或三环分别为1到3个、1到6个或1到9个N、O或S的杂原子),其中每个环的0、1、2、3或4个原子可被取代基取代。杂芳基的实例包括吡啶基、呋喃基(furyl/furanyl)、咪唑基、苯并咪唑基、嘧啶基、苯硫基或噻吩基、喹啉基、吲哚基、噻唑基等。
为“任选经取代的”各种材料和取代基(包括以上式(I)和式(II)的基团R、R1和R2)可在一个或多个可用位置处由例如卤素(F、Cl、Br、I);硝基;羟基;氨基;烷基如C1-8烷基;烯基如C2-8烯基;烷基氨基如C1-8烷基氨基;碳环芳基如苯基、萘基、蒽基;杂芳基等被合适地取代。
多种树脂可用作底层涂料组合物的树脂组分。
本发明的涂料组合物的特别优选的树脂可包含聚酯键。聚酯树脂可通过一种或多种多元醇试剂与一种或多种含羧基(如羧酸、酯、酸酐等)化合物的反应容易地制备。合适的多元醇试剂包括二醇、甘油和三醇,如二醇,如二醇为乙二醇、1,2-丙二醇、1,3-丙二醇、丁二醇、戊二醇、环丁基二醇、环戊基二醇、环己基二醇、二羟甲基环己烷,和三醇如甘油、三羟甲基乙烷、三羟甲基丙烷等。
用于本发明的抗反射组合物的优选聚酯树脂还公开于U.S.8,501,383;U.S.2011/0033801以及U.S.7,163,751中。如那些专利文献中所公开,含有酯重复单元(聚酯)的树脂可合适地通过含羧基化合物(如羧酸、酯、酸酐等)与含羟基化合物,优选地具有多个羟基的化合物,如二醇,例如乙二醇或丙二醇,或甘油,或其他二醇、三醇、四醇等的聚合来提供。在某些方面,优选地,酯官能团以聚合物主链的组分或聚合物主链内的组分形式,而非以侧接或侧链单元形式存在。酯部分还可以侧基形式存在,但优选地,聚合物还含有沿聚合物主链的酯官能团。还优选的是,其中酯重复单元包含芳香族取代基,如任选经取代的碳环芳基,例如任选经取代的苯基、萘基或蒽基取代基,作为侧链或更优选地沿着聚合物主链。
基于丙烯酸酯(包括基于甲基丙烯酸酯)的树脂也是优选的。
本发明的涂料组合物的树脂可包含多种额外基团,如氰尿酸酯基,如美国专利6852421和8501383中所公开。
如所论述,对于抗反射应用,合适地经反应以形成树脂的化合物中的一种或多种包含可充当发色团以吸收用于曝光外涂布光致抗蚀剂涂层的辐射的部分。
另外,用于抗反射目的的底层涂料组合物可含有含有与提供水接触角调变的树脂组分(例如含有光酸不稳定基团和/或碱反应性基团的树脂)分离的发色团单元的材料。举例来说,涂料组合物可包含含有苯基、蒽、萘基等单元的聚合或非聚合化合物。
可容易地制备包含一个或多个缩水甘油基部分和/或具有多个含杂取代基的芳香族基的树脂。举例来说,可聚合含有所期望基团的单体。优选的合成阐述于以下实例中。
优选地,本发明的底层涂料组合物的树脂将具有约1,000到约10,000,000道尔顿,更通常约2,000到约10,000道尔顿的重均分子量(Mw)和约500到约1,000,000道尔顿的数均分子量(Mn)。本发明组合物的树脂的分子量(Mw或Mn)通过凝胶渗透色谱法合适地测定。
树脂组分将为许多优选实施例中的底层涂料组合物的主要固体组分。举例来说,一种或多种树脂可合适地以按涂料组合物的总固体含量计的50重量%到99.9重量%,更通常地以按涂料组合物的总固体含量计的80重量%或85重量%到95重量%、98重量%或99+(或甚至100)重量%存在。如本文中所提及,涂料组合物的固体是指除了溶剂载体以外的涂料组合物的所有材料。
在某些实施例中,除树脂或具有缩水甘油基的其它材料以外,本发明的涂料组合物可包含交联剂。举例来说,涂料组合物可包括基于胺的交联剂,如三聚氰胺材料,包括三聚氰胺树脂,如由氰特工业(Cytec Industries)制造且以Cymel 300、301、303、350、370、380、1116和1130的商品名市售;甘脲,包括购自氰特工业(Cytec Industries)的那些甘脲;和基于苯并三聚氰胺和脲的材料,包括树脂,如以名称Cymel 1123和1125购自氰特工业(Cytec Industries)的苯并三聚氰胺树脂和以Powderlink 1174和1196的名称购自氰特工业(Cytec Industries)的脲树脂。除可商购以外,此类基于胺的树脂可例如通过丙烯酰胺或甲基丙烯酰胺共聚物与甲醛在含醇溶液中的反应,或者通过N-烷氧基甲基丙烯酰胺或甲基丙烯酰胺与其它适合单体的共聚来制备。
含有本发明的涂料组合物的缩水甘油基和/或具有多个含杂取代基的芳香族基的树脂一般以涂料组合物的总固体(除溶剂载体之外的所有组分)的约5重量%与100重量%之间,更通常以涂料组合物的总固体(除溶剂载体之外的所有组分)的至少约20重量%、30重量%、40重量%、50重量%、60重量%、70重量%、80重量%、90重量%或100重量%的量存在。
如果涂料组合物含有1)包含缩水甘油基的第一聚合物和2)包含具有多个羟基、硫醇基和/或胺基的芳香族基的第二聚合物(不同于第一聚合物),每个此类聚合物合适地以涂料组合物的总固体(除溶剂载体之外的所有组分)的约5重量%与95重量%之间,更通常以涂料组合物的总固体(除溶剂载体之外的所有组分)的至少约10重量%、20重量%、30重量%、40重量%、50重量%、60重量%、70重量%、80重量%或90重量%的量存在。
优选的本发明的涂料组合物还可含有热酸产生剂化合物。通过热酸产生剂的活化的涂料组合物的热诱导交联一般为优选的。
用于涂料组合物的合适的热酸产生剂化合物包括离子或大体上中性的热酸产生剂,例如芳烃磺酸铵盐(例如甲苯磺酸铵盐),用于在抗反射组合物涂层的固化期间催化或促进交联。通常,一种或多种热酸产生剂以组合物的总干燥组分(除溶剂载体之外的所有组分)的约0.1重量%到10重量%,更优选地以总干燥组分的约0.5重量%到2重量%的浓度存在于涂料组合物中。
本发明的涂料组合物(特别是用于反射控制应用)还可含有吸收用于曝光外涂布光致抗蚀剂层的辐射的额外染料化合物。其它任选的添加剂包括表面调平剂,例如可以商品名Silwet 7604商购的调平剂,或购自3M Company(3M公司)的表面活性剂FC 171或FC431。
本发明的底层涂料组合物还可含有其它材料,如光酸产生剂,包括与外涂布光致抗蚀剂组合物一起使用的如所论述的光酸产生剂。关于光酸产生剂在抗反射组合物中的此类用途的论述,参见美国专利6261743。
为了制备本发明的液体涂料组合物,涂料组合物的组分溶解于合适溶剂中,例如,一种或多种氧基异丁酸酯,特别是2-羟基异丁酸甲酯、乳酸乙酯或如2-甲氧基***(二乙二醇二甲醚)、乙二醇单甲醚和丙二醇单甲醚的二醇醚中的一种或多种;具有醚和羟基部分两者的溶剂,如甲氧基丁醇、乙氧基丁醇、甲氧基丙醇和乙氧基丙醇;2-羟基异丁酸甲酯;酯,如溶纤剂乙酸甲酯、溶纤剂乙酸乙酯、丙二醇单甲醚乙酸酯、二丙二醇单甲醚乙酸酯和其它溶剂,如二元酯、碳酸亚丙酯和γ-丁内酯。溶剂中的干式组分的浓度将取决于若干因素,如涂覆方法。一般来说,底层涂料组合物的固体含量在涂料组合物的总重量的约0.5重量%到20重量%范围内变化,优选地,固体含量在涂料组合物的约0.5重量%到10重量%范围内变化。
例示性光致抗蚀剂***
与底层涂料组合物一起使用的光致抗蚀剂通常包含聚合物和一种或多种酸产生剂。一般优选的是正型抗蚀剂并且抗蚀剂聚合物具有赋予抗蚀剂组合物碱性水溶性的官能团。举例来说,优选的是包含极性官能团(如羟基或羧酸酯基)或在光刻处理后可释放此类极性部分的酸不稳定基团的聚合物。优选地,聚合物以足以使得抗蚀剂可用碱性水溶液显影的量用于抗蚀剂组合物中。
酸产生剂还合适地与包含含有芳香族基(如包括酚的任选经取代的苯基、任选经取代的萘基和任选经取代的蒽)的重复单元的聚合物一起使用。含有任选经取代的苯基(包括苯酚)的聚合物特别合适于许多抗蚀剂***,包括用EUV和电子束辐射成像的那些抗蚀剂***。对于正性作用抗蚀剂,聚合物优选地还含有一个或多个包含酸不稳定基团的重复单元。举例来说,在含有任选经取代的苯基或其它芳香族基的聚合物的情况下,聚合物可包含含有一个或多个酸不稳定部分的重复单元,如通过使丙烯酸酯或甲基丙烯酸酯化合物的单体与酸不稳定酯(例如丙烯酸叔丁酯或甲基丙烯酸叔丁酯)聚合而形成的聚合物。此类单体可与一种或多种包含(一个或多个)芳香族基(如,任选地苯基)的其它单体(例如苯乙烯或乙烯基苯酚单体)共聚。
用于形成此类聚合物的优选单体包括:具有下式(V)的酸不稳定单体、下式(VI)的含内酯单体、用于调节碱性显影剂中的溶解速率的下式(VII)的碱可溶单体和下式(VIII)的生酸单体,或包含前述单体中的至少一种的组合:
Figure BDA0001156148120000171
其中每个Ra独立地为H、F、-CN、C1-10烷基或C1-10氟烷基。在式(V)的酸可脱保护单体中,Rb独立地为C1-20烷基、C3-20环烷基、C6-20芳基或C7-20芳烷基,并且每个Rb为独立的或至少一个Rb键结到相邻Rb以形成环状结构。在式(VI)的含内酯单体中,L为单环、多环或稠合多环C4-20含内酯基团。在式(VII)的碱可溶单体中,W为卤代或非卤代、芳香族或非芳香族C2-50含羟基有机基团,其pKa小于或等于12。在式(VIII)的酸产生单体中,Q为含酯或非含酯的且为氟化或非氟化的,且为C1-20烷基、C3-20环烷基、C6-20芳基或C7-20芳烷基;A为含酯或非含酯的且为氟化或非氟化的,且为C1-20烷基、C3-20环烷基、C6-20芳基或C7-20芳烷基;Z-为阴离子部分,包含羧酸根、磺酸根、磺酰胺阴离子或磺酰亚胺阴离子,并且G+为锍或碘阳离子。
例示性酸可脱保护单体包括但不限于:
Figure BDA0001156148120000181
或包含至少一种前述单体的组合,其中Ra是H、F、-CN、C1-6烷基或C1-6氟烷基。
合适的内酯单体可为下式(IX)的单体:
Figure BDA0001156148120000182
其中Ra为H、F、-CN、C1-6烷基或C1-6氟烷基,R为C1-10烷基、环烷基或杂环烷基,并且w为0到5的整数。在式(IX)中,R直接连接到内酯环上或通常连接到内酯环和/或一个或多个R基团上,并且酯部分直接连接或经由R间接连接到内酯环上。
例示性含内酯单体包括:
Figure BDA0001156148120000183
或包含至少一种前述单体的组合,其中Ra为H、F、-CN、C1-10烷基或C1-10氟烷基。
合适的碱可溶性单体可为下式(X)的单体:
Figure BDA0001156148120000191
其中每个Ra独立地为H、F、-CN、C1-10烷基或C1-10氟烷基,A为含羟基或非含羟基、含酯或非含酯、氟化或非氟化的C1-20亚烷基、C3-20亚环烷基、C6-20亚芳基或C7-20亚芳烷基,并且x为0到4的整数,其中当x为0时,A为含羟基的C6-20亚芳基。
例示性碱可溶单体包括具有以下结构的那些单体:
Figure BDA0001156148120000192
或包含至少一种前述单体的组合,其中Ra为H、F、-CN、C1-6烷基或C1-6氟烷基。
优选的酸产生单体包括式(XI)或式(XII)的那些单体:
Figure BDA0001156148120000193
其中每个Ra独立地为H、F、-CN、C1-6烷基或C1-6氟烷基,A为经氟取代的C1-30亚烷基、经氟取代的C3-30亚环烷基、经氟取代的C6-30亚芳基或经氟取代的C7-30亚烷基亚芳基,并且G+为锍或碘阳离子。
优选地,在式(XI)和式(XII)中,A为-[(C(R1)2)xC(=O)O]b-C((R2)2)y(CF2)z-基团或邻位、间位或对位取代的-C6F4-基团,其中每个R1和R2各自独立地为H、F、-CN、C1-6氟烷基或C1-6烷基,b为0或1,x为1到10的整数,y和z独立地为0到10的整数,并且y+z的总和为至少1。
例示性优选的酸产生单体包括:
Figure BDA0001156148120000201
或包含至少一种前述单体的组合,其中每个Ra独立地是H、F、-CN、C1-6烷基或C1-6氟烷基,k合适地为0到5的整数;并且G+是锍或碘阳离子。如在本文各式中所提及的G+可为如本文中所公开的酸产生剂且包含氧代-二氧杂环戊烷部分和/或氧代-二噁烷部分。
优选的酸产生单体可包括锍或碘阳离子。优选地,在式(IV)中,G+为式(XIII):
Figure BDA0001156148120000211
其中X为S或I;每个R0为卤代或非卤代的并且独立地为C1-30烷基;多环或单环C3-30环烷基;多环或单环C4-30芳基或包含至少一种前述基团的组合,其中当X为S时,R0基团中的一个任选地通过单键连接到一个相邻R0基团,并且a为2或3,其中当X为I时,a为2,或当X为S时,a为3。
例示性酸产生单体包括具有下式的那些单体:
Figure BDA0001156148120000212
尤其适用于本发明的正性作用化学增强光致抗蚀剂中的具有酸不稳定去封端基团的聚合物已经公开于欧洲专利申请0829766A2(具有缩醛的聚合物和缩酮聚合物)和欧洲专利申请EP0783136A2(包括1)苯乙烯;2)羟基苯乙烯;以及3)酸不稳定基团(特别是丙烯酸烷酯酸不稳定基团)的单元的三元共聚物和其它共聚物)。
用于在低于200nm,如193nm下成像的光致抗蚀剂中的额外优选树脂包含以下通式(I)、(II)和(III)的单元:
用于在低于200nm,如193nm下成像的光致抗蚀剂中的优选树脂包含以下通式(I)、(II)和(III)的单元:
Figure BDA0001156148120000221
其中:R1为(C1-C3)烷基;R2为(C1-C3)亚烷基;L1为内酯基;且n为1或2。
用于本发明光致抗蚀剂中的聚合物的分子量和多分散性可合适地在很宽范围内变化。合适的聚合物包括Mw为约1,000到约50,000、更通常约2,000到约30,000并且分子量分布为约3或更小、更通常分子量分布为约2或更小的那些聚合物。
优选的本发明负性作用组合物包含在曝光于酸后将固化、交联或硬化的材料和如本文中所公开的两种或更多种酸产生剂的混合物。优选的负性作用组合物包含聚合物粘合剂(如酚系或非芳族聚合物)、交联剂组分和本发明的光敏组分。此类组合物和其用途已经公开于Thackeray等人的欧洲专利申请0164248和美国专利第5,128,232号中。用作聚合物粘合剂组分的优选酚系聚合物包括酚醛清漆和聚(乙烯基苯酚),如上文所论述的那些组分。优选的交联剂包括基于胺的材料(包括三聚氰胺)、甘脲、基于苯并胍胺的材料以及基于脲的材料。三聚氰胺-甲醛聚合物通常是特别合适的。此类交联剂是可商购的,例如三聚氰胺聚合物、甘脲聚合物、基于脲的聚合物和苯并胍胺聚合物,如由氰特(Cytec)以商品名称Cymel 301、303、1170、1171、1172、1123和1125以及Beetle 60、65和80市售的那些交联剂。
特别优选的本发明的光致抗蚀剂可用于浸没式光刻应用中。关于优选浸没式光刻光致抗蚀剂和方法的论述,参见例如罗门哈斯电子材料(Rohm and Haas ElectronicMaterials)的U.S.7968268。
本发明的光致抗蚀剂还可包含单一酸产生剂或相异酸产生剂的混合物,通常2或3种不同酸产生剂的混合物,更通常由总共2种相异酸产生剂组成的混合物。光致抗蚀剂组合物包含以在曝光于活化辐射后足以在组合物的涂层中产生潜像的量采用的酸产生剂。举例来说,酸产生剂将合适地以按光致抗蚀剂组合物的总固体计1wt%到20wt%的量存在。
合适的酸产生剂在化学增强光致抗蚀剂领域中已知并且包括例如:鎓盐,例如三氟甲烷磺酸三苯基锍、三氟甲烷磺酸(对叔丁氧基苯基)二苯基锍、三氟甲烷磺酸三(对叔丁氧基苯基)锍、对甲苯磺酸三苯基锍;硝基苯甲基衍生物,例如2-硝基苯甲基-对甲苯磺酸盐、2,6-二硝基苯甲基-对甲苯磺酸盐以及2,4-二硝基苯甲基-对甲苯磺酸盐;磺酸酯,例如1,2,3-三(甲烷磺酰基氧基)苯、1,2,3-三(三氟甲烷磺酰基氧基)苯以及1,2,3-三(对甲苯磺酰基氧基)苯;重氮甲烷衍生物,例如双(苯磺酰基)重氮甲烷、双(对甲苯磺酰基)重氮甲烷;乙二肟衍生物,例如双-O-(对甲苯磺酰基)-α-二甲基乙二肟和双-O-(正丁烷磺酰基)-α-二甲基乙二肟;N-羟基酰亚胺化合物的磺酸酯衍生物,例如N-羟基丁二酰亚胺甲磺酸酯、N-羟基丁二酰亚胺三氟甲磺酸酯;以及含卤素的三嗪化合物,例如2-(4-甲氧基苯基)-4,6-双(三氯甲基)-1,3,5-三嗪以及2-(4-甲氧基萘基)-4,6-双(三氯甲基)-1,3,5-三嗪。
如本文中所提及,酸产生剂可在曝光于活化辐射,如EUV辐射、电子束辐射、193nm波长辐射或其它辐射源时产生酸。如本文中所提及的酸产生剂化合物也可称为光酸产生剂化合物。
本发明的光致抗蚀剂还可含有其它材料。举例来说,其它任选的添加剂包括光化和造影染料、抗条纹剂、塑化剂、速度促进剂和敏化剂。此类任选的添加剂通常将以较小浓度存在于光致抗蚀剂组合物中。
替代性地或另外,其它添加剂可以包括淬灭剂,其为非光可破坏碱,如基于氢氧化物、羧酸盐、胺、亚胺和酰胺的那些碱。优选地,此类淬灭剂包括C1-30有机胺、亚胺或酰胺,或者可为强碱(例如氢氧化物或醇盐)或弱碱(例如羧酸盐)的C1-30季铵盐。例示性淬灭剂包括胺,如三丙胺、十二烷胺、三(2-羟丙基)胺、oltetrakis(2-羟丙基)乙二胺;芳基胺,如二苯胺、三苯胺、氨基苯酚和2-(4-氨基苯基)-2-(4-羟基苯基)丙烷,受阻胺,如二氮杂双环十一烯(DBU)或二氮杂二环壬烯(DBN),或离子淬灭剂,包括季烷基铵盐,如氢氧化四丁铵(TBAH)或乳酸四丁铵。
表面活性剂包括氟化和非氟化的表面活性剂,并且优选地为非离子的。例示性氟化非离子表面活性剂包括全氟C4表面活性剂,如可购自3M公司的FC-4430和FC-4432表面活性剂;和氟二醇,如来自Omnova的POLYFOX PF-636、PF-6320、PF-656和PF-6520氟表面活性剂。
光致抗蚀剂进一步包括一般适合于溶解、分配和涂布光致抗蚀剂中所用组分的溶剂。例示性溶剂包括苯甲醚;醇,包括乳酸乙酯、1-甲氧基-2-丙醇和1-乙氧基-2丙醇;酯,包括乙酸正丁酯、乙酸1-甲氧基-2-丙酯、甲氧基乙氧基丙酸酯、乙氧基乙氧基丙酸酯;酮,包括环己酮和2-庚酮;以及包含至少一种前述溶剂的组合。
光刻加工
在使用中,本发明的涂料组合物通过如旋涂的多种方法中的任一种以涂层形式涂覆到衬底。涂料组合物一般以约0.02μm与0.5mm之间的干燥层厚度,优选地约0.04μm与0.20μm之间的干燥层厚度涂覆于衬底上。衬底合适地为用于涉及光致抗蚀剂的方法中的任何衬底。举例来说,衬底可为硅、二氧化硅或铝-氧化铝微电子晶片。也可采用砷化镓、碳化硅、陶瓷、石英或铜衬底。还合适地采用用于液晶显示器或其它平板显示器应用的衬底,例如玻璃衬底、氧化铟锡涂布衬底等。还可采用用于光学和光电装置(例如波导)的衬底。
优选地,涂覆的涂层在光致抗蚀剂组合物涂覆于底层涂料组合物上方之前固化。固化条件将随着底层涂料组合物的组分而变化。特别地,固化温度将取决于涂料组合物中采用的特定酸或酸(热)产生剂。典型固化条件为约80℃到225℃下持续约0.5到5分钟。固化条件优选地使得涂料组合物涂层基本上不溶于使用的光致抗蚀剂溶剂以及显影剂溶液。
在此类固化之后,光致抗蚀剂涂覆于涂覆的涂料组合物的表面上。如同底部涂料组合物层的涂覆,外涂布光致抗蚀剂可通过任何标准方法,如通过旋涂、浸涂、弯月面涂布或辊涂来涂覆。在涂布后,光致抗蚀剂涂层通常通过加热干燥以去除溶剂,优选地直到抗蚀剂层无粘性。最优地,应基本上不出现底部组合物层与外涂布光致抗蚀剂层的互混。
然后抗蚀剂层用活化辐射,如以常规方式穿过遮罩的248nm、193nm或EUV辐射成像。曝光能量足以有效地活化抗蚀剂***的光敏组分以在抗蚀剂涂层中产生图案化图像。通常,曝光能量的范围介于约3mJ/cm2到300mJ/cm2且部分取决于采用的曝光工具和特定抗蚀剂和抗蚀剂处理。曝光的抗蚀剂层可在必要时经受曝光后烘烤以在涂层的曝光和未曝光区域之间产生或增强溶解性差异。举例来说,负型酸硬化光致抗蚀剂通常需要曝光后加热以诱导酸促进的交联反应,且许多化学增强正性作用抗蚀剂需要曝光后加热以诱导酸促进的脱除保护反应。通常,曝光后烘烤条件包括约50℃或更高的温度,更具体地约50℃到约160℃范围内的温度。
光致抗蚀剂层还可曝露于浸没式光刻***中,即其中在曝光工具(特别是投影透镜)和光致抗蚀剂涂布的衬底之间的空间被浸没流体占据,如水或与一种或多种添加剂如硫酸铯(其可提供折射率增强的流体)混合的水。优选地,浸没流体(例如水)已经被处理以避免气泡,例如水可经脱气以避免纳米气泡。
本文中提及“浸没曝露”或其它类似术语指示用***在曝光工具与经涂布的光致抗蚀剂组合物层之间的此类流体层(例如水或具有添加剂的水)进行曝光。
然后,曝光的光致抗蚀剂层用能够选择性地去除膜的一部分以形成光致抗蚀剂图案的合适显影剂处理。在负型显影方法中,光致抗蚀剂层的未曝光区域可通过用合适的非极性溶剂处理来选择性地去除。关于负型显影的适合程序,参见U.S.2011/0294069。用于负型显影的典型非极性溶剂为有机显影剂,如选自酮、酯、烃和其混合物的溶剂,例如丙酮、2-己酮、2-庚酮、乙酸甲酯、乙酸丁酯和四氢呋喃。用于NTD方法中的光致抗蚀剂材料优选地形成可与有机溶剂显影剂形成负像或与如四烷基氢氧化铵溶液的水性碱显影剂形成正像的光致抗蚀剂层。优选地,NTD光致抗蚀剂基于具有酸敏(可脱保护)基团的聚合物,所述基团在脱除保护基时形成羧酸基和/或羟基。
可替代地,曝光的光致抗蚀剂层的显影可通过用能够选择性地去除膜的曝光部分(其中光致抗蚀剂为正型)或去除膜的未曝光部分(其中光致抗蚀剂在曝光区域中可交联,即为负型)的合适显影剂处理曝光层来实现。优选地,光致抗蚀剂为正型的,其基于具有在脱除保护基时形成羧酸基的酸敏(可脱保护)基团的聚合物,且显影剂优选地为金属离子自由四烷基氢氧化铵溶液,例如0.26N四甲基氢氧化铵水溶液。图案通过显影形成。
然后,显影的衬底可根据本领域中众所周知的程序在缺乏光致抗蚀剂的那些衬底区域,例如缺乏光致抗蚀剂的化学蚀刻或镀敷区域上选择性地处理。合适的蚀刻剂包括氢氟酸蚀刻溶液和等离子体气体蚀刻剂,如氧等离子体蚀刻剂。等离子气体蚀刻剂去除底层涂层。
如所论述,在某些方面,可合适地采用湿式蚀刻工艺。通过将用湿式蚀刻组合物蚀刻的表面(例如金属氮化物或涂覆有一种或多种有机和/或无机层的金属氮化物)曝光一段时间和在有效蚀刻表面(例如金属氮化物表面和/或在其上的涂层)的温度下可合适地进行湿式蚀刻。例示性湿式蚀刻组合物包括氢氧化铵和过氧化物如过氧化氢的水性混合物,或酸如硫酸和过氧化物如过氧化氢的混合物。关于例示性组合物,参见US 2006/0226122。以下实例还提供例示性湿式蚀刻工艺条件。如本文中所提及,“湿式蚀刻工艺”意指用流体组合物,通常为酸或碱与过氧化剂组合来处理由邻接光致抗蚀剂(在显影光致抗蚀剂图像之后)限定的衬底区域,但是在任何情况下区别于等离子干式蚀刻。
以下非限制性实例说明本发明。
一般说明
以下聚合物P1到聚合物P9描述以下实例中。聚合物P3购自日本尼索(Nisso),MW=5.3K,PDI=1.1。聚合物P4、P5、P6和P7由韩国美源化学(Miwon Chemical)提供。
Figure BDA0001156148120000261
实例1-4:聚合物合成
实例1:合成THEIC-TCEIC共聚物P1
Figure BDA0001156148120000262
将THEIC(三(2-羟乙基)异氰尿酸酯)(30.43g,116.5mmol)、TCEIC(三(2-羧基乙基)异氰尿酸酯)(20.11g,58.2mmol)、正丁醇(20.11g,271.5mmol)、p-TSA(对甲苯磺酸酸)(0.53g,2.8mmol)溶解于34g苯甲醚中。使混合物加热到150℃并且在此温度下维持3小时。使反应混合物冷却到120℃并且通过添加78g HBM(2-羟基异丁酸甲酯)来稀释。残留单体通过用过量异丙醇沉淀而除去,得到为白色粉末的所描绘共聚物1。[MW 7k,PDI=1.4;THEIC:TCEIC=66:34mol%,通过13C-NMR测定]。
实例2:合成聚(ANTMA-共-HEMA-共-MMA)P2
Figure BDA0001156148120000263
通过在装备有机械搅拌棒、热控制器、热探针、加热套及氮气净化进口(吹扫)的250ml三颈圆底烧瓶中,组合20.5g甲基丙烯酸甲基蒽酯单体、15.5g甲基丙烯酸2-羟乙酯单体、14.0g甲基丙烯酸甲酯及50g丙二醇单甲基醚乙酸酯(PGMEA)来制备聚合物进料溶液。加热反应混合物,直到反应混合物的温度达到80℃。接下来,将16.15g含有10wt%的Vazo 67偶氮引发剂(白色粉末,杜邦公司(Dupont))的PGMEA溶液添加到烧瓶中。在搅拌下,加热烧瓶,直到反应混合物的温度达到90℃,并维持6小时。停止加热并使反应器冷却到40℃。在真空条件下除去未反应的单体并用PGMEA将所得聚合物溶液稀释到17wt%(+/-5wt%)。所得聚合物2溶液显示[Mn 2776;Mw=8160;PDI 2.9PDI;ANTMA:HEMA:MMA=20:35:45mol%,通过13C-NMR测定]。
实例3:合成聚(ANTMA-共-GMA)P8
Figure BDA0001156148120000271
使250ml圆底3-颈烧瓶(反应器)装入75g PGMEA(溶剂),并然后将烧瓶连接到冷凝器,热电偶以达到溶剂,用于在整个聚合中测量并控制溶剂的温度。将在反应器内部的溶剂温度设定在80℃±1℃。通过在250mL玻璃瓶中组合22.72g甲基丙烯酸甲基蒽酯单体、27.28g甲基丙烯酸缩水甘油酯单体、1.26g V-601引发剂(2mol%)和75g丙二醇单甲基醚乙酸酯(PGMEA)来制备进料溶液,并然后振摇其以完全溶解单体和引发剂。然后将该瓶放回到冰浴中。一旦反应器达到设定温度(80℃),就将3.16g V-601引发剂(5mol%)倾倒于烧瓶中并搅拌约10分钟。温度达到80℃±1℃,将进料溶液进料到反应器烧瓶中,维持120分钟。在进料之后,反应器在80℃下维持额外1小时,然后移去加热并且在搅拌下使反应器冷却到室温。所得聚合物溶液用甲醇(相对于反应混合物10×过量)沉淀,过滤并真空干燥,以提供所得聚合物3,其显示[Mw 8.5K;PDI 1.6;ANTMA:GMA=27:73mol%,通过13C-NMR测定]。
实例4:通过改性后聚合物P9合成儿茶酚化聚合物
Figure BDA0001156148120000272
向配备有磁性搅拌棒的250mL圆底烧瓶装入聚(甲基丙烯酸缩水甘油酯)(10.00g,0.069mol)、3,4-二羟基苯甲酸(10.71g,0.035mol)以及干燥CH3CN(210mL)。在搅拌情况下,向此溶液中添加1mol%的四丁基溴化铵(0.35mmol)并且将所得混合物加热到75℃并使其搅拌10小时。使所得透明溶液冷却到环境温度并通过旋转蒸发来浓缩以得到10.24g粘性透明液体。将所得粗聚合物溶解于THF中,从冷IPA中沉淀并且在真空中干燥48小时。儿茶酚官能化聚合物P9(MW 6.5k)被分离为干燥白色粉末,40%收率。
实例5-15和比较实例1-2
通过组合表1中所示的量的组分来制备BARC组合物。通过具有0.45微米孔径的PTFE过滤器过滤溶液,以提供BARC组合物。在表1中,在一般说明下指定的聚合物P1到聚合物P9具有如上文所阐述的te结构;PGMEA=丙二醇单甲基醚乙酸酯;HBM=甲基-2-羟基异丁酸酯;T1=对甲苯磺酸铵盐;C-1=四甲氧基甲基甘脲以及F-1=来自OMNOVA溶液有限公司的含氟化合物表面活性剂Polyfox 656。
表1
Figure BDA0001156148120000281
Figure BDA0001156148120000291
实例16:在组合物实例的TiN衬底上测试湿式抗蚀刻性
BARC组合物各自以1500rpm旋涂在4cm×4cm TiN试片晶片上,并然后使用小型涂布机在215℃下烘烤一分钟。烘烤之后BARC涂层厚度为
Figure BDA0001156148120000293
旋涂BARC膜放置在晶片固持器上,用于浸渍到对于已知为SC-1混合物的TiN湿式蚀刻化学品中。此测试中使用的SC-1混合物具有NH4OH:H2O2:H2O=1:4:20的重量比。在将BARC膜浸渍于SC-1混合物中之后,将SC-1加热到50℃。湿式抗蚀刻性样品在这些条件下显示出较长的耐受时间而无BARC膜的任何剥离,而较差粘附性的BARC在短时内剥离。通过监测在SC-1混合物中膜剥落的耐受时间用作评估性能。
Figure BDA0001156148120000292
比较实例1和比较实例2表示广泛用于ArF和KrF中的可商购BARC组合物。它们在此湿式抗蚀刻性评估下显示不充分的耐受时间。通过使用含有儿茶酚或缩水甘油基的聚合物(实例14和实例15与比较实例1和比较实例2),显著提高了在TiN衬底上的湿式蚀刻耐受时间。通过组合儿茶酚和缩水甘油基均聚物(实例8、9、10以及11)而非包括它们中的一者的BARC组合物(实例14、15)来实现最佳性能。
实例17:测量BARC组合物的光学特性和耐溶剂性
将测试光学特性和耐溶剂性的每个样品溶液旋涂到Si晶片上并且在215℃下烘烤60秒。使用椭圆偏振测量法测量在Si晶片上膜的n/k值和厚度。然后,将通常用于光致抗蚀剂领域中的PGME/PGMEA=70:30wt%混合溶液倾倒于BARC膜的表面上且使其静置90秒。晶片然后以4000rpm旋干60秒。在110℃下额外烘烤60秒之后,用于去除溶剂。将最终厚度和初始厚度之间的差值报告为溶剂剥除值。
Figure BDA0001156148120000301
实例18-光刻处理
实例8和实例10的BARC组合物各自以1500rpm旋涂于150mm硅晶片上,并然后使用TEL ACT 8晶片涂布轨迹机在215℃下烘烤一分钟。在烘烤之后BARC涂层厚度为
Figure BDA0001156148120000302
将陶氏(DOW)EPIC2150光致抗蚀剂旋涂于BARC涂层的顶部上,并在125℃下烘烤60秒,以得到170nm厚的光致抗蚀剂层。接下来,使用尼康(Nikon)306C193nm ArF扫描仪在0.78NA下通过目标掩模对光致抗蚀剂进行曝光。接下来,在105℃下对光致抗蚀剂层进行60秒的曝光后烘烤,并然后使用陶氏MFTMCD-26 TMAH显影剂以标准60秒单搅炼工艺进行显影。在150k放大倍数下进行扫描电子显微法以检查光致抗蚀剂图案轮廓的质量。
在与用于KrF光刻的实例8和实例10的BARC组合物的相同条件下,制备使用实例12和实例13的BARC组合物的BARC涂层。将可商购的陶氏UVTM1610 DUV光致抗蚀剂旋涂于BARC涂层的顶部上并且在100℃下烘烤60秒,以得到280nm厚的光致抗蚀剂层。接下来,使用248nm KrF晶片步进机在0.65NA下通过目标掩模对光致抗蚀剂进行曝光。接下来,在120℃下对光致抗蚀剂层进行60秒的曝光后烘烤,然后使用陶氏MFTMCD-26 TMAH显影剂以标准60秒单搅炼工艺进行显影。在80k放大倍数下进行扫描电子显微法,以检查光致抗蚀剂图案轮廓的质量。实例8和实例10、实例12以及实例13的BARC组合物对具有涉及材料的ArF和KrF两者均显示出良好的光刻性能。

Claims (5)

1.一种形成光致抗蚀剂浮雕图像的方法,其包含:
a)在衬底上涂覆涂料组合物层,所述涂料组合物包含:
1)包含一个或多个缩水甘油基的第一树脂;
2)包含一个或多个儿茶酚基团的第二树脂;
b)将光致抗蚀剂组合物层涂覆在所述涂料组合物层上;以及
c)将所述光致抗蚀剂组合物层暴露于活化辐射并显影暴露的光致抗蚀剂组合物层,以提供光致抗蚀剂浮雕图像。
2.一种形成光致抗蚀剂浮雕图像的方法,其包含:
a)在衬底上涂覆涂料组合物层,所述涂料组合物包含树脂,所述树脂包含1)一个或多个缩水甘油基和2)一个或多个芳香族基两者,每个芳香族基包含两个或更多个包含羟基、硫醇和/或胺部分的取代基;
b)将光致抗蚀剂组合物层涂覆在所述涂料组合物层上;以及
c)将所述光致抗蚀剂组合物层暴露于活化辐射并显影暴露的光致抗蚀剂组合物层,以提供光致抗蚀剂浮雕图像。
3.根据权利要求1到2中任一项所述的方法,其中光致抗蚀剂浮雕图像通过湿式蚀刻工艺转印到衬底。
4.根据权利要求1到2中任一项所述的方法,其中所述衬底包括SiO2或TiN。
5.一种经涂布衬底,其包含:
衬底,其上具有:
a)包含涂料组合物,所述涂料组合物包含:
1)包含一个或多个缩水甘油基的第一树脂;
2)包含一个或多个儿茶酚基团的第二树脂;以及
b)在所述涂料组合物层上的光致抗蚀剂组合物层。
CN201611015528.1A 2015-11-30 2016-11-18 与外涂布光致抗蚀剂一起使用的涂料组合物 Active CN106814543B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562261275P 2015-11-30 2015-11-30
US62/261275 2015-11-30

Publications (2)

Publication Number Publication Date
CN106814543A CN106814543A (zh) 2017-06-09
CN106814543B true CN106814543B (zh) 2021-03-23

Family

ID=58777439

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201611015528.1A Active CN106814543B (zh) 2015-11-30 2016-11-18 与外涂布光致抗蚀剂一起使用的涂料组合物

Country Status (5)

Country Link
US (1) US10527942B2 (zh)
JP (1) JP6509797B2 (zh)
KR (1) KR101909884B1 (zh)
CN (1) CN106814543B (zh)
TW (1) TWI662370B (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7486919B2 (ja) * 2016-05-02 2024-05-20 日産化学株式会社 特定の架橋剤を含む保護膜形成組成物及びそれを用いたパターン形成方法
KR102482878B1 (ko) * 2017-09-26 2022-12-29 삼성전자 주식회사 집적회로 소자의 제조 방법
WO2019124475A1 (ja) 2017-12-22 2019-06-27 日産化学株式会社 アセタール構造を有する保護膜形成組成物
JP7302480B2 (ja) 2017-12-22 2023-07-04 日産化学株式会社 ジオール構造を有する保護膜形成組成物
CN112513738A (zh) * 2018-07-31 2021-03-16 日产化学株式会社 抗蚀剂下层膜形成用组合物
KR102288386B1 (ko) 2018-09-06 2021-08-10 삼성에스디아이 주식회사 레지스트 하층막용 조성물 및 이를 이용한 패턴 형성 방법
JP7110090B2 (ja) * 2018-12-28 2022-08-01 東京エレクトロン株式会社 基板処理方法および基板処理システム
TW202035593A (zh) 2019-01-21 2020-10-01 日商日產化學股份有限公司 具有縮醛構造及醯胺構造之保護膜形成組成物
US11651961B2 (en) * 2019-08-02 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning process of a semiconductor structure with enhanced adhesion
US11567408B2 (en) * 2019-10-15 2023-01-31 Rohm And Haas Electronic Materials Korea Ltd. Coating composition for use with an overcoated photoresist
JP7267968B2 (ja) * 2020-03-27 2023-05-02 積水化成品工業株式会社 少なくとも一組の隣接水酸基により置換されたベンゼン環を側鎖に有するポリマーの製造方法
JPWO2023008355A1 (zh) * 2021-07-30 2023-02-02
CN114085331B (zh) * 2021-12-01 2024-05-28 长兴化学工业(中国)有限公司 一种贻贝仿生改性的丙烯酸杂化醇酸树脂及其制备方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1266843A (zh) * 1999-03-15 2000-09-20 现代电子产业株式会社 有机抗反射涂料及其制备
US8101156B2 (en) * 2006-11-21 2012-01-24 Abbott Laboratories Methods of manufacturing copolymers with zwitterionic moieties and dihydroxyphenyl moieties and use of same

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3204465B2 (ja) * 1992-07-17 2001-09-04 東京応化工業株式会社 半導体素子製造用レジストパターン形成材料及びそれを用いたパターン形成方法
US5576359A (en) 1993-07-20 1996-11-19 Wako Pure Chemical Industries, Ltd. Deep ultraviolet absorbent composition
JP3852889B2 (ja) * 1998-09-24 2006-12-06 富士写真フイルム株式会社 フォトレジスト用反射防止膜材料組成物
KR100310252B1 (ko) * 1999-06-22 2001-11-14 박종섭 유기 반사방지 중합체 및 그의 제조방법
KR100492796B1 (ko) * 1999-07-30 2005-06-07 주식회사 하이닉스반도체 초미세 패턴의 형성 공정에서 사용되는 반사방지용 수지
JP4210407B2 (ja) * 2000-02-04 2009-01-21 富士フイルム株式会社 レジスト積層物
US6444408B1 (en) 2000-02-28 2002-09-03 International Business Machines Corporation High silicon content monomers and polymers suitable for 193 nm bilayer resists
KR100721182B1 (ko) * 2000-06-30 2007-05-23 주식회사 하이닉스반도체 유기반사방지막 조성물 및 그의 제조방법
JP2003226982A (ja) * 2001-11-29 2003-08-15 Kansai Paint Co Ltd 金属材料用表面処理組成物
US6846612B2 (en) 2002-02-01 2005-01-25 Brewer Science Inc. Organic anti-reflective coating compositions for advanced microlithography
ATE466310T1 (de) 2002-02-11 2010-05-15 Brewer Science Inc Halogenierte antireflexbeschichtungen
JP4150557B2 (ja) * 2002-09-02 2008-09-17 富士フイルム株式会社 多層レジストプロセス用中間層材料組成物及びそれを用いたパターン形成方法
US7657838B2 (en) 2002-10-08 2010-02-02 At&T Intellectual Property I, L.P. Preventing execution of programs that are embedded in email messages
AU2003271123A1 (en) 2002-10-09 2004-05-04 Nissan Chemical Industries, Ltd. Composition for forming antireflection film for lithography
CN1768306B (zh) * 2003-04-02 2011-12-14 日产化学工业株式会社 含有环氧化合物和羧酸化合物的光刻用形成下层膜的组合物
JP4753046B2 (ja) 2005-01-21 2011-08-17 日産化学工業株式会社 保護されたカルボキシル基を有する化合物を含むリソグラフィー用下層膜形成組成物
JP5032362B2 (ja) * 2007-03-12 2012-09-26 ローム アンド ハース カンパニー ヒドロキシフェニルアクリレート系モノマーおよびポリマー
US20090035704A1 (en) 2007-08-03 2009-02-05 Hong Zhuang Underlayer Coating Composition Based on a Crosslinkable Polymer
JP4993139B2 (ja) * 2007-09-28 2012-08-08 信越化学工業株式会社 反射防止膜形成材料、反射防止膜及びこれを用いたパターン形成方法
WO2009119201A1 (ja) * 2008-03-28 2009-10-01 Jsr株式会社 レジスト下層膜及びレジスト下層膜形成用組成物並びにレジスト下層膜形成方法
JP5125825B2 (ja) * 2008-07-07 2013-01-23 Jsr株式会社 多層レジストプロセス用下層膜形成組成物
TWI400575B (zh) 2008-10-28 2013-07-01 Shinetsu Chemical Co 光阻劑下層膜形成材料及圖案形成方法
JP5177418B2 (ja) * 2008-12-12 2013-04-03 信越化学工業株式会社 反射防止膜形成材料、反射防止膜及びこれを用いたパターン形成方法
JP5407941B2 (ja) * 2009-03-09 2014-02-05 信越化学工業株式会社 ポジ型レジスト材料並びにこれを用いたパターン形成方法
US8808960B2 (en) * 2009-03-11 2014-08-19 Sumitomo Chemical Company, Limited Compound and chemically amplified positive resist composition
US8722307B2 (en) * 2011-05-27 2014-05-13 International Business Machines Corporation Near-infrared absorptive layer-forming composition and multilayer film comprising near-infrared absorptive layer
JP5859420B2 (ja) 2012-01-04 2016-02-10 信越化学工業株式会社 レジスト下層膜材料、レジスト下層膜材料の製造方法、及び前記レジスト下層膜材料を用いたパターン形成方法
KR102017360B1 (ko) 2012-01-19 2019-09-02 브레우어 사이언스 인코포레이션 아다만틸 기를 포함하는 비폴리머성 반사 방지 조성물
JP6449145B2 (ja) * 2012-04-23 2019-01-09 ブルーワー サイエンス アイ エヌ シー. 感光性、現像液可溶性の底面反射防止膜材料
KR102155115B1 (ko) 2012-12-14 2020-09-11 닛산 가가쿠 가부시키가이샤 카르보닐기함유 폴리하이드록시 방향환 노볼락수지를 포함하는 레지스트 하층막 형성조성물
KR102357731B1 (ko) 2012-12-18 2022-02-08 닛산 가가쿠 가부시키가이샤 다환방향족 비닐화합물을 포함하는 자기조직화막의 하층막 형성조성물
JP6135600B2 (ja) * 2013-06-11 2017-05-31 信越化学工業株式会社 下層膜材料及びパターン形成方法
US9793131B2 (en) * 2013-08-28 2017-10-17 Nissan Chemical Industries, Ltd. Pattern forming method using resist underlayer film

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1266843A (zh) * 1999-03-15 2000-09-20 现代电子产业株式会社 有机抗反射涂料及其制备
US8101156B2 (en) * 2006-11-21 2012-01-24 Abbott Laboratories Methods of manufacturing copolymers with zwitterionic moieties and dihydroxyphenyl moieties and use of same

Also Published As

Publication number Publication date
US10527942B2 (en) 2020-01-07
TW201721288A (zh) 2017-06-16
KR20170071412A (ko) 2017-06-23
KR101909884B1 (ko) 2018-10-22
US20170153547A1 (en) 2017-06-01
JP6509797B2 (ja) 2019-05-08
CN106814543A (zh) 2017-06-09
TWI662370B (zh) 2019-06-11
JP2017107185A (ja) 2017-06-15

Similar Documents

Publication Publication Date Title
CN106814543B (zh) 与外涂布光致抗蚀剂一起使用的涂料组合物
CN107267039B (zh) 与外涂光致抗蚀剂一起使用的涂层组合物
CN109541886B (zh) 抗反射组合物及其使用方法和经涂布衬底
CN106647170B (zh) 与外涂布光致抗蚀剂一起使用的涂料组合物
CN109725492B (zh) 与光致抗蚀剂一起使用的下层涂料组合物
CN109725493B (zh) 与光致抗蚀剂一起使用的底层涂料组合物
TWI660245B (zh) 與外塗佈光致抗蝕劑一起使用的塗料組合物
CN109791362B (zh) 与外涂布光致抗蚀剂一起使用的涂料组合物
CN109143783B (zh) 与外涂布光致抗蚀剂一起使用的涂料组合物
KR102355665B1 (ko) 오버코팅된 포토레지스트와 함께 사용하기 위한 코팅 조성물
CN115058175A (zh) 与外涂布光致抗蚀剂一起使用的涂料组合物
TWI721304B (zh) 與外塗佈光致抗蝕劑一起使用的塗料組合物

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant