CN106132688B - 用于薄片与载体的受控粘结的制品和方法 - Google Patents

用于薄片与载体的受控粘结的制品和方法 Download PDF

Info

Publication number
CN106132688B
CN106132688B CN201580015961.2A CN201580015961A CN106132688B CN 106132688 B CN106132688 B CN 106132688B CN 201580015961 A CN201580015961 A CN 201580015961A CN 106132688 B CN106132688 B CN 106132688B
Authority
CN
China
Prior art keywords
carrier
bonding
glass
modification layer
sheet
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201580015961.2A
Other languages
English (en)
Other versions
CN106132688A (zh
Inventor
K·阿迪比
R·A·贝尔曼
D·C·布克班德
T·常
S·刘
R·G·曼利
P·马宗达
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Corning Inc
Original Assignee
Corning Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Corning Inc filed Critical Corning Inc
Publication of CN106132688A publication Critical patent/CN106132688A/zh
Application granted granted Critical
Publication of CN106132688B publication Critical patent/CN106132688B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B7/00Layered products characterised by the relation between layers; Layered products characterised by the relative orientation of features between layers, or by the relative values of a measurable parameter between layers, i.e. products comprising layers having different physical, chemical or physicochemical properties; Layered products characterised by the interconnection of layers
    • B32B7/04Interconnection of layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B7/00Layered products characterised by the relation between layers; Layered products characterised by the relative orientation of features between layers, or by the relative values of a measurable parameter between layers, i.e. products comprising layers having different physical, chemical or physicochemical properties; Layered products characterised by the interconnection of layers
    • B32B7/04Interconnection of layers
    • B32B7/06Interconnection of layers permitting easy separation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B37/00Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding
    • B32B37/14Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding characterised by the properties of the layers
    • B32B37/26Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding characterised by the properties of the layers with at least one layer which influences the bonding during the lamination process, e.g. release layers or pressure equalising layers
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/22Surface treatment of glass, not in the form of fibres or filaments, by coating with other inorganic material
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C23/00Other surface treatment of glass not in the form of fibres or filaments
    • C03C23/0005Other surface treatment of glass not in the form of fibres or filaments by irradiation
    • C03C23/006Other surface treatment of glass not in the form of fibres or filaments by irradiation by plasma or corona discharge
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C27/00Joining pieces of glass to pieces of other inorganic material; Joining glass to glass other than by fusing
    • C03C27/06Joining glass to glass by processes other than fusing
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C27/00Joining pieces of glass to pieces of other inorganic material; Joining glass to glass other than by fusing
    • C03C27/06Joining glass to glass by processes other than fusing
    • C03C27/10Joining glass to glass by processes other than fusing with the aid of adhesive specially adapted for that purpose
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09JADHESIVES; NON-MECHANICAL ASPECTS OF ADHESIVE PROCESSES IN GENERAL; ADHESIVE PROCESSES NOT PROVIDED FOR ELSEWHERE; USE OF MATERIALS AS ADHESIVES
    • C09J5/00Adhesive processes in general; Adhesive processes not provided for elsewhere, e.g. relating to primers
    • C09J5/02Adhesive processes in general; Adhesive processes not provided for elsewhere, e.g. relating to primers involving pretreatment of the surfaces to be joined
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2315/00Other materials containing non-metallic inorganic compounds not provided for in groups B32B2311/00 - B32B2313/04
    • B32B2315/08Glass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2457/00Electrical equipment
    • B32B2457/20Displays, e.g. liquid crystal displays, plasma displays
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2457/00Electrical equipment
    • B32B2457/20Displays, e.g. liquid crystal displays, plasma displays
    • B32B2457/206Organic displays, e.g. OLED
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2217/00Coatings on glass
    • C03C2217/20Materials for coating a single layer on glass
    • C03C2217/28Other inorganic materials
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2217/00Coatings on glass
    • C03C2217/70Properties of coatings
    • C03C2217/77Coatings having a rough surface
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/10Deposition methods
    • C03C2218/15Deposition methods from the vapour phase
    • C03C2218/152Deposition methods from the vapour phase by cvd
    • C03C2218/153Deposition methods from the vapour phase by cvd by plasma-enhanced cvd

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Materials Engineering (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Laminated Bodies (AREA)
  • Joining Of Glass To Other Materials (AREA)
  • Electroluminescent Light Sources (AREA)
  • Lining Or Joining Of Plastics Or The Like (AREA)
  • Surface Treatment Of Glass (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

通过如下方式使得具有薄片粘结表面的薄片与具有载体粘结表面的载体可控粘结的方法:在薄片粘结表面和载体粘结表面的至少一个上沉积碳质表面改性层,使得表面改性层结合极性基团,以及然后经由表面改性层使得薄片粘结表面与载体粘结表面粘结。表面改性层可以包括具有第一极性基团浓度的本体碳质层以及具有第二极性基团浓度的表面层,其中,第二极性基团浓度高于第一极性基团浓度。可以通过等离子体聚合技术来进行表面改性层的沉积及其处理。

Description

用于薄片与载体的受控粘结的制品和方法
背景技术
本申请根据35U.S.C.§119,要求2014年1月27日提交的美国临时申请系列第61/931927号的优先权,本文以该申请为基础并将其全文通过引用结合于此。
技术领域
本发明涉及用于在载体上加工挠性片的方法和制品,更具体地,涉及用于在玻璃载体上加工挠性玻璃片的方法和制品。
技术背景
挠性基材提供采用卷-卷加工的更廉价装置的可能性,以及制造更薄、更轻、更为灵活和耐用的显示器的潜力。但是,尚未完全建立高质量显示器的卷-卷加工所需的技术、设备和工艺。由于面板制造商已经大量投入用于加工大型玻璃片的成套工具,将挠性基材层叠到载体并通过片-片加工来制造显示器装置提供了开发更薄、更轻和更为灵活的显示器的有价值计划的较短期解决方案。已经验证了在聚合物片(例如聚萘二甲酸乙二醇酯(PEN))上的显示器,其中装置制造是PEN层叠到玻璃载体的片-片形式。PEN的温度上限限制了装置质量和可以使用的工艺。此外,聚合物基材的高可透过性导致OLED装置的环境降解,需要近乎密封的封装。薄膜封装提供了克服该限制的可能性,但是尚未证实其在大体积也能提供可接受的产率。
以类似的方式,可以采用层叠到一块或多块薄的玻璃基材的玻璃载体来制造显示器装置。预期薄玻璃的低可透过性、改善的温度和化学抗性能够实现更高性能、更长寿命的挠性显示器。
但是,热、真空、溶剂和酸以及超声,平板显示器(FPD)加工要求薄玻璃与载体的牢固结合。FPD加工通常涉及:真空沉积(喷溅金属、透明导体氧化物和氧化物半导体,无定形硅、氮化硅和二氧化硅的化学气相沉积(CVD),以及金属和绝缘体的干蚀刻),热加工(包括约为300-400℃的CVD沉积,高至600℃的p-Si结晶,350-450℃的氧化物半导体退火,高至650℃的掺杂剂退火,以及约为200-350℃的接触退火),酸蚀刻(金属蚀刻、氧化物半导体蚀刻)、溶剂暴露(汽提光致抗蚀剂,聚合物包封的沉积),以及超声暴露(在光致抗蚀剂的溶剂汽提中和水性清洁中,通常是碱性溶液中)。
粘合剂晶片粘结被广泛地用于微机械体系(MEMS)和半导体加工,用于加工较不苛刻的后端步骤。布鲁尔科学与汉高公司(Brewer Science and Henkel)的商用粘合剂通常是厚的聚合物粘合剂层,厚5-200微米。这些层的大厚度产生了大量挥发性物质、俘获的溶剂和吸附物质污染FPD加工的可能性。这些材料在高于约250℃发生热分解和脱气。通过作为气体、溶剂和酸的接收器,材料还可能引起下游步骤的污染,其会在后续工艺中脱气。
2012年2月8日提交的题为“Processing Flexible Glass with a Carrier(用载体加工挠性玻璃)”的美国临时申请系列第61/596,727号(下文称作US‘727)解释了这样的概念,其涉及初始通过范德华力将薄片(例如挠性玻璃片)与载体粘结,然后在某些区域增加粘结强度同时保留在薄片/载体的加工之后取出部分薄片以在其上形成装置(例如,电子或显示器装置、电子或显示器装置的组件、有机发光装置(OLED)材料、光伏(PV)结构或者薄膜晶体管)的能力。至少一部分的薄玻璃与载体粘结,从而防止了装置加工流体进入薄片和载体之间,由此降低了污染下游工艺的可能性,也就是说,薄片和载体之间的粘结密封部分是密封的,并且在一些优选实施方式中,该密封包围了制品的外部,从而防止液体或气体闯入或离开密封制品的任意区域。
US‘727还揭示了在低温多晶硅(LTPS)(低温是相比于可高至约750℃的固相结晶工艺)装置制造工艺中,可以使用接近大于或等于600℃的温度,真空和湿蚀刻环境。这些条件限制了可使用的材料,并且对于载体/薄片提供了高要求。因此,需要这样的载体方法,其采用制造商现有的投资设备,实现了薄玻璃(厚度≤0.3mm的玻璃)的加工,而不发生污染或者有损较高加工温度下薄玻璃与载体之间的粘结强度,并且在加工的最后,薄玻璃易于从载体进行去粘结。
US‘727所揭示的方法的商业优势之一在于,如US‘727所示,制造商将能够使用它们现有的对加工设备的资本投入,同时获得用于例如PV、OLED、LCD和图案化薄膜晶体管(TFT)电子件的薄玻璃片的益处。此外,该方法实现了加工灵活性,包括:薄玻璃片和载体的清洁和表面准备以促进粘结;薄片和载体之间的粘结区域处的粘结强化;维持非粘结(或粘结降低/低强度粘结)区域的薄片与载体的可脱离性;以及切割薄片以促进从载体的释放。
在玻璃-玻璃粘结工艺中,对玻璃表面进行清洁以去除所有的金属、有机物和颗粒残留物,并留下主要是硅烷醇封端的表面。首先使得玻璃表面发生亲密接触,其中范德华和/或氢键作用力将它们推到一起。采用加热和任选的压力,表面硅烷醇基团缩合形成跨过界面的强共价Si-O-Si键合,永久地熔合玻璃片。金属、有机物和颗粒残留物会通过遮蔽表面,阻碍粘结所需的亲密接触,来防止粘结。还需要高硅烷醇表面浓度以形成强键合,因为每单元面积的键合数量取决于相对表面上两个硅烷醇物质反应以使得水冷凝的概率。Zhuravlel已经报道了良好水合二氧化硅的每nm2的平均羟基数量为4.6-4.9。Zhuravlel,L.T.,“The Surface Chemistry of Amorphous Silika,Zhuravlev Model(无定形二氧化硅的表面化学,Zhuravlev模型)”,Colloids and Surfaces A:PhysiochemicalEngineering Aspects(胶体和表面A:物理化学工程方面),173(2000)1-38。在US‘727中,在粘结周界内形成非粘结区域,所述的形成此类非粘结区域的主要方式是增加表面粗糙度。大于2nm Ra的平均表面粗糙度可以防止在粘结过程的温度升高的过程中,玻璃与玻璃粘结的形成。在相同发明人于2012年12月13日提交的题为“Facilitated Processing forControlling Bonding Between Sheet and Carrier(控制片材和载体之间的粘结的制造工艺)”的美国临时专利申请系列第61/736,880号(下文称作US‘880)中,通过控制载体和薄玻璃片之间的范德华和/或氢键合,来形成受控的粘结区域,但是仍然使用共价粘合区域。因此,虽然US‘727和US‘880中的用于加工薄片和载体的制品和方法能够耐受FPD加工的苛刻环境,但是其对于某些应用是不合乎希望的,其由于粘结区域中薄玻璃与玻璃载体作为共价形式的强共价粘合(例如,Si-O-Si,粘结的粘合力约为1000-2000mJ/m2,约为玻璃的断裂强度)阻碍了载体的再使用。无法使用撬开或剥离来分离薄玻璃与载体的共价键合部分,因而无法从载体去除完整的薄片。相反地,对其上具有器件的非粘结区域进行划线和提取,在载体上留下薄玻璃片的粘结周界。
发明内容
鉴于此,需要一种薄片-载体制品,其能够耐受FPD加工的严格条件,包括高温加工(不发生会与其将要用于的半导体或显示器制造工艺不相容的脱气),还实现从载体去除整个薄片面积(全部一次、或者分段),从而将载体再次用于加工另一薄片。本说明书描述了控制载体和薄片之间的粘附的方式,从而产生临时粘结,其足够强从而可以通过FPD加工(包括LTPS加工),但是足够弱从而可以实现片材与载体的去粘结,甚至在是高温加工之后。此类受控的粘结可用于产生具有可再利用的载体,或者具有载体和片材之间的受控粘结和共价粘结的图案化区域的制品。更具体地,本文提供了表面改性层(包括各种材料和相关的表面热处理),其可以提供在薄片和/或载体上,以同时控制薄片和载体之间的室温范德华和/或氢键合以及高温共价粘合。甚至更具体地,可以控制室温粘结,从而足以在真空加工、湿加工和/或超声清洁加工期间,将薄片和载体保持在一起。与此同时,可以控制高温共价键合,从而防止在高温加工过程中薄片和载体之间的永久粘结,并且维持足够的粘结以防止高温加工过程期间的脱层。在替代实施方式中,表面改性层可用于产生各种受控粘结区域(其中,载体和片材在各个加工过程中(包括真空加工、湿加工和/或超声清洁加工)保持足够的粘结)以及共价键合区域,以提供进一步加工选项,例如维持载体和片材之间的密封性,甚至是在将制品切割成较小片用于额外器件加工之后。此外,一些表面改性层提供了载体和片材之间的粘结控制,同时降低了FPD(例如LTPS)加工环境中的苛刻条件(包括例如高温和/或真空加工)下的脱气排放。此外,在替代实施方式中,可以在具有玻璃粘结表面的载体上使用一些表面改性层,使得与具有聚合物粘结表面的薄片可控粘结。聚合物粘结表面可以是聚合物薄片的部分,其上形成了电子件或者其他结构,或者,聚合物粘结表面可以是复合片材的部分,所述复合片材包括玻璃层,其上形成了电子件或者其他结构。
在以下的详细描述中给出了本发明的附加特征和优点,其中的部分特征和优点对本领域的技术人员而言由所述内容而容易理解,或按文字描述和附图实施各个方面而被认识。应理解,前面的一般性描述和以下的详细描述都只是各个方面的示例,用来提供理解要求保护的本发明的性质和特性的总体评述或框架。
所含附图用于进一步理解本发明的原理,附图被结合在本说明书中并构成说明书的一部分。附图图示说明了本发明的一个或多个实施方式,并与说明书一起用来说明例如本发明的原理和操作。应理解,在本说明书和附图中揭示的各种特征可以以任意和所有的组合使用。作为非限制性的例子,可以按所附权利要求书中所述,将各种特征相互组合。
附图说明
图1是制品的侧视示意图,所述制品具有与薄片粘结的载体,它们之间具有表面改性层。
图2是图1的制品的分解和部分剖视图。
图3是二氧化硅上的表面羟基浓度与温度的关系图。
图4是SC1清洁的玻璃片的表面能与退火温度的关系图。
图5是沉积到玻璃片上的含氟聚合物薄膜的表面能与制造该膜的组分材料中的一种的百分比的关系图。
图6是通过粘结区域与载体粘结的薄片的俯视示意图。
图7是玻璃片堆叠的侧视示意图。
图8是图7的堆叠的一个实施方式的分解图。
图9是测试设定的示意图。
图10是(图9的测试设定的不同部分的)表面能与不同条件下各种材料的时间的总图。
图11是对于各种材料,%气泡区域变化与温度的关系图。
图12是对于各种材料,%气泡区域变化与温度的另一关系图。
图13是沉积到玻璃片上的含氟聚合物膜的表面能与沉积过程中使用的一种气体的百分比的关系图。
图13A是沉积到玻璃片上的含氟聚合物膜的表面能与沉积过程中使用的一种气体的百分比的关系图。
图14是对于表面改性层的表面能与沉积时间关系图。
图15是以对数-对数规格的表面改性层的厚度与沉积时间关系图。
图16是对于不同表面改性层的表面能与处理温度的关系图。
图17是表面改性层表面覆盖图。
图18是在粘结到玻璃载体上的200微米PEN膜上制造的有机晶体管的性能总结。
图19是具有***物的薄片和载体的俯视图。
图20是图19中的薄片和载体沿线20-20的横截面图。
图21是类似于图20的横截面图,但是在薄片上布置有额外的器件。
具体实施方式
在以下的详述中,为了说明而非限制,给出了说明具体细节的示例性实施方式,以提供对本发明的各个原理的充分理解。但是,对于本领域普通技术人员显而易见的是,在从本说明书获益后,可以以不同于本文详述的其它实施方式实施本发明。此外,可能省略了对于众所周知的器件、方法和材料的描述,以免混淆本发明的各个原理的描述。最后,在任何适用的情况下,相同的附图标记表示相同的元件。
本文中,范围可以表示为从“约”一个具体值和/或到“约”另一个具体值的范围。当表示这样一个范围的时候,另一个实施方式包括从一个特定值和/或到另一个特定值。类似地,当使用前缀“约”表示数值为近似值时,应理解,具体数值形成另一个实施方式。还应理解的是,每个范围的端点值在与另一个端点值有关和与另一个端点值无关时,都是有意义的。
本文所用的方向术语,例如上、下、左、右、前、后、顶、底,仅仅是参照绘制的附图而言,并不用来表示绝对的取向。
如本文中所用,单数形式的“一个”、“一种”和“该”包括复数指代形式,除非文中另有明确说明。因此,例如,提到的“一种组件”包括具有两种或更多种这类组件的方面,除非文本中有另外的明确表示。
在US‘727和US‘880这两者中,都提供了能够在载体上对薄玻璃片进行加工的解决方案,其中,至少部分的薄玻璃片保持“未粘结”,从而可以从载体去除在薄玻璃片上加工的器件。但是,通过形成共价Si-O-Si粘结,薄玻璃的周界与载体玻璃是永久(或共价或密封)粘结的。该共价粘结的周界阻碍了载体的再使用,因为无法在不损坏薄玻璃和载体的情况下,去除该永久粘结区中的薄玻璃。
为了维持有利的表面形状特性,载体通常是显示器等级玻璃基材。因此,在一些情况下,在一次使用之后就扔掉载体是浪费和昂贵的。因而,为了降低显示器制造成本,希望能够对载体再次用于加工,而不是一块薄片基材。本文提供了制品和方法,其实现了使得薄片通过FPD加工线的苛刻环境进行加工,包括高温加工(其中,高温加工在≥400℃的温度进行加工,并且可以取决于加工的装置类型发生变化,例如在无定形硅或无定形氧化铟镓锌(IGZO)背板加工中温度高至约450℃,在晶体IGZO加工中高至约500-550℃,或者在典型LTPS加工过程中高至约600-650℃),并且仍然能够在不损坏薄片或载体(例如,载体和薄片之一破裂或碎裂成两片或更多片)的情况下,容易地从载体去除薄片,从而可以再次使用载体。
如图1和2所示,制品2具有厚度8,并且其包括具有厚度18的载体10,具有厚度28的薄片20(即,厚度≤300微米,包括但不限于如下厚度,例如10-50微米、50-100微米、100-150微米、150-300微米、300、250、200、190、180、170、160、150、140、130、120、110、100、90、80、70、60、50、40、30、20或者10微米),以及具有厚度38的表面改性层30。制品2设计成虽然薄片20自身≤300微米,但是允许在设计用于更厚的片材(即,约为≥.4mm,例如.4mm、.5mm、.6mm、.7mm、.8mm、.9mm或者1.0mm)的装置中加工薄片20。也就是说,(作为厚度18、28和38的总和的)厚度8设计成相当于更厚片材的厚度,对于所述更厚片材,其设计成用例如设计成基材片上布置电子器件组件的设备的片设备进行加工。例如,如果加工设备设计成用于700微米片材,并且薄片的厚度28为300微米,则选择厚度18为400微米,假定厚度38是可忽略不计的。也就是说,表面改性层30没有按比例显示;相反地,仅仅是出于示意性目的,其被极大地放大了。此外,在剖视图中显示表面改性层。实际上,当提供可再利用的载体时,表面改性层会被均匀地布置在粘结表面14上。通常,厚度38会是纳米级的,例如0.1-2.0或高至10nm,以及在一些情况下可高至100nm。可以通过偏振光椭圆率测量仪测量厚度38。此外,可以通过表面化学分析,例如通过西姆斯飞行时间质谱(ToF Sims mass spectrometry),来检测表面改性层的存在。因此,厚度38对于制品厚度8的贡献是可以忽略的,并且可以在计算确定用于加工具有厚度28的给定薄片20的载体10的合适厚度18时忽略掉。但是,对于表面改性层30具有任意明显厚度38的程度的情况下,可以考虑其来确定对于薄片20的给定厚度28的载体10的厚度18,以及用于设计加工设备的给定厚度。
载体10具有第一表面12、粘结表面14、周界16和厚度18。此外,载体10可以是任意合适材料,包括例如玻璃。载体不一定是玻璃,作为替代,可以是陶瓷、玻璃-陶瓷或者金属(因为可以以下文关于玻璃载体所述的类似方式来控制表面能和/或粘结)。如果是由玻璃制造的话,则载体10可以是任意合适的组成,包括铝硅酸盐、硼硅酸盐、铝硼硅酸盐、钠钙硅酸盐,并且取决于其最终用途,可以是含碱或者不含碱的。厚度18可以约为0.2-3mm或更大,例如0.2、0.3、0.4、0.5、0.6、0.65、0.7、1.0、2.0或3mm,或者更大,并且会取决于厚度28和厚度38(当如上文所述其是不可忽略的情况)。此外,可以由单层制造载体10(如所示),或者由粘结在一起的多层(包括相同或不同材料的多片薄片)制造。此外,载体可以是Gen 1尺寸或更大,例如Gen 2、Gen 3、Gen 4、Gen 5、Gen 8或更大(例如片尺寸为100mm x 100mm至3m x3m,或更大)。
薄片20具有第一表面22、粘结表面24、周界26和厚度28。周界16和26可以是任意合适形状,可以是相互相同或者可以是相互不同的。此外,薄片20可以是任意合适的材料,包括例如玻璃、陶瓷或者玻璃-陶瓷。在一些情况下,薄片20可以是聚合物或者复合片(其具有聚合物和/或玻璃粘结表面)。当由玻璃制造时,则薄片20可以是任意合适的组成,包括铝硅酸盐、硼硅酸盐、铝硼硅酸盐、钠钙硅酸盐,并且取决于其最终用途,可以是含碱或者不含碱的。薄片的热膨胀系数可以与载体的热膨胀系数较为紧密匹配,以防止在提升温度下的加工过程中制品的翘曲。当在较低温度加工制品2时,在该情况下,不考虑CTE匹配,则聚合物薄片可以与玻璃载体一起使用。当然,可能存在其他聚合物片材可以与玻璃载体一起使用的情况。薄片20的厚度28小于或等于300微米,如上文所述。此外,薄片可以是Gen 1尺寸或更大,例如Gen 2、Gen 3、Gen 4、Gen 5、Gen 8或更大(例如片尺寸为100mm x 100mm至3m x3m,或更大)。
制品2不仅需要具有在现有设备中进行加工的正确厚度,有时其还会需要能够经受住进行加工所处的苛刻环境。例如,平板显示器(FPD)加工可包括湿超声、真空和以及一些情况下的高温(例如,≥400℃)加工。如上文所述,对于一些加工,温度可能≥500℃,或者≥600℃,以及高至650℃。
为了能够经受住制品2将要进行加工的苛刻环境,例如在FPD制造过程中的那样,粘结表面14应该与粘结表面24粘结,该粘结具有足够的强度从而使得薄片20不与载体10发生分离。并且该强度应该维持通过加工,使得在加工过程中,薄片20不与载体10发生分离。此外,为了能够从载体10去除薄片20(从而可以重新使用载体10),粘结表面14与粘结表面24的粘结不应该过于牢固,其方式如下:通过初始设计的粘结作用力,和/或通过由于例如当制品经受高温(例如≥400℃的温度)下的加工时可能发生的由于初始设计的粘结作用力发生改性而产生的粘结作用力。表面改性层30可用于控制粘结表面14和粘结表面24之间的粘结强度,从而同时实现这些目的。通过如下方式实现受控的粘结作用力:控制范德华(和/或氢键)键合以及共价吸引能对于总粘附能的贡献,其是通过调节薄片20和载体10的极性和非极性表面能分量得以控制。该受控的粘结足够强,能够经受住FPD加工(包括湿加工、超声加工、真空加工和热加工(包括温度≥400℃,在一些情况下,加工温度≥500℃或≥600℃以及高至650℃)),并且通过施加足够的分离作用力以及不会引起薄片20和/或载体10的灾难性的损坏的作用力仍是可去粘结的。此类去粘结实现了去除薄片20以及其上制造的器件,并且还实现了载体10的再次使用。
虽然表面改性层30显示为薄片20和载体10之间的固体层,但是不一定是这种情况。例如,层30可以约为0.1-2nm厚,并且可能没有完全覆盖粘结表面14的每一处。例如,覆盖率可以≤100%,1-100%,10-100%,20-90%,或者50-90%。在其他实施方式中,层30可以高至10nm厚,或者在其他实施方式中,甚至高至100nm厚。表面改性层30可以被认为是布置在载体10和薄片20之间,即使它可能不与载体10和薄片20中的一个或另一个发生接触。在任意情况下,表面改性层30的一个重要方面在于,其改变了粘结表面14与粘结表面24发生粘结的能力,从而控制了载体10和薄片20之间的粘结强度。表面改性层30的材料和厚度以及粘结表面14、24在粘结之前的处理,可以被用于控制载体10和薄片20之间的粘结强度(粘合能)。
通常来说,根据“A theory for the estimation of surface and interfacialenergies.I.derivation and application to interfacial tension(表面和界面能的评估理论I,界面张力的推导和应用)”,L.A.Girifalco和R.J.Good,J.Phys.Chem.,第61期,第904页,两个表面之间的粘合能如下:
W=γ1212 (1)
其中γ1、γ2和γ12分别是表面1、表面2的表面能以及表面1和2的界面能。单个表面能通常是如下两者的组合:色散分量γd和极性分量γp
γ=γdp+ (2)
当粘合主要是由于伦敦色散力(γd)和极性作用力(例如氢键,γp)时,可以通过上文所述的Girifalco和R.J.Good,以如下方式给出界面能:
Figure BDA0001119549430000101
在将(3)代入(1)之后,粘合能可以近似计算如下:
Figure BDA0001119549430000102
在上式(4)中,仅仅考虑了粘合能的范德华(和/或氢键)键合分量。它们包括极性-极性相互作用(基桑力(Keesom))、极性-非极性相互作用(德拜力(Debye))以及非极性-非极性相互作用(伦敦力(London))。但是,也可能存在其他引力能,例如共价键和静电结合。因此,作为更一般化形式,上式写作:
Figure BDA0001119549430000103
其中wc和we是共价粘合能和静电粘合能。共价粘合能是相当普遍的,在硅晶片粘合中,晶片的初始氢键对被加热到较高温度,将许多或全部的硅烷醇-硅烷醇氢键转化成Si-O-Si共价键。虽然初始、室温氢键产生约为100-200mJ/m2的粘合能(其允许粘结表面的分离),在高温(约为400-800℃)加工过程中实现的完全共价键合晶片对具有约为1000-3000mJ/m2的粘合能(其不允许粘结表面的分离);相反地,两块晶片是作为整体的。另一方面,如果两个表面都完美涂覆有低表面能材料(例如含氟聚合物),厚度足够大从而屏蔽下方基材的影响,则粘合能会是涂覆材料的粘合能,并且会是非常低的,导致粘结表面14、24之间的低粘附或者没有粘附,从而无法在载体10上加工薄片20。考虑两种极端情况:(a)两个标准清洁1(本领域已知为SC1)清洁的、充满硅烷醇基团的玻璃表面在室温下通过氢键合结合在一起(粘合能约为100-200mJ/m2),之后通过加热至高温,其将硅烷醇转化为共价Si-O-Si键(粘合能变成1000-3000mJ/m2)。后者的这种粘合能对于待分离的玻璃表面对过高;以及(b)完美地涂覆具有低表面粘合能(约为12mJ/m2每个表面)的含氟聚合物的两个玻璃表面在室温粘结,并加热至高温。在后者(b)的情况下,不仅表面没有粘结(因为当表面放置在一起的时候,约为24mJ/m2的总粘合能过低),它们在高温下也没有粘结,因为不存在或者存在过少的极性反应基团。在这两种极端情况之间,存在例如50-1000mJ/m2的粘合能范围,其可以产生所需程度的受控粘结。因此,本发明的发明人发现了提供产生处于这两种极端情况之间的粘合能的可调节的表面改性层30的各种方式,从而可以产生受控粘结,其足以维持相互粘结的玻璃基材对(例如,玻璃载体10和薄玻璃片20)通过FPD加工的苛刻条件,但是其程度(即使是在例如≥400℃的高温加工之后)也能够允许在完成加工之后分离薄片20与载体10。此外,可以通过机械作用力,以至少不使得薄片20发生灾难性损坏(优选还使得载体10也不发生灾难性损坏)的方式,进行从载体10分离薄片20。
等式(5)描述了粘合能是四个表面能参数加上共价能和静电能(如果存在的话)的函数。
可以通过表面改性剂(即,表面改性层30和/或粘结之前的表面热处理)的明智选择来实现合适的粘合能。可以通过如下方式获得合适的粘合能:选择粘结表面14和/或粘结表面24的化学改性剂,其进而同时控制范德华(和/或氢键,这些术语在本说明书全文可互换使用)粘合能以及由于高温加工(例如,约为≥400℃)产生的可能的共价键合粘合能。例如,选取SC1清洁过的玻璃的粘结表面(其初始充满具有表面能的高极性分量的硅烷醇基团)并对其涂覆低能含氟聚合物,通过极性和非极性基团,控制了表面的部分覆盖。这不仅提供了对于室温下的初始范德华(和/或氢键)键合的控制,还提供了较高温下的共价键合的程度/度的控制。进行室温下的初始范德华(和/或氢键)键合的控制,从而提供一个表面与另一个表面的粘结,以实现真空和或旋转-冲洗-干燥(SRD)型加工,在一些情况下,还提供了一个表面与另一个表面的易于形成的结合,其中,所述易于形成的结合可以在室温下进行,而不用像用刮板或者用减压环境来压制薄片20至载体10那样,在薄片20的整个面积上施加外部施加的作用力。也就是说,初始范德华键合至少提供了将薄片和载体保持在一起的最小的粘结程度,从而使得如果当举着其中一个而另一个经受重力时,他们不会分离。在大多数情况下,初始范德华(和/或氢键)键合的程度会是如下:制品还可通过真空、SRD和超声加工,而不使得薄片与载体分离。通过表面改性层30(包括其制造材料和/或向其施加的表面的表面处理)和/或通过粘结表面在它们粘结在一起之前的热处理,将范德华(和/或氢键)键合以及共价相互作用都保持在合适水平的这种精密控制,实现了所需的粘合能,其允许薄片20与载体10在整个FPD型加工中是粘结的,与此同时,在FPD型加工之后,(通过避免损坏薄片20和/或载体的合适的作用力)实现薄片20与载体10的分离。此外,在合适的情况下,可以向一个或两个玻璃表面施加静电荷,以提供另一水平的粘合能的控制。
FPD加工(例如p-Si和氧化物TFT制造)通常涉及高于400℃、高于500℃以及一些情况下大于或等于600℃、高至650℃的温度下的热加工,这会导致薄玻璃片20与玻璃载体10在不存在表面改性层30的情况下发生玻璃与玻璃的粘结。因此,控制Si-O-Si键合的形成产生了可再次使用的载体。控制Si-O-Si键合在提升的温度下的形成的一种方法是降低待结合的表面上的表面羟基的浓度。
如图3所示,其是二氧化硅上的表面羟基浓度与温度关系的厄尔曲线(R.K.Iller,“二氧化硅化学”,威利国际科学,纽约,1979(Wiley-Interscience,New York,1979)),每平米纳米上羟基(OH基团)的数量随着表面温度的增加而减少。因此,加热二氧化硅表面(类似于玻璃表面,例如粘结表面14和/或粘结表面24)降低了表面羟基的浓度,降低了两个玻璃表面上的羟基会发生相互作用的可能性。这种表面羟基浓度的下降进而降低了每单位面积形成的Si-O-Si键,降低了粘合力。但是,消除表面羟基需要高温下(高于750℃以完全消除表面羟基)的长退火时间。如此长的退火时间和高的退火温度导致昂贵工艺,并且其是不现实的,因为这可能高于通常显示器玻璃的应变点。
通过如上分析,本发明的发明人发现可以通过平衡以下三个概念,来制造适用于FPD加工(包括LTPS加工)的包含薄片和载体的制品:
(1)通过控制初始室温粘结来改性载体和/或薄片粘结表面,其可以通过控制范德华(和/或氢键)键合来完成,从而产生中等粘合能(例如,在表面粘结之前每个表面>40mJ/m2的表面能)以促进初始室温粘结,并且足以经受住非高温FPD加工,例如真空加工、SRD加工和/或超声加工;
(2)以如下方式进行载体和/或薄片的表面改性:其对于经受FPD加工是热稳定的,不发生会导致分层和/或装置制造中不可接受的污染(例如,对于可能使用制品的半导体和/或显示器制造工艺是不可接受的污染物)的脱气;以及
(3)可以通过控制载体表面羟基浓度、以及在提升温度下(例如≥400℃的温度)下能够形成强共价键的其他物质的浓度,来控制高温粘结,从而可以将载体和薄片的粘结表面之间的粘结能控制成使得即使是在高温加工(特别是通过500-650℃的热加工,如FPD加工)之后,载体和薄片之间的粘合力保持在允许以至少不会损坏薄片(优选不会损坏薄片或载体)的分离作用力,将薄片与载体去粘结,并且仍足以维持载体和薄片之间的粘结使得它们在加工过程中不发生分层。
此外,本发明的发明人发现,使用表面改性层30,连同合适的粘结表面准备,可以平衡上述概念,从而容易地实现受控的粘结区域,即这样的粘结区域,其提供薄片20和载体10之间充分的室温粘结,以允许在FPD型加工(包括真空加工和湿加工)中加工制品2,并且还控制了薄片20和载体10(甚至是在≥400℃的升高的温度下)之间的共价键合,从而允许在制品2完成了高温加工(例如FPD型加工或LTPS加工)之后,从载体10去除薄片20(至少不会损坏薄片,优选也不会损坏载体)。使用一系列测试来评估可能的粘结表面准备和表面改性层(其会提供适用于FPD工艺的可再次使用的载体)。不同的FPD应用具有不同的要求,但是LTPS和氧化物TFT加工看上去是目前为止最为严格的,因此,选择这些工艺中代表性步骤的测试,因为它们对于制品2是所希望的应用。真空加工、湿清洁(包括SRD和超声类型加工)以及湿蚀刻对于许多FPD应用是常见的。通常,aSi TFT制造要求高至320℃的加工。在氧化物TFT工艺中,使用400℃的退火,而在LTPS加工中,使用超过600℃的结晶和掺杂剂活化步骤。因此,使用如下5种测试来评估特定的粘结表面准备和表面改性层30会允许薄片20与载体10在整个FPD加工中保持粘结,同时在此类加工(包括≥400℃的温度下的加工)之后,允许从载体10去除薄片20(而不损坏薄片20和/或载体10)的可能性。依次进行测试,将样品从一个测试前进到下一个测试,除非存在会不允许后续测试的失效类型。
(1)真空测试。在(购自英国纽波特的SPTS公司(SPTS,Newport,UK))的STS多路PECVD负载闭锁装置(STS Multiplex PECVD loadlock)中,进行真空相容性测试,通过软泵阀,用Ebara A10S干泵(购自加利福尼亚州萨克拉门托市荏原技术有限公司(EbaraTechnologies Inc.,Sacramento,CA))对负载闭锁装置进行泵送。将样品放在负载闭锁装置中,然后在45秒内,将负载闭锁装置从大气压泵送下降到70毫托。失效,在下表的“真空”列中表示为符号“F”,如果符合以下情况的话则视作失效:(a)载体和薄片之间的粘合发生损耗(通过裸眼视觉观察,如果薄片离开载体或者薄片与载体部分脱粘结,则视为发生失效);(b)在载体和薄片之间存在气泡(通过裸眼视觉观察确定,在加工之前和之后对样品进行拍照,然后进行对比,如果对于裸眼看得到的尺度,缺陷的尺寸增加,则确定发生失效);或者(c)薄片相对于载体发生移动(通过裸眼视觉观察确定,在测试之前和之后进行拍照,如果存在粘结缺陷(例如气泡)的移动、或者如果边缘脱粘结或者存在薄片在载体上的移动,则视为发生失效)。在下表中,“真空”列中的符号“P”表示样品通过前述标准,没有失效。
(2)湿加工测试。采用(购自加利福尼亚州圣克拉拉市的应用材料公司(AppliedMaterials,Santa Clara,CA))的Semitool型SRD-470S,进行湿加工相容性测试。测试由如下构成:60秒的500rpm冲洗,以500rpm进行Q-清洗至15莫姆,500rpm的10秒吹扫,1800rpm的90秒干燥,以及暖流氮气下的2400rpm的180秒干燥。失效,在下表的“SRD”列中表示为符号“F”,如果符合以下情况的话则视作失效:(a)载体和薄片之间的粘合发生损耗(通过裸眼视觉观察,如果薄片离开载体或者薄片与载体部分脱粘结,则视为发生失效);(b)在载体和薄片之间存在气泡(通过裸眼视觉观察确定,在加工之前和之后对样品进行拍照,然后进行对比,如果对于裸眼看得到的尺度,缺陷的尺寸增加,则确定发生失效);或者(c)薄片相对于载体发生移动(通过裸眼视觉观察确定,在测试之前和之后对样品进行拍照,如果存在粘结缺陷(例如气泡)的移动、或者如果边缘脱粘结或者存在薄片在载体上的移动,则视为发生失效);或者(d)薄片下方的水渗透(50倍光学显微镜的视觉观察确定,如果可以观察到液体或残留,则确定发生失效)。在下表中,“SRD”列中的符号“P表示样品通过前述标准,没有失效。
(3)至400℃温度的测试。采用(购自加利福尼亚州圣克拉拉市的Alwin21公司(Alwin21,Santa Clara,CA))的Alwin21Accuthermo610RTP,进行400℃加工相容性测试。将粘结有薄片的载体在室内进行如下循环加热:以6.2℃/分钟从室温到400℃,在400℃保持600秒,以及以1℃/分钟冷却到300℃。然后将载体和薄片冷却至室温。失效,在下表的“400℃”列中表示为符号“F”,如果符合以下情况的话则视作失效:(a)载体和薄片之间的粘合发生损耗(通过裸眼视觉观察,如果薄片离开载体或者薄片与载体部分脱粘结,则视为发生失效);(b)在载体和薄片之间存在气泡(通过裸眼视觉观察确定,在加工之前和之后对样品进行拍照,然后进行对比,如果对于裸眼看得到的尺度,缺陷的尺寸增加,则确定发生失效);或者(c)载体和薄片之间粘合的增加,该粘合的增加阻碍了在不损坏薄片或载体的情况下使得薄片与载体发生去粘结(通过在薄片和载体之间***剃刀片,和/或将2-3”附着到100平方毫米薄玻璃的一片KaptonTM条(1”宽x 6”长)(购自纽约州湖沙克的圣戈班性能塑料公司(Saint Gobain Performance Plastic,Hoosik NY)的K102系列)粘到薄片并拉动条),如果在尝试分离薄片和载体的时候薄片或载体发生损坏,或者通过任一去粘结方法无法使得薄片和载体去粘结,则视为发生失效。此外,在薄片与载体粘结之后以及在热循环之前,在代表性样品上进行去粘结测试,以确定特定的材料(包括任意相关表面处理)确实允许薄片与载体在温度循环之前发生去粘结。在下表中,“400℃”列中的符号“P”表示样品通过前述标准,没有失效。
(4)至600℃温度的测试。采用Alwin21Accuthermo610RTP进行600℃加工相容性测试。将薄片和载体在室内进行如下循环加热:以9.5℃/分钟从室温到600℃,在600℃保持600秒,然后以1℃/分钟冷却到300℃。然后将载体和薄片冷却至室温。失效,在下表的“600℃”列中表示为符号“F”,如果符合以下情况的话则视作失效:(a)载体和薄片之间的粘合发生损耗(通过裸眼视觉观察,如果薄片离开载体或者薄片与载体部分脱粘结,则视为发生失效);(b)在载体和薄片之间存在气泡(通过裸眼视觉观察确定,在加工之前和之后对样品进行拍照,然后进行对比,如果对于裸眼看得到的尺度,缺陷的尺寸增加,则确定发生失效);或者(c)载体和薄片之间粘合的增加,该粘合的增加阻碍了在不损坏薄片或载体的情况下使得薄片与载体发生去粘结(通过在薄片和载体之间***剃刀片,和/或将如上所述的一片KaptonTM条粘到薄片并拉动条),如果在尝试分离薄片和载体的时候薄片或载体发生损坏,或者通过任一去粘结方法无法使得薄片和载体去粘结,则视为发生失效。此外,在薄片与载体粘结之后以及在热循环之前,在代表性样品上进行去粘结测试,以确定特定的材料(以及任意相关表面处理)确实允许薄片与载体在温度循环之前发生去粘结。在下表中,“600℃”列中的符号“P”表示样品通过前述标准,没有失效。
(5)超声测试。通过在四罐线中清洁制品来进行超声相容性测试,其中使得制品从罐#1至罐#4依次在每个罐中进行处理。4个罐每个的罐尺寸为18.4”L x 10”W x 15”D。两个清洁罐(#1和#2)含有50℃的DI水中的1%的半清洁KG(Semiclean KG)(购自日本横滨的横滨油脂工业有限公司(Yokohama Oils and Fats Industry Co.,Ltd.,Japan))。用NEYprosonik 2 104kHz超声产生器(购自纽约州詹姆斯敦黑石-NEY超声公司(Blackstone-NEYUltrasonics,Jamestown,NY))振动清洁罐#1,用NEY prosonik 2 104kHz超声产生器振动清洁罐#2。两个清洗罐(罐#3和罐#4)含有50℃的DI水。用NEY sweepsonik 2D 72kHz超声产生器振动清洗罐#3,用NEY sweepsonik 2D 104kHz超声产生器振动清洗罐#4。罐#1-4每个进行10分钟的过程,之后将样品从罐#4取出之后进行旋转清洁干燥(SRD)。失效,在下表的“超声”列中表示为符号“F”,如果符合以下情况的话则视作失效:(a)载体和薄片之间的粘合发生损耗(通过裸眼视觉观察,如果薄片离开载体或者薄片与载体部分脱粘结,则视为发生失效);(b)在载体和薄片之间存在气泡(通过裸眼视觉观察确定,在加工之前和之后对样品进行拍照,然后进行对比,如果对于裸眼看得到的尺度,缺陷的尺寸增加,则确定发生失效);或者(c)形成其他粗缺陷(50倍光学显微镜的视觉观察确定,如果在薄玻璃和载体之间俘获了之前未观察到的颗粒,则视为发生失效);或者(d)薄片下方的水渗透(50倍光学显微镜的视觉观察确定,如果可以观察到液体或残留,则确定发生失效)。在下表中,“超声”列中的符号“P”表示样品通过前述标准,没有失效。此外,在下表中,“超声”列中的空白表示没有对样品以这种方式进行测试。
粘结能测试
粘结能是使得薄片与载体发生分离的能量。可以以各种方式测量粘结能。但是,如本文所用,通过如下方式测量粘结能。
采用双悬臂梁方法(也称作楔法)来测量粘结能。在该方法中,将已知厚度的楔放在粘结的薄片和载体玻璃之间,位于边缘处。楔产生特性分层距离,L。测量该分层距离并采用等式6来计算粘结能γBE
Figure BDA0001119549430000161
对于载体(1)和EXG组成的薄片(2)的杨氏模量,E,都是73.6GPa。载体的典型厚度,ts1,为0.7mm,以及薄片的厚度,ts2,为0.13mm。使用Martor 37010.20剃刀片作为楔,构成厚度tw为95um。用分离楔(separate wedge)对具有非常高的粘结能的样品进行预先开裂。这能够较容易地***楔并产生特性分层长度。对于记录的粘结能数据,2500数值表明测试限条件,并且对于该特定样品,无法使得薄片与载体脱粘结。
通过加热经由羟基减少来准备粘结表面
通过对具有玻璃载体10和薄玻璃片20但是其间没有表面改性层30的制品2进行加工,来证实用表面改性层30对粘结表面14、24的一个或多个进行改性从而使得制品2能够成功地经受FPD加工(即,在加工过程中,薄片20与载体10保持粘结,并且在包括高温加工的加工之后,还可以与载体10分离)的益处。具体来说,首先尝试通过加热来减少羟基基团,但是没有使用表面改性层30,来制备粘结表面14、24。清洁载体10和薄片20,将粘结表面14和24相互粘结,然后对制品2进行测试。用于制备进行粘结的玻璃的典型清洁过程是SC1清洁过程,其中,将玻璃在稀过氧化氢和碱(通常是氢氧化铵,但是也可使用氢氧化四甲基铵溶液,例如JT Baker JTB-100或JTB-111)中进行清洁。清洁从粘结表面去除了颗粒,使得表面能是已知的,即它提供了表面能的基线。清洁方式不一定是SC1,也可使用其他类型的清洁,如可能对于表面上的硅烷醇基团仅仅具有非常小影响的清洁类型。各个测试结果如下表1所示。
通过对薄玻璃片和玻璃载体进行简单清洁来产生牢固、但是可分离的初始室温或范德华和/或氢键,所述薄玻璃片为100平方毫米x 100微米厚,所述玻璃载体是150mm直径的单平均平坦(SMF)晶片,厚度为0.50或0.63mm,它们分别包括Eagle
Figure BDA0001119549430000171
显示器玻璃(购自纽约州康宁市康宁有限公司(Corning Incorporated,Corning,NY)的平均表面粗糙度Ra约为0.2nm的无碱铝硼硅酸盐玻璃)。在该例子中,玻璃在40:1:2的DI水:JTB-111:过氧化氢的65℃浴中清洁10分钟。薄玻璃或者玻璃载体可以在400℃的氮气中退火10分钟或者不在其中进行退火,以去除残留水,下表1的“载体”列或者“薄玻璃”列中的符号“400℃”表示样品在400℃的氮气中退火10分钟。FPD加工相容性测试证实,该SC1-SC1初始、室温粘结是机械牢固的,足以通过真空、SRD和超声测试。但是,在大于或等于400℃进行加热在薄玻璃和载体之间产生了永久粘结,即无法在不损伤薄玻璃片和/或载体的情况下从载体去除薄玻璃片。甚至对于实施例1c也是这种情况,其中载体和薄玻璃分别具有退火步骤来降低表面羟基浓度。因此,上文所述的仅通过加热来准备粘结表面14、24,然后使得载体10和薄片12在没有表面改性层30的情况下粘结,对于(温度会≥400℃的)FPD加工不是合适的受控粘结。
表1:SC1处理的玻璃粘结表面的加工相容性测试
实施例 载体 薄玻璃 真空 SRD 400C 600C 超声
1a SC1 SC1 P P F F P
1b SC1,400C SC1 P P F F P
1c SC1,400C SC1,400C P P F F P
通过减少羟基和表面改性层来准备粘结表面
可以将通过例如热处理进行的羟基减少和表面改性层30一起使用,来控制粘结表面14、24的相互作用。例如,可以控制粘结表面14、24的粘结能(同时有由于极性/色散能分量所导致的室温下的范德华和/或氢键合,以及由于共价能分量的高温下的共价键合),从而提供不同的粘结强度,从室温粘结(其难以实现简单的室温粘结并在高温加工之后分离粘结表面)到在高温加工之后防止表面在不发生损坏的情况下分离的情况。在一些应用中,可能希望不具有粘结或者具有非常弱的粘结(当表面处于“非粘结”区域时,“非粘结”区域参见US‘727的薄片/载体概念中所述,并且如下文所述)。在其他应用中,例如为FPD工艺提供可再次使用的载体等(其中,可能实现≥500℃或者≥600℃,以及高至650℃的加工温度),希望在室温下具有充分的范德华和/或氢键合,以初始地使得薄片和载体置于一起,并且还防止或限制高温共价键合。对于其他应用,可能希望具有充分的室温粘结,以初始地使得薄片和载体置于一起,并且还在高温下建立强共价键合(当表面处于“粘结区域”时,“粘结区域”参见US‘727的薄片/载体概念中所述,并且如下文所述)。虽然不希望受到理论的限制,但是相信,在一些情况下,表面改性层可用于控制当薄片和载体初始放置在一起时的室温粘结,而表面上的羟基基团的减少(例如,通过对表面进行加热或者通过羟基基团与表面改性层的反应),可用于控制共价键合,特别是处于高温时。
用于表面改性层30的材料可以为粘结表面14、24提供表面仅产生弱粘结的能量(例如,能量<40mJ/m2,从一个表面测得,包括极性和色散分量)。在一个例子中,六甲基二硅氮烷(HMDS)可用于通过与表面羟基反应留下三甲基甲硅烷基(TMS)封端的表面,来产生该低能量表面。HMDS作为表面改性层可以与表面加热一起使用,来减少羟基浓度,以控制室温和高温粘结。通过分别为粘结表面14、24选择合适的粘结表面制备,可以实现具有一定能力范围的制品。更具体地,出于为LTPS加工提供可再次使用的载体的兴趣,可以在薄玻璃片20和玻璃载体10之间实现合适的粘结,从而经受(或通过)真空SRD、400℃(部分a和c)和600℃(部分a和c)加工测试中的每一项。
在一个例子中,在对薄玻璃和载体都进行SC1清洁然后是HMDS处理之后,产生了弱粘结表面,其在室温下以范德华(和/或氢键合)力粘结是具有挑战性的。施加机械作用力,以使得薄玻璃与载体粘结。如表2的实施例2a所示,该粘结足够弱到在真空测试和SRD加工中观察到载体的偏折,在400℃和600℃热加工中观察到(可能是由于脱气导致的)起泡,以及在超声加工之后观察到颗粒缺陷。
在另一个例子中,仅仅一个表面(在所引述实施例中是载体)的HMDS处理产生强室温粘合,其经受住了真空和SRD加工。但是,400℃以及更高的热加工使得薄玻璃与载体发生永久粘结。这并非是出乎意料的,因为通过Sindorf和Maciel的J.Phys.Chem.1982,86,5208-5219,已经计算出二氧化硅上的三甲基甲硅烷基基团的最大表面覆盖是2.8/nm2,并且通过Suratwala等人在Journal of Non-Crystalline Solids(非晶固体期刊)316(2003)349–363测出为2.7/nm2,相比较对于完全羟基化二氧化硅的羟基浓度为4.6-4.9/nm2。也就是说,虽然三甲基甲硅烷基基团确实与一些表面羟基结合,但是仍然会留下一些未结合的羟基。因此,会预期给予足够的时间和温度,表面硅烷醇基团的缩合使得薄玻璃与载体永久粘结。
通过在HMDS暴露之前加热玻璃表面来减少表面羟基浓度,可以产生不同的表面能,导致表面能的极性分量的增加。这同时降低了在高温下形成共价Si-O-Si键的驱动力并且导致更为牢固的室温粘结,例如范德华(和/或氢)键合。图4显示在退火之后以及在HMDS处理之后的Eagle
Figure BDA0001119549430000191
显示器玻璃载体的表面能。在HMDS暴露之前,退火温度的增加通过增加极性贡献(线404),增加了HMDS暴露之后总的(极性和色散)表面能(线402)。还看出,通过热处理,色散贡献(线406)对于总表面能而言,很大程度上保持不变。虽然不希望受到理论的限制,但是增加极性分量,从而增加HMDS处理之后的表面中的总能量,看上去是由于因为被HMDS覆盖的子单层TMS,使得甚至在HMDS处理之后仍有一些暴露的玻璃表面区域。
在实施例2b中,在真空中,将薄玻璃片在150℃的温度加热一小时,之后与具有HMDS涂层的未经热处理的载体粘结。薄玻璃片的该热处理不足以阻碍薄玻璃片与载体在≥400℃的温度下发生永久粘结。
如表2的实施例2c-2e所示,改变HMDS暴露之前的玻璃表面的退火温度,可以改变玻璃表面的粘结能,从而控制玻璃载体和薄玻璃片之间的粘结。
在实施例2c中,载体在温度为190℃的真空中退火一小时,之后进行HMDS暴露,以提供表面改性层30。此外,薄玻璃片在450℃的真空中退火一小时,之后与载体粘结。所得到的制品经受住了真空、SRD和400℃测试(部分a和c,但是没有通过部分b,因为起泡增加),但是未通过600℃测试。因此,虽然相比于实施例2b增加了对于高温粘结的抗性,但是不足以产生对于≥600℃温度下的加工(例如LTPS加工)具有可再次使用的载体的制品。
在实施例2d中,载体在温度为340℃的真空中退火一小时,之后进行HMDS暴露,以提供表面改性层30。再次,薄玻璃片在450℃的真空中退火一小时,之后与载体粘结。结果类似于实施例2c那样,制品经受住了真空、SRD和400℃测试(部分a和c,但是没有通过部分b,因为气泡增加),但是未通过600℃测试。
如实施例2e所示,薄玻璃和载体都在450℃的真空中退火一小时,之后将载体暴露于HMDS,然后使得载体与薄玻璃片粘结,改善了对于永久粘结的温度抗性。两个表面在450℃的退火防止了600℃的RTP退火10分钟之后的永久粘结,也就是说,该样品通过600℃加工测试(部分a和c,但是没有通过部分b,因为气泡增加;对于400℃具有类似结果)。
表2:HMDS表面改性层的加工相容性测试
Figure BDA0001119549430000201
Figure BDA0001119549430000211
在上述实施例2a-2e中,载体和薄片分别是Eagle
Figure BDA0001119549430000212
玻璃,其中,载体是150mm直径、630微米厚的SMF晶片,薄片是100平方毫米、100微米厚。通过脉冲气相沉积,在(购自加利福尼亚圣何塞的产量工程***公司(Yield Engineering Systems,San Jose CA))的YES-5HMDS烘箱中施加HMDS,其是一层原子层厚度(即约为0.2-1nm),但是表面覆盖可能小于一层单层,即部分表面羟基没有被HMDS覆盖,如Maceil和上文所述。由于表面改性层的小厚度,几乎没有会引起装置制造中的污染的脱气风险。此外,如表2的“SC1”符号所示,在热处理和任意后续HMDS处理之前,载体和薄片分别采用SC1过程进行清洁。
实施例2a和实施例2b的对比显示,可以通过改变包含表面改性层的表面数量,来控制薄片和载体之间的粘结能。控制粘结能可用于控制两个粘结表面之间的粘结力。此外,实施例2b-2e的对比显示,可以通过改变粘结表面在施加表面改性材料之前所经受的热处理的参数,来控制表面的粘结能。此外,热处理可用于减少表面羟基数量,从而控制共价键合程度,特别是高温下的共价键合。
可以将以不同方式来控制粘结表面上的表面能的其他材料用于表面改性层30,从而控制两个表面之间的室温和高温粘结力。例如,如果对粘结表面中的一个或两个进行改性,以产生与表面改性层的中等粘结力,所述表面改性层覆盖或者是空间位阻了诸如羟基之类的物质,以防止在提升的温度下在载体和薄片之间形成强永久共价键,则可以产生可再次使用的载体。产生可调节的表面能并对表面羟基进行覆盖以防止形成共价键的一种方式是沉积等离子体聚合物膜,例如含氟聚合物膜。等离子体聚合在大气压或减压以及来自源气体的等离子体激发(DC或RF平行板,电感耦合等离子体(ICP)电子回旋共振(ECR下游微波或RF等离子体)下沉积聚合物薄膜,所述源气体是例如碳氟化合物源(包括CF4、CHF3、C2F6、C3F6、C2F2、CH3F、C4F8、氯氟碳或氢氯氟碳)、烃类(例如烷烃,包括甲烷、乙烷、丙烷、丁烷;烯烃,包括乙烯、丙烯;炔烃,包括乙炔;以及芳烃,包括苯、甲苯)、氢气和其他气体源(例如SF6)。等离子体聚合产生高度交联材料层。反应条件和源气体的控制可以用来控制膜厚度、密度和化学性质,以将官能团调节至所需用途。
图5显示利用(购自英国牛津郡牛津仪器公司(Oxford Instruments,OxfordshireUK))Oxford ICP380蚀刻工具,从CF4-C4F8混合物沉积的等离子体聚合含氟聚合物(PPFP)膜的总表面能(线502),其包括极性分量(线504)和色散分量(线506)。将膜沉积到Eagle
Figure BDA0001119549430000223
玻璃片上,光谱椭圆对称法显示膜厚为1-10nm。从图5可以看出,用含有小于40%的C4F8的等离子体聚合含氟聚合物膜处理的玻璃载体展现出>40mJ/m2的表面能,并且产生在室温下薄玻璃和载体之间通过范德华或氢键键合的受控粘结。当初始在室温下粘结载体和薄玻璃时,观察到促进的粘结。也就是说,当将薄片放置到载体上,并在一点对它们进行压制时,波阵面移动穿过载体,但是速度低于其上不具有表面改性层的SC1处理表面所观察到的。受控粘结足以经受住所有标准FPD工艺,包括真空加工、湿加工、超声加工和高至600℃的热加工,也就是说,该受控粘结通过600℃加工测试,没有发生薄玻璃与载体的移动或脱层。通过如上文所述用剃刀片和/或KaptonTM带进行剥离,来完成脱粘结。(如上文所述沉积的)两种不同PPFP膜的加工相容性如表3所示。形成的实施例3a的PPFP 1具有C4F8/(C4F8+CF4)=0,也就是说,是由CF4/H2而非C4F8形成的;沉积的实施例3b的PPFP 2具有C4F8/(C4F8+CF4)=0.38。这两种类型的PPFP膜都经受住了真空、SRD、400℃和600℃加工测试。但是,在对PPFP 2进行20分钟的超声清洁之后观察到分层,表明没有足够的粘合力以经受此类加工。但是,对于不需要超声加工的一些应用而言,PPFP 2的表面改性层可能是可用的。
表3:PPFP表面改性层的加工相容性测试
Figure BDA0001119549430000221
在上述实施例3a和3b中,载体和薄片分别是Eagle
Figure BDA0001119549430000222
玻璃,其中,载体是150mm直径、630微米厚的SMF晶片,薄片是100平方毫米、100微米厚。由于表面改性层的小厚度,几乎没有会引起装置制造中的污染的脱气风险。此外,由于表面改性层看上去没有发生分解,同样地,也就不用说脱气的风险了。此外,如表3所示,在150℃真空热处理1小时之前,薄片分别采用SC1过程进行清洁。
同样可以将以不同方式来控制表面能的其他材料用作表面改性层,从而控制薄片和载体之间的室温和高温粘结力。例如,通过对玻璃载体和/或玻璃薄片进行硅烷处理,可以产生能够产生受控粘结的粘结表面。对硅烷进行选择,从而产生合适的表面能,从而对于应用具有足够的热稳定性。可以通过如下工艺对待处理的载体或薄玻璃进行处理:例如,O2等离子体或UV-臭氧,以及进行SC1或标准清洁2(SC2,本领域已知)的清洁来去除会干扰硅烷与表面硅烷醇基团反应的有机物和其他杂质(例如金属)。可以使用基于其他化学品的清洗,例如HF或H2SO4清洗化学品。可以对载体或薄玻璃进行加热,以控制施加硅烷之前的表面羟基浓度(如上文关于HMDS的表面改性层所述),和/或可以在施加硅烷之后进行加热,以完成硅烷与表面羟基的缩合。可以使得硅烷化之后的未反应的羟基基团的浓度在粘结之前是足够低的,从而防止在≥400℃的温度下薄玻璃和载体之间的永久粘结,即,以形成受控粘结。该方法如下所述。
实施例4a
然后用甲苯中1%的十二烷基三乙氧基硅烷(DDTS)对其粘结表面经过O2等离子体和SC1处理的玻璃载体进行处理,在150℃的真空中退火1小时,完成缩合。DDTS处理的表面展现出45mJ/m2的表面能。如表4所示,(经过SC1清洁和400℃真空加热1小时的)玻璃薄片与其上具有DDTS表面改性层的载体粘结表面发生粘结。该制品经受住了湿加工和真空加工测试,但是没有通过超过400℃的热加工,没有由于硅烷的热分解在载体下方形成气泡。对于所有的线性烷氧基和氯烷基硅烷R1xSi(OR2)y(Cl)z,其中x=1-3,y+z=4-x(排除甲基、二甲基和三甲基硅烷的情况(x=1-3,R1=CH3),其产生良好热稳定性的涂层),都预期有这种热分解。
实施例4b
然后用甲苯中1%的3,3,3三氟丙基三乙氧基硅烷(TFTS)对其粘结表面经过O2等离子体和SC1处理的玻璃载体进行处理,在150℃的真空中退火1小时,完成缩合。TFTS处理的表面展现出47mJ/m2的表面能。如表4所示,(经过SC1清洁然后400℃真空加热1小时的)玻璃薄片与其上具有TFTS表面改性层的载体粘结表面发生粘结。该制品经受住了真空、SRD和400℃加工测试,没有发生玻璃薄片与玻璃载体的永久粘结。但是,由于硅烷的热分解,600℃测试产生了载体下方形成的气泡。由于丙基有限的热稳定性,这并非出乎意料的。虽然该样品由于起泡没有通过600℃测试,该实施例的材料和热处理可以用于可以容忍气泡及其不利影响(例如表面平坦度的下降或者波度增加)的一些应用。
实施例4c
然后用甲苯中1%的苯基三乙氧基硅烷(PTS)对其粘结表面经过O2等离子体和SC1处理的玻璃载体进行处理,在200℃的真空中退火1小时,完成缩合。PTS处理的表面展现出54mJ/m2的表面能。如表4所示,(经过SC1清洁然后400℃真空加热1小时的)玻璃薄片与其上具有PTS表面改性层的载体粘结表面发生粘结。该制品经受住了真空加工、SRD加工和高至600℃的热加工,没有发生玻璃薄片与玻璃载体的永久粘结。
实施例4d
然后用甲苯中1%的二苯基二乙氧基硅烷(DPDS)对其粘结表面经过O2等离子体和SC1处理的玻璃载体进行处理,在200℃的真空中退火1小时,完成缩合。DPDS处理的表面展现出47mJ/m2的表面能。如表4所示,(经过SC1清洁然后400℃真空加热1小时的)玻璃薄片与其上具有DPDS表面改性层的载体粘结表面发生粘结。该制品经受住了真空和SRD测试,以及高至600℃的热加工,没有发生玻璃薄片与玻璃载体的永久粘结。
实施例4e
然后用甲苯中1%的4-五氟苯基三乙氧基硅烷(PFPTS)对其粘结表面经过O2等离子体和SC1处理的玻璃载体进行处理,在200℃的真空中退火1小时,完成缩合。PFPTS处理的表面展现出57mJ/m2的表面能。如表4所示,(经过SC1清洁然后400℃真空加热1小时的)玻璃薄片与其上具有PFPTS表面改性层的载体粘结表面发生粘结。该制品经受住了真空和SRD测试,以及高至600℃的热加工,没有发生玻璃薄片与玻璃载体的永久粘结。
表4:硅烷表面改性层的加工相容性测试
Figure BDA0001119549430000241
Figure BDA0001119549430000251
在上述实施例4a-4e中,载体和薄片分别是Eagle
Figure BDA0001119549430000252
玻璃,其中,载体是150mm直径、630微米厚的SMF晶片,薄片是100平方毫米、100微米厚。硅烷层是自装配单层(SAM),因而厚度约为小于约2nm。在上述实施例中,采用具有芳基或烷基非极性尾端和单、二或三醇盐头基团的有机硅烷产生SAM。它们与玻璃上的硅烷醇表面反应,与有机官能团直接附连。非极性头基团之间的较弱相互作用使得有机层有机化。由于表面改性层的小厚度,几乎没有会引起装置制造中的污染的脱气风险。此外,由于实施例4c、4d和4e中的表面改性层看上去没有发生分解,同样地,也就不用说脱气的风险了。此外,如表4所示,在400℃真空热处理1小时之前,玻璃薄片分别采用SC1过程进行清洁。
从实施例4a-4e的对比可以看出,将粘结表面的表面能控制到大于40mJ/m2从而有助于初始室温粘结,不仅仅是出于产生能够经受住FPD加工的受控粘结的考虑,还实现了在不造成损坏的情况下从载体去除薄片。具体来说,从实施例4a-4e看出,载体分别具有大于40mJ/m2的表面能,其有助于初始室温粘结,从而使得制品经受住真空和SRD加工。但是,实施例4a和4b没有通过600℃加工测试。如上文所述,对于某些应用,使得粘结经受住高温加工(对于制品设计使用来说合适的工艺,例如,≥400℃、≥500℃或≥600℃、高至650℃),并且不使得粘结劣化至不足以将薄片和载体保持在一起,以及控制此类高温下发生的共价键合从而在薄片和载体之间不存在永久键合也是重要的。如表4中的例子所示,芳族硅烷(具体来说,苯基硅烷)可用于提供受控键合,其会有助于初始室温粘结,以及会耐受FPD加工并且仍然允许在不造成损坏的情况下从载体去除薄片。
氟碳表面改性层,及其处理
使用等离子体聚合膜来调节粘结表面的表面能并在其上产生替代的极性粘结位的另一个例子是从氟碳气体源的混合物沉积表面改性层薄膜,然后通过使用各种方法在表面改性层上形成氮基极性基团。
可以通过氟碳气体源的各种混合物的等离子体聚合来形成表面改性层,从而提供各种表面能,包括大于约50mJ/m2的表面能,通过使得S.Wu(1971)建立的理论模型与三种不同测试液体(在该情况下,是去离子水(水)、十六烷(HD)和双碘甲烷(DIM))的接触角(CA)的拟合计算得到。(参见S.Wu,J.Polym.Sci.C,34,19,1971,下文称作“Wu模型”)。在载体粘结表面上大于约50mJ/m2的表面能对于使得载体与薄玻璃片粘结是有利的,因为这促进了载体与薄玻璃片的室温粘结,并且实现了载体/薄玻璃片的FPD加工(它们在加工中不发生脱粘结)。在一些情况下,取决于表面改性层组成和沉积条件,具有该表面能的表面改性层能够通过剥离实现脱粘结,甚至是在高至约600℃(以及在一些情况下甚至更高的)温度对载体和薄玻璃片进行加工之后。通常来说,源气体包括蚀刻气体和形成聚合物的气体的混合物。如上文关于图5所述,蚀刻气体可以是CF4,而形成聚合物的气体可以是C4F8。或者,如图13所示,蚀刻气体可以是CF4,而形成聚合物的气体可以是CHF3。如图5和13这两者所示,通常来说,形成聚合物的气体的百分比越低,得到的粘结表面的总表面能502、1312越高,其中,总表面能是极性分量504、1314(三角形数据点)和色散分量506、1316(正方形数据点)的结合。可以以类似方式,通过使用惰性气体(例如Ar),来控制等离子体聚合过程中的形成聚合物的气体(例如CHF3)的百分比,如图13A所示,其显示了总表面能,单位为mJ/m2。不希望受限于理论,但是惰性气体可作为蚀刻剂和/或稀释剂。在任意情况下,清楚的是,可以通过单独的CHF3来对载体玻璃的表面能进行改性,而不需要气流中的任何CF4。表面改性层的沉积可以在大气压或者减压下进行,采用等离子体激发,例如DC或RF平行板、电感耦合等离子体(ICP)、电子回旋共振(ECR)、下游微波或RF等离子体。等离子体聚合表面改性层可以布置在载体和/或薄片上。如上文关于表3的实施例所述,等离子体聚合产生了高度交联材料的层。反应条件和源气体的控制可以用来控制表面改性层的膜厚度、密度和化学性质,以将官能团调节至所需用途。并且通过控制膜性质,可以调节载体粘结表面的表面能。但是,表面能仅仅是控制粘结程度中的一个考量。
可以通过控制用于实现所需表面能的极性键来进一步调节受控粘结的程度或者适度粘结。控制极性键的一种方式是将(其上刚形成的)表面改性层暴露于进一步处理以结合极性基团,例如通过含氮等离子体进行处理。该处理通过在薄的表面改性层上形成氮基极性官能团,增加了粘合力。在后续处理过程中形成的氮基极性基团,不与硅醇发生缩合引起永久性共价键合;因而能够控制用以在薄片上布置膜或结构的后续处理过程中的薄片与载体之间的粘结程度。形成氮基极性基团的方法包括例如,氮等离子体处理(实施例5b-d,k,l),氨等离子体处理(实施例5e,f,h-j),以及氮/氢等离子体处理(实施例5m)。
观察到,对于与经过含氮等离子体处理的表面改性层粘结的薄玻璃片和玻璃载体,在600℃退火之后没有发生永久性粘附,即通过600℃温度测试的(c)部分。此外,该适度粘结足够牢固,以耐受FPD加工(包括上文所述的真空测试(1)、湿加工测试(2)和超声测试(5)),并且通过施加足够的剥离力仍然是可脱粘结的。脱粘结能够去除薄玻璃上制造的器件,并能够对载体进行重新使用。表面改性层的氮等离子体处理可以获得以下一个或多个好处:高表面能和低水接触角,形成薄片和载体之间的强粘附,在初始粘结之后具有最小气泡缺陷(参见实施例5b-f和i-l);当进行热加工时减少了缺陷形成,这是由于表面改性层改善的热稳定性(实施例5c、5d、5k、5l,即用N2处理的样品展现出降低的气泡形成,视觉观察);和/或更容易的加工窗口,因为表面改性层的形成和处理的分开实现了不同工艺来优化载体/表面改性层以及表面改性层/薄玻璃界面(实施例5b-f和h-m)。也就是说,用于表面改性层自身的沉积过程的基底材料可以配制成优化表面改性层和载体粘结表面之间的相互作用。然后,分开地,在载体上沉积了表面改性层之后,可以通过处理来对表面改性层的性质进行改性,以优化表面改性层与待在其上沉积的薄片之间的相互作用。
在下文表5的实施例中,使用各种条件在玻璃载体上沉积等离子体聚合膜。玻璃载体是由
Figure BDA0001119549430000271
Eagle
Figure BDA0001119549430000272
(铝硼硅酸盐不含碱显示器玻璃,购自纽约州康宁市康宁有限公司(Corning Incorporated,Corning NY))制造的基材。在表面改性层沉积之前,使用SC1和/或SC2化学和标准清洁技术来清洁载体。在牛津等离子体实验室(OxfordPlasmalab)380电感耦合等离子体(ICP)***中沉积膜,在线圈和台板上都是13.56MHz RF源,并且台板温度固定在30C。对于样品5a-5j的表面改性层的氮和氨等离子体处理在(购自英国纽波特的STPS公司(SPTS,Newport,UK)的)STS Multiplex PECVD设备中进行,其具有三极管电极配置模式,其中,位于台板上的载体加热到200C,对其施加特定瓦特数的380kHzRF能量,在台板上布置了喷头,向其施加特定瓦特数的13.5MHz RF能量。对于在Oxford ICP和STS PECVD这两者中施加的能量,数量显示为#/#W,其中在斜线之前的数字是施加到顶电极(ICP上的线圈或者PECVD上的喷头)的瓦特数,而在斜线之后的数字是施加到台板的瓦特数。当只显示一个数字时,这是顶电极的数字。进入室中的气体流量如表5所示(流量是标准立方厘米每分钟,sccm)。因此,例如,对于实施例5g的表5的“表面处理”栏中的符号解读如下:在Oxford ICP设备中,30sccm的CF4,10sccm的C4F8,以及20sccm的H2,一起流入压力为5毫托的室中;1000W的13.5MHz的RF能量施加到线圈,50W的13.56MHz的RF能量施加到30C台板,在其上放置有载体;以及沉积时间为60秒。其余实施例的表面处理栏中的符号可以以类似的方式解读。又例如,在“等离子体处理”栏中,对于实施例5h的处理的符号解读如下:在按照实施例5h的表面处理栏的参数形成了表面改性层之后,然后向STS PECVD室供给100sccm的NH3,所述STS PECVD室的压力为1托,以及温度为200℃;向喷头施加100W的13.56MHz;以及处理进行30秒。其余实施例的“等离子体处理”栏中的符号以类似的方式解读。通过使得Wu模型与三种不同测试液体(在该情况下,是去离子水(水)、十六烷(HD)和双碘甲烷(DIM))的接触角(CA)的拟合计算得到表面能,极性分量和色散分量这两者,单位为mJ/m2(毫焦耳每平方米)。对于表面能,显示了极性分量(P)和色散分量(D)以及总和(T)。
Figure BDA0001119549430000281
在表5的实施例5b-5f和5h-5l中,在表面改性层上形成氮基极性基团,其中,这些极性基团产生载体和薄片(例如,玻璃载体和玻璃薄片)之间的适度粘合,以产生足够牢固经受住FPD加工但是足够弱以实现脱粘结的临时粘结。在处理之后,表面改性层的表面上的极性基团浓度大于表面改性层本体。
通过NH3等离子体处理的实施例(5e、f和h-j)
从30sccm CF4 10sccm C4F8 20sccm H2,在5mT的情况下,以1500W线圈和50W台板RF功率(对照实施例5a),以及另外,从30sccm CF4 10sccm C4F8 20sccm H2,在5mT的情况下,以1000W线圈和50W台板RF功率(对照实施例5g),在ICP等离子体***中沉积适度表面能SML。未处理的含氟聚合物膜的表面能如表5所示。将样品转移到STS PECVD***,并暴露于氨等离子体,条件见表5所列(实施例5e、5f、5h-j)。通过Wu等式,用DI水和十六烷测得的表面张力从约40增加到约65-80mJ/m2,这取决于氨等离子体条件。对于这些NH3等离子体改性的样品,分别粘结薄玻璃片。在600℃温度测试之后,在气泡区域几乎没有视觉观察到变化(没有进行正式的脱气测试),在所有这些样品中,薄玻璃片都容易用手脱粘结。
N2等离子体处理的实施例(5c、d、k、l)
从30sccm CF4 10sccm C4F8 20sccm H2,在5mT的情况下,以1500W线圈和50W台板RF功率(对照实施例5a),以及另外,从30sccm CF4 10sccm C4F8 20sccm H2,在5mT的情况下,以1000W线圈和50W台板RF功率(对照实施例5g),在ICP等离子体***中沉积适度表面能SML。未处理的含氟聚合物膜的表面能如表5所示。样品5c、d、k、l以表5所列条件,在ICP***中进行N2等离子体原位处理。表面能从约40增加到超过70mJ/m2,这取决于等离子条件。对于这些样品,分别粘结薄玻璃片。在600℃温度测试之后,所有样品的薄玻璃片都容易地用手脱粘结。
同时N2和H2等离子体处理的实施例(5m)。
从30sccm CF4 10sccm C4F8 20sccm H2,在5mT的情况下,以1000W线圈和50W台板RF功率,在ICP等离子体***中沉积适度表面能SML(对照实施例5g)。未处理的含氟聚合物的表面张力如表5所示。样品5m以表5所列条件,在ICP***中进行N2+H2的同时等离子体原位处理。没有显示出表面能与未处理含氟聚合物膜的不同。
依次N2和H2等离子体处理的实施例(5b)。
从30sccm CF4 10sccm C4F8 20sccm H2,在5mT的情况下,以1500W线圈和50W台板RF功率,在ICP等离子体***中沉积适度表面能SML(对照实施例5a)。未处理的含氟聚合物膜的表面能如表5所示。然后该样品以表5所列条件,在ICP***中依次进行N2和H2的等离子体原位处理。表面能增加到超过70mJ/m2。该值类似于氨或氮等离子体获得的值。将薄玻璃片粘结到该样品,并经受600℃温度测试,之后可以从载体脱粘结薄玻璃片,即,该样品通过600℃加工测试的(c)部分。
XPS数据显示氨和氮等离子体处理对于表面改性层的影响。具体来说,氨等离子体处理粗略地减半了表面改性的碳含量,并使得氟浓度减少约1/4,以及增加约0.4原子%的氮。看到硅、氧和其他玻璃组分也发生增加,与氨等离子体去除含氟聚合物同时向表面增加少量氮物质相一致。氮等离子体处理使得氮含量增加到2原子%,但是也使得碳和氟含量下降,与氨类似。硅、氧和其他玻璃组分也增加,与膜厚度下降相一致。因此,显示氨和氮等离子体处理使得表面改性层的极性基团增加,但是也降低了表面层的厚度。所得到的表面改性层的厚度通常小于20nm。因此,有效的表面改性层通常会平衡表面改性层厚度与由此的表面处理时间,以实现受控粘结。
如上文所述,按照表5的实施例粘结到载体的薄玻璃片是由
Figure BDA0001119549430000301
Figure BDA0001119549430000302
玻璃(铝硼硅酸盐无碱玻璃,购自纽约州康宁市康宁有限公司)制造的基材,并且厚度为100、130和150微米。在粘结之前,用氧等离子体之后用SC1和/或SC2化学和标准清洁技术来清洁
Figure BDA0001119549430000303
玻璃。
在表5的实施例中,虽然其上布置表面改性层的粘结表面是玻璃,但不一定是这种情况。相反地,粘结表面可以是具有与玻璃相似表面能和性质的其他合适材料,例如,硅、多晶硅、单晶硅、陶瓷、玻璃-陶瓷、蓝宝石或石英。
在表3和5的实施例中,证实了使用等离子体聚合的含氟聚合物表面改性层(厚度小于20nm)来控制玻璃粘结表面的粘结能。玻璃薄片与其上具有表面改性层的此类玻璃载体的初始粘结类似于玻璃-玻璃粘结:粘结前端快速移动,这是由于薄片和经涂覆的玻璃载体之间的强烈吸引相互作用导致的。该吸引相互作用的物理原因是薄玻璃片上的极性基团(主要是硅醇基团)与载体的表面改性层上的极性基团之间的双极子-双极子(基桑)相互作用,在具有或者不具有氢键合分子水的情况下都是如此。但是,含氟聚合物表面改性处理防止了薄片与载体在与器件制造相关的高至600℃温度下的薄片与载体的永久性粘结。为了为较厚玻璃的低产率酸薄化提供诱人的成本优势,载体需要是可重复使用的。当使用氟化表面改性层时,这是一个考量,因为含氟聚合物沉积过程蚀刻了载体表面。虽然证实了对于这些表面改性层的载体重复使用,但是表面粗糙度从0.3nm增加到约1.2nm Ra。由于(在沉积、去除并再次沉积表面改性层之后重复使用的载体上)限制了粘结区域从而降低了粘结能,该粗糙度增加会影响载体可重复利用性。此外,表面粗糙度的增加会限制载体重复用于其他应用,例如将载体自身用作显示器基材,因为不符合输入玻璃的粗糙度规格。还观察到在>300℃的温度对粘结的薄玻璃片和载体对进行退火之后,在薄玻璃片的粘结表面侧诱发了粗糙度。在薄片粘结表面上的粗糙度增加可能是由于来自经表面改性层处理的载体粘结表面的含氟气体的解吸附对薄玻璃粘结表面的蚀刻造成的。在一些情况下,该粘结表面的粗糙度增加是不重要的。在其他情况下,虽然粗糙度的增加是小的,但是该增加可能是无法接受的,例如,因为这可能限制载体的再次使用。此外,出于例如健康和安全的原因,不希望在某些制造操作中使用氟化气体。
因此,可能存在如下情况,其希望使用替代的极性键来产生足够的表面能(例如,如上文结合表5的实施例所述的>50mJ/m2)来产生受控粘结,即,足够牢固以耐受FPD加工,但能够使得在不发生损坏的情况下从载体分离薄片(甚至是在高温加工之后,例如在高于400℃或600℃的加工)。因此,本发明的发明人开发了替代方式来形成合适的极性键,其可用于薄片与载体的受控粘结。
本发明的发明人开发了使用烃聚合物,或者更一般地,碳质层,从而几乎没有或者没有氟会蚀刻玻璃。但是,需要克服数种关键挑战。碳质层表面能应该大于约50mJ/m2,使得碳质层与玻璃粘结。为了提供足够强的粘结经受住湿加工而不在薄片和载体之间发生液体渗透,在一些情况下,碳质表面改性层的表面能应该大于或等于65mJ/m2。在65mJ/m2,(用于与薄玻璃片粘结的)载体的表面能足以防止后续加工过程中的载体与薄片之间的液体(例如水)的渗透。对于约50mJ/m2的表面能,与薄玻璃片的粘结可能对于大多数FPD加工是足够的,但是可能需要热处理来防止液体渗透。具体来说,烃层的极性分量需要有序增加以实现直接与薄玻璃片的硅醇基团或者以氢键合分子水为中介的双极子-双极子强键合。碳质层还应展现出热、化学和真空相容性,从而会可用于将会至少经受无定形硅(aSi)TFT、滤色器(CF)或电容触摸装置制造过程的载体-薄片制品。由于脂族烃如聚乙烯展现出在惰性气氛中极好的热稳定性,这看上去是可能的。不同于可能在某些情况下发生解聚的含氟聚合物,HDPE简单地烧焦。即使HDPE可能烧焦,但是如果聚合物的厚度足够低的话,仍然可以看穿其。最终考量是机械稳定性和湿加工相容性看上去需要比单独的范德华力所实现的情况更高的粘附。发现约250-275mJ/m2粘结能对于所使用的玻璃薄片经受湿超声加工是有利的。这种大的粘结能可能是由于颗粒和边缘缺陷,而不是粘结过程的基础要求。最佳粘结的两个清洁玻璃表面可产生约为150mJ/m2的粘结能。需要部分共价键合以实现250-275mJ/m2的粘结强度。
在表6-12的实施例中开发的表面改性层是基于不含氟的源材料的有机情况。如下文进一步详述,可以在玻璃载体上生产无定形烃层(或者,简单来说,碳质层)(表6),但是表面能没有产生与清洁玻璃表面足够的粘附来经受FPD加工。这并不意外,因为基于甲烷和氢的有机表面改性层不含强极性基团。为了增加可用于与薄玻璃片粘结的极性基团,在等离子体聚合过程中添加额外的气体,并且可以实现足够的表面能(表7)。但是,虽然在一些情况下可以实现足够的表面能,但是该单步骤加工对于获得源材料的适当混合涉及一定量的复杂度。因此,开发了两步工艺,其中:在第一步骤中,形成表面改性层(例如,从两种气体,类似于表6的实施例中所完成的方式);然后,在第二步骤中,以各种方式处理表面改性层,以增加可用于与薄玻璃片粘结的表面能和极性基团。虽然步骤更多,但是该工艺对于管理获得所需的结果较不复杂。处理增加了表面改性层会与薄片粘结的表面处的极性基团。因此,极性基团可用于使得碳质层与薄片粘结,即使在某些情况下,表面改性层的本体可能不含极性基团。在表8-12的实施例中开发了对初始表面改性层进行处理的各种方式,其中:在表8的实施例中,用NH3处理表面改性层;在表9的实施例中,用N2处理表面改性层;在表10的实施例中,依次用N2然后用H2处理表面改性层;在表11的实施例中,依次用N2-O2然后用N2处理表面改性层;在表12的实施例中,用N2-O2处理表面改性层;以及在表12之后的替代实施例中,仅用O2处理表面改性层。这些实施例显示使用氮和氧极性基团,但是其他极性基团也是可以的。
用烃(例如,甲烷CH4)以及任选的氢(例如,H2)形成碳质表面改性层
使用等离子体聚合膜来调节粘结表面的表面能以及粘结表面上的覆盖表面羟基的另一个例子是在等离子体聚合过程中,从含碳气体(例如,烃气体如甲烷)任选地与其他气体(例如,氢H2)一起,来沉积表面改性层薄膜。虽然在大多数情况下,优选氢气流,因为否则的话沉积材料倾向于是石墨的,暗的并且具有低带隙。这在表6-12和16的全部碳质表面改性层实施例都是相同的。表面改性层可以在大气压或者减压下形成,采用等离子体激发,例如DC或RF平行板、电感耦合等离子体(ICP)、电子回旋共振(ECR)、下游微波或RF等离子体进行。等离子体聚合表面改性层可以布置在载体和/或薄片上。如上文关于表3的实施例所述,等离子体聚合产生了高度交联材料的层。反应条件和源气体的控制可以用来控制表面改性层的膜厚度、密度和化学性质,以将官能团调节至所需用途,并且通过控制膜性质,可以调节粘结表面的表面能。可以对表面能进行调节从而控制粘结程度,即,从而防止用以在薄片上布置膜或结构的后续处理过程中的薄片与载体之间的永久性共价键合。
在下文表6的实施例中,使用各种条件在玻璃载体上沉积等离子体聚合膜。表6的实施例中探究的沉积参数是:气体比(甲烷:氢气);压力;ICP线圈和RF偏压功率。玻璃载体是由
Figure BDA0001119549430000331
Eagle
Figure BDA0001119549430000332
(铝硼硅酸盐不含碱显示器玻璃,购自纽约州康宁市康宁有限公司(Corning Incorporated,Corning NY))制造的基材。在膜沉积之前,使用SC1和/或SC2化学和标准清洁技术来清洁载体。在(购自英国牛津郡牛津仪器(Oxford Instruments,Oxfordshire UK))的Oxford Plasmalab 380ICP(电感耦合等离子体(ICP)工具)中沉积膜,其中,载***于台板上,向其施加特定瓦特数(记录在“RF偏压”栏内)的13.56MHz的RF能量,在台板上方布置线圈,向其施加特定瓦特数(记录在“线圈”栏内)的13.5MHz的RF能量。进入室中的甲烷(CH4)和氢气(H2)源的流量分别如CH4和H2栏所示(流量是标准立方厘米每分钟,sccm)。CH4和H2气体一起流动。还在“H2/CH4”栏中显示了H2:CH4源气体的比例,以及在“压力”栏内显示了室压力(单位,毫托)。因此,例如,对于实施例6a的表6的符号解读如下:在Oxford ICP设备中,6.7sccm的CH4,和33.3sccm的H2,一起流入压力为20毫托的室中;1500W的13.5MHz的RF能量施加到线圈,以及300W的13.56MHz的RF能量施加到台板,在其上放置有载体。对于所有沉积,台板温度为30C。其余实施例的符号可以以类似的方式解读。通过使用Wu模型以及三种不同测试液体(在该情况下,是去离子水(显示在“W”栏中)、十六烷(显示在“H”栏中)和双碘甲烷(“显示在DIM”栏中))的接触角(CA)的计算得到表面能,单位为mJ/m2(毫焦耳每平方米)。对于表面能,显示了极性分量(P)和色散分量(D)以及总和(T)。
Figure BDA0001119549430000341
实施例6a-6j的表面能从约40变化到约50mJ/m2。但是,大体上来说,这些实施例的表面能小于约50mJ/m2(视为对于玻璃载体与玻璃薄片的可控粘结是合适的)。表面改性层的厚度约为6nm。这些实施例没有在载体和薄玻璃片之间产生足够的粘附以经受住FPD加工,即,观察到它们在真空测试过程中的起泡,并且观察到在湿加工测试过程中的热水渗透。
虽然这些表面改性层它们自身不适用于与薄玻璃片粘结,但是它们可用于其他应用,例如,将聚合物薄片施加到玻璃载体用于在薄聚合物片上加工电子件或其他结构,如下文所述。或者,薄片可以是复合片,其具有可与玻璃载体粘结的聚合物表面。在该情况下,复合片可包括玻璃层,其上可以布置电子件或者其他结构,而聚合物部分形成用于与玻璃载体受控粘结的粘结表面。
在表6的实施例中,虽然其上布置表面改性层的粘结表面是玻璃,但不一定是这种情况。相反地,粘结表面可以是具有与玻璃相似表面能和性质的其他合适材料,例如,硅、多晶硅、单晶硅、陶瓷、玻璃-陶瓷、蓝宝石或石英。
用非氟化源的混合物单步形成表面改性层
使用等离子体聚合膜来调节粘结表面的表面能以及粘结表面上的覆盖表面羟基的另一个例子是从非氟化气体源的混合物(包括含碳气体,例如,烃)来沉积表面改性层薄膜。表面改性层的沉积可以在大气压或者减压下进行,采用等离子体激发,例如DC或RF平行板、电感耦合等离子体(ICP)、电子回旋共振(ECR)、下游微波或RF等离子体。等离子体聚合表面改性层可以布置在载体和/或薄片上。如上文关于表3的实施例所述,等离子体聚合产生了高度交联材料的层。反应条件和源气体的控制可以用来控制表面改性层的膜厚度、密度和化学性质,以将官能团调节至所需用途,并且通过控制膜性质,可以调节粘结表面的表面能。可以对表面能进行调节从而控制粘结程度,即,从而防止用以在薄片上布置膜或结构的后续处理过程中的薄片与载体之间的永久性共价键合。
在下文表7的实施例中,使用各种条件在玻璃载体上沉积等离子体聚合膜。玻璃载体是由
Figure BDA0001119549430000351
Eagle
Figure BDA0001119549430000352
(铝硼硅酸盐不含碱显示器玻璃,购自纽约州康宁市康宁有限公司(Corning Incorporated,Corning NY))制造的基材。在膜沉积之前,使用SC1和/或SC2化学和标准清洁技术来清洁载体。在(购自英国牛津郡牛津仪器(Oxford Instruments,Oxfordshire UK))的Oxford Plasmalab 380ICP(电感耦合等离子体(ICP)配置模式)中沉积膜,其中,载***于台板上,向其施加特定瓦特数(记录在“RF偏压”栏内)的13.56MHz的RF能量,在台板上方布置线圈,向其施加特定瓦特数(记录在“线圈”栏内)的13.5MHz的RF能量。进入室中的甲烷(CH4)、氮气(N2)和氢气(H2)源气体的流量分别如CH4、N2和H2栏所示(流量是标准立方厘米每分钟,sccm)。CH4、N2和H2气体一起流动。还在“N2:CH4”栏中显示了N2:CH4源气体的比例,以及在“压力”栏内显示了室压力(单位,毫托)。因此,例如,对于实施例7g的表7的符号解读如下:在Oxford 380ICP设备中,15.4sccm的CH4,3.8sccm的N2,和30.8sccm的H2一起流入压力为5毫托的室中;1500W的13.5MHz的RF能量施加到喷头,以及50W的13.56MHz的RF能量施加到台板,在其上放置有载体。对于表7中的所有样品,台板温度为30C。其余实施例的符号可以以类似的方式解读。通过使用Wu模型以及三种不同测试液体(在该情况下,是去离子水(显示在“W”栏中)、十六烷(显示在“H”栏中)和双碘甲烷(“显示在DIM”栏中))的接触角(CA)的计算得到表面能,单位为mJ/m2(毫焦耳每平方米)。对于表面能,显示了极性分量(P)和色散分量(D)以及总和(T)。此外,在“厚度”栏中,显示了按照该特定实施例所记录的条件沉积的表面改性层的厚度值(单位,埃)。
Figure BDA0001119549430000353
实施例7a显示仅由甲烷制造的表面改性层。在这些沉积条件下,甲烷形成的表面改性层在载体上实现了仅约为44mJ/m2的表面能。虽然这对于玻璃-玻璃的受控粘结不是所需水平,但是这可用于使得聚合物粘结表面与玻璃载体粘结。
实施例7b-7e显示在各种N2:CH4比例下的甲烷和氮气的等离子体聚合制造的表面改性层。在这些沉积条件下,甲烷-氮气形成的表面改性层在载体上实现了约为61mJ/m2(实施例7e)至约为64mJ/m2(实施例7d)的表面能。这些表面能对于薄玻璃片与玻璃载体的可控粘结是足够的。
实施例7f显示甲烷和氢气(H2)的等离子体聚合制造的表面改性层。在这些沉积条件下,甲烷-氢气形成的表面改性层在载体上实现了约为60mJ/m2的表面能,这对于薄玻璃片与玻璃载体的可控粘结是足够的。
实施例7g-7j显示由甲烷、氮气和氢气的等离子体聚合制造的表面改性层。在这些沉积条件下,甲烷-氮气-氢气形成的表面改性层在载体上实现了约为58mJ/m2(实施例7g)至约为67mJ/m2(实施例7j)的表面能,这对于薄玻璃片与玻璃载体的可控粘结是足够的。
观察到,对于按照实施例7b-7j形成的表面改性层粘结的薄玻璃和载体,在450℃退火之后没有发生永久性粘附,即它们通过400℃温度测试的(c)部分。脱粘结能够去除薄玻璃上制造的器件,并能够对载体进行重新使用。
按照表7的实施例(7b-7j)粘结到各个载体的薄玻璃片是由
Figure BDA0001119549430000361
Figure BDA0001119549430000362
玻璃(铝硼硅酸盐无碱性玻璃,购自纽约州康宁市康宁有限公司)制造的基材,并且厚度为100、130和150微米。在粘结之前,用氧等离子体之后用SC1和/或SC2化学和标准清洁技术来清洁
Figure BDA0001119549430000363
玻璃。
在表7的实施例中,虽然其上布置表面改性层的粘结表面是玻璃,但不一定是这种情况。相反地,粘结表面可以是具有与玻璃相似表面能和性质的其他合适材料,例如,硅、多晶硅、单晶硅、陶瓷、玻璃-陶瓷、蓝宝石或石英。
表7的实施例的表面改性层是由单步工艺形成的。也就是说,通过由选择的气体混合物在合适条件下沉积表面改性层,来实现合适的表面能和包含极性基团。虽然实现了合适的气体和条件,但是工艺涉及一定量的复杂度来进行合适的气体混合物。因此,寻求更为简单的工艺。假定可以从两步工艺实现合适的表面能和合适的极性基团,其中,每个步骤会是简单且稳定的。具体来说,假定在第一步骤中,会沉积碳质表面改性层,而在第二步骤中,会对表面改性层进行处理以增加表面能并建立用于受控粘结的合适极性基团,其中,极性基团在表面改性层会与薄片发生粘结的表面处可以比它们在本体材料中更为集中。从表6的实施例发现,压力和线圈功率对于表面能具有最大影响。此外,还发现看上去随着偏压的增加和压力的下降,膜厚度增加。因此,从这些结果,选择作为起始点,来进一步研究处理来增加表面能和结合极性基团,无定形烃聚合物表面改性层沉积工艺为20sccm CH4 40sccmH2 5mT 1500/50W 60s,其产生厚度约为6.5nm的碳质表面改性层。对于基底表面改性层,在第二步骤中进行各种处理,如表8-11的实施例所示,以对待粘结薄片的表面改性层的表面处的极性基团及其浓度进行改性。虽然下文讨论了用于表面改性层的起始材料和处理材料的具体例子,但是,通常来说,从含碳源形成碳质层,然后通过后续处理加入极性基团。类似地,虽然实施例中显示了具体极性基团,但是其他也是可以的。
通过NH3处理,向碳质表面改性层引入极性基团
使用等离子体聚合膜来调节粘结表面的表面能并在其上产生替代的极性粘结位的另一个例子是从碳源(例如甲烷,含碳气体源)和从氢气(H2)沉积表面改性层薄膜,然后对刚形成的表面改性层进行氮处理。可以用例如氨等离子体处理来进行氮处理。表面改性层的沉积可以在大气压或者减压下进行,采用等离子体激发,例如DC或RF平行板、电感耦合等离子体(ICP)、电子回旋共振(ECR)、下游微波或RF等离子体。等离子体聚合表面改性层可以布置在载体和/或薄片上。如上文关于表3的实施例所述,等离子体聚合产生了高度交联材料的层。反应条件和源气体的控制可以用来控制膜厚度、密度和化学性质,以将官能团调节至所需用途,并且通过控制膜性质,可以调节粘结表面的表面能。在后续氨等离子体处理过程中形成的氮基极性基团,不与硅醇发生缩合引起永久性共价键合;因而能够控制用以在薄片上布置膜或结构的后续处理过程中的薄片与载体之间的粘结程度。
在下文表8的实施例中,使用各种条件在玻璃载体上沉积等离子体聚合表面改性层膜。玻璃载体是由
Figure BDA0001119549430000371
Eagle
Figure BDA0001119549430000372
(铝硼硅酸盐不含碱显示器玻璃,购自纽约州康宁市康宁有限公司(Corning Incorporated,Corning NY))制造的基材。在膜沉积之前,使用SC1和/或SC2化学和标准清洁技术来清洁载体。在(购自英国牛津郡牛津仪器(OxfordInstruments,Oxfordshire UK))的Oxford Plasmalab 380ICP(电感耦合等离子体(ICP)配置模式)中沉积表面处理,其中,载***于台板上,向其施加特定瓦特数的13.56MHz的RF能量,在台板上方布置线圈,向其施加特定瓦特数的13.5MHz的RF能量。对于施加的能量,更通常来说,数量显示为#/#W,其中在斜线之前的数字是施加到线圈(喷头)的瓦特数,而在斜线之后的数字是施加到台板的瓦特数。当只显示一个数字时,这是线圈的数字。进入室中的气体流量如表8所示(流量是标准立方厘米每分钟,sccm)。在表面改性层(SML)的等离子体处理中,室温度是30℃。因此,例如,对于实施例8a的表8的“表面处理”栏中的符号解读如下:在Oxford ICP设备中,40sccm的CH4流入压力为5毫托的室中;1500W的13.5MHz的RF能量施加到喷头;50W的13.56MHz的RF能量施加到台板,在其上放置有载体;室温度为30℃;以及沉积时间为60秒。余下实施例的表面处理栏中的符号可以以类似方式解读,不同之处在于,是在STSMultiplex PECVD(购自英国纽波特的SPTS公司(SPTS,Newport,UK))中进行表面处理。位于接地电极上的载体保持在200C,将气体引入通过13.56MHz RF驱动的喷头。又例如,在“等离子体处理”栏中,对于实施例8a的处理的符号解读如下:在按照实施例8a的表面处理栏的参数形成了表面改性层之后,然后向室供给100sccm的NH3,所述室的压力为1托,以及温度为200℃;向喷头施加300W的13.56MHz;以及处理进行60秒。其余实施例的“等离子体处理”栏中的符号以类似的方式解读。通过使用Wu模型以及三种不同测试液体(在该情况下,是去离子水、十六烷(H)和双碘甲烷(DIM))的接触角计算得到表面能,单位为mJ/m2(毫焦耳每平方米)。对于表面能,显示了极性分量(P)和色散分量(D)以及总和(T)。
Figure BDA0001119549430000381
实施例8a和8b显示后续用含氮气体(氨)处理的等离子体聚合烃表面改性层。在实施例8a的情况下,氨自身使用的是300W的功率,而在实施例8b中,氨用氦气稀释,并且在50W的较低功率进行聚合化。但是在每种情况下,在载体粘结表面上获得了足够的表面能,以允许其可控地与薄玻璃片粘结。实施例8c和8d显示等离子体聚合化烃表面改性层,其是通过含烃(甲烷)和含氢(H2)气体形成的,然后后续用含氮气体(氨)进行处理。在实施例8c的情况下,氨自身使用的是300W的功率,而在实施例8d中,氨用氦气稀释,并且在50W的较低功率进行聚合化。观察到,对于按照实施例8a-8d形成的表面改性层粘结的薄玻璃和载体,在450℃退火之后没有发生永久性粘附,即它们能够通过400℃温度测试的(c)部分。没有对这些样品进行脱气测试。此外,这些实施例足够牢固,以耐受FPD加工(包括上文所述的真空测试(1)、湿加工测试(2)和超声测试(5)),并且通过施加足够的剥离力仍然是可脱粘结的。脱粘结能够去除薄玻璃上制造的器件,并能够对载体进行重新使用。
按照表8的实施例粘结到各个载体的薄玻璃片是由
Figure BDA0001119549430000391
玻璃(铝硼硅酸盐无碱玻璃,购自纽约州康宁市康宁有限公司)制造的基材,并且厚度为100、130和150微米。在粘结之前,用氧等离子体之后用SC1和/或SC2化学和标准清洁技术来清洁
Figure BDA0001119549430000392
玻璃。
在表8的实施例中,虽然其上布置表面改性层的粘结表面是玻璃,但不一定是这种情况。相反地,粘结表面可以是具有与玻璃相似表面能和性质的其他合适材料,例如,硅、多晶硅、单晶硅、陶瓷、玻璃-陶瓷、蓝宝石或石英。
通过N2处理,向碳质表面改性层引入极性基团
使用等离子体聚合膜来调节粘结表面的表面能并在其上产生替代的极性粘结位的另一个例子是从碳源(例如含碳气体,例如甲烷)和从氢气H2沉积表面改性层薄膜,然后对刚形成的表面改性层进行氮处理。为了在表面改性层上形成氮基极性基团,可以通过N2气体的等离子体处理进行氮处理。表面改性层的沉积可以在大气压或者减压下进行,采用等离子体激发,例如DC或RF平行板、电感耦合等离子体(ICP)、电子回旋共振(ECR)、下游微波或RF等离子体。等离子体聚合表面改性层可以布置在载体和/或薄片上。如上文关于表3的实施例所述,等离子体聚合产生了高度交联材料的层。反应条件和源气体的控制可以用来控制表面改性层的膜厚度、密度和化学性质,以将官能团调节至所需用途,并且通过控制膜性质,可以调节粘结表面的表面能。在后续等离子体处理过程中形成的氮基极性基团,不与硅醇发生缩合引起永久性共价键合;因而能够控制用以在薄片上布置膜或结构的后续处理过程中的薄片与载体之间的粘结程度。
在下文表9的实施例中,使用各种条件对玻璃载体上沉积的等离子体聚合膜进行氮处理。玻璃载体是由
Figure BDA0001119549430000393
Eagle
Figure BDA0001119549430000394
(铝硼硅酸盐不含碱显示器玻璃,购自纽约州康宁市康宁有限公司(Corning Incorporated,Corning NY))制造的基材。在表面改性层沉积之前,使用SC1和/或SC2化学和标准清洁技术来清洁载体。在(购自英国牛津郡牛津仪器(Oxford Instruments,Oxfordshire UK))的Oxford Plasmalab 380ICP(电感耦合等离子体(ICP)配置模式)中沉积表面改性层,其中,载***于台板上,向其施加13.56MHz的50W的能量,在台板上方布置线圈,向其施加13.5MHz的50W的RF能量。20sccm的甲烷(CH4)和40sccm的氢气(H2)流入压力为5毫托的室中。对于表9中所列出的所有样品,表面处理时间为60秒,台板温度为30C。在前述沉积之后,用氮处理表面改性层。具体来说,在处理过程中,向台板施加特定瓦特数(记录在“RF偏压”栏内)的13.56MHz的RF能量,在台板上方布置线圈,向其施加特定瓦特数(记录在“线圈”栏内)的13.5MHz的RF能量。N2以40sccm的速率流入室内,持续时间如表中所列(单位,秒,s)。因此,例如,对于实施例9a的表9的氮处理的符号解读如下:在Oxford ICP设备中,40sccm的N2流入压力为5毫托的室中;1500W的13.5MHz的RF能量施加到喷头;以及300W的13.56MHz的RF能量施加到台板,在其上放置有载体;温度控制为30℃;以及处理时间为10秒。其余实施例的符号可以以类似的方式解读。通过使用Wu模型以及三种不同测试液体(在该情况下,是去离子水(显示在“W”栏中)、十六烷(显示在“HD”栏中)和双碘甲烷(“显示在DIM”栏中))的接触角(CA)的计算得到表面能,单位为mJ/m2(毫焦耳每平方米)。对于表面能,显示了极性分量(P)和色散分量(D)以及总和(T)。
Figure BDA0001119549430000401
实施例9a-9j显示可用于甲烷/氢气形成的表面改性层的氮处理的各种条件,从而可以获得各种表面能,即约为53mJ/m2(实施例9i)至约为63mJ/m2(实施例9b),其适用于与薄玻璃片粘结。在氮处理之后获得的这些表面能从(通过甲烷-氢气等离子体聚合化形成的基底层所获得的)约为42mJ/m2开始增加。观察到,对于按照实施例9a-9j形成的表面改性层粘结的薄玻璃和载体,在450℃退火之后没有发生永久性粘附,即它们通过400℃温度测试的(c)部分。没有对这些样品进行脱气测试。此外,这些实施例足够牢固,以耐受FPD加工(包括上文所述的真空测试(1)、湿加工测试(2)和超声测试(5)),并且通过施加足够的剥离力仍然是可脱粘结的。脱粘结能够去除薄玻璃上制造的器件,并能够对载体进行重新使用。
按照表9的实施例粘结到各个载体的薄玻璃片是由
Figure BDA0001119549430000413
玻璃(铝硼硅酸盐无碱玻璃,购自纽约州康宁市康宁有限公司)制造的基材,并且厚度为100、130和150微米。在粘结之前,用氧等离子体之后用SC1和/或SC2化学和标准清洁技术来清洁
Figure BDA0001119549430000414
玻璃。
在表9的实施例中,虽然其上布置表面改性层的粘结表面是玻璃,但不一定是这种情况。相反地,粘结表面可以是具有与玻璃相似表面能和性质的其他合适材料,例如,硅、多晶硅、单晶硅、陶瓷、玻璃-陶瓷、蓝宝石或石英。
通过依次的N2处理然后H2处理,向碳质表面改性层引入极性基团
使用等离子体聚合膜来调节粘结表面的表面能并在其上产生替代的极性粘结位的另一个例子是从碳源(例如甲烷,含碳气体源)和从氢气(H2)沉积表面改性层薄膜,然后对刚形成的表面改性层依次进行氮处理然后进行氢处理。表面改性层的沉积可以在大气压或者减压下进行,采用等离子体激发,例如DC或RF平行板、电感耦合等离子体(ICP)、电子回旋共振(ECR)、下游微波或RF等离子体。等离子体聚合表面改性层可以布置在载体和/或薄片上。如上文关于表3的实施例所述,等离子体聚合产生了高度交联材料的层。反应条件和源气体的控制可以用来控制表面改性层的膜厚度、密度和化学性质,以将官能团调节至所需用途,并且通过控制膜性质,可以调节粘结表面的表面能。在后续等离子体处理过程中形成的氮基极性基团,不与硅醇发生缩合引起永久性共价键合;因而能够控制用以在薄片上布置膜或结构的后续处理过程中的薄片与载体之间的粘结程度。
在下文表10的实施例中,使用各种条件对玻璃载体上沉积的等离子体聚合膜进行处理(氮气处理,然后依次是氢气处理)。玻璃载体是由
Figure BDA0001119549430000411
Eagle
Figure BDA0001119549430000412
(铝硼硅酸盐不含碱显示器玻璃,购自纽约州康宁市康宁有限公司(Corning Incorporated,CorningNY))制造的基材。在膜沉积之前,使用SC1和/或SC2化学和标准清洁技术来清洁载体。在(购自英国牛津郡牛津仪器(Oxford Instruments,Oxfordshire UK))的Oxford Plasmalab380ICP(电感耦合等离子体(ICP)配置模式)中沉积膜,其中,载***于台板上,向其施加13.56MHz的50W的能量,在台板上方布置线圈,向其施加13.5MHz的50W的RF能量。20sccm的甲烷(CH4)和40sccm的氢气(H2)流入压力为5毫托的室中。对于表9中所列出的所有样品,表面处理时间为60秒,台板温度为30C。在前述沉积之后,依次用氮然后用氢处理表面改性层。具体来说,在每种情况下,对于氮处理:40sccm的N2流入室中,向其施加1500W的13.5MHz的RF能量;室压力为5毫托;向台板施加50W的13.56MHz的RF能量;以及处理进行60秒。然后,在氢处理过程中,向台板施加特定瓦特数(记录在表10的“RF偏压”栏内)的13.56MHz的RF能量,在台板上方布置线圈,向其施加特定瓦特数(记录在“线圈”栏内)的13.5MHz的RF能量。H2以40sccm的速率流入室内,持续时间如表中所列(单位,秒,s)。因此,例如,对于实施例10a的表10的(如上文所述,在薄膜沉积以及对其进行N2处理之后)氢处理的符号解读如下:在Oxford ICP设备中,40sccm的H2流入压力为20毫托的室中;750W的13.5MHz的RF能量施加到喷头;以及50W的13.56MHz的RF能量施加到台板,在其上放置有载体;以及处理时间为15秒。其余实施例的符号可以以类似的方式解读。通过使用Wu模型以及三种不同测试液体(在该情况下,是去离子水(显示在“W”栏中)、十六烷(显示在“H”栏中)和双碘甲烷(“显示在DIM”栏中))的接触角(CA)的计算得到表面能,单位为mJ/m2(毫焦耳每平方米)。对于表面能,显示了极性分量(P)和色散分量(D)以及总和(T)。
Figure BDA0001119549430000421
可以在各种条件下对甲烷-氢气形成的等离子体聚合化表面改性层依次进行N2等离子体处理然后进行H2等离子体处理,以实现各种表面能。从表10可以看出,表面能从约60mJ/m2(实施例10d)变化至约64mJ/m2(实施例10a、10n、10o和10p),其适用于与薄玻璃片粘结。观察到,对于按照实施例10a-10p形成的表面改性层粘结的薄玻璃和载体,在450℃退火之后没有发生永久性粘附,即它们能够通过400℃加工测试的(c)部分。此外,这些实施例足够牢固,以耐受FPD加工(包括上文所述的真空测试(1)、湿加工测试(2)和超声测试(5)),并且通过施加足够的剥离力仍然是可脱粘结的。脱粘结能够去除薄玻璃上制造的器件,并能够对载体进行重新使用。
按照表10的实施例粘结到各个载体的薄玻璃片是由
Figure BDA0001119549430000431
玻璃(铝硼硅酸盐无碱玻璃,购自纽约州康宁市康宁有限公司)制造的基材,并且厚度为100、130和150微米。在粘结之前,用氧等离子体之后用SC1和/或SC2化学和标准清洁技术来清洁
Figure BDA0001119549430000432
玻璃。
在表10的实施例中,虽然其上布置表面改性层的粘结表面是玻璃,但不一定是这种情况。相反地,粘结表面可以是具有与玻璃相似表面能和性质的其他合适材料,例如,硅、多晶硅、单晶硅、陶瓷、玻璃-陶瓷、蓝宝石或石英。
作为表10的实施例的变化形式,还对甲烷形成的表面改性层依次进行氮处理然后进行氢处理。在该情况下,当通过等离子体聚合在玻璃载体上形成初始表面改性层时,仅使用了甲烷(没有使用氢气)。具体来说,40sccm的甲烷以5毫托的压力流动,功率为1500/50W,持续60秒。测得的表面能约为42mJ/m2。在依次用氮气处理(40sccm N2,5毫托压力,1500/50W功率,持续15秒)然后用氢气(40sccm H2,5毫托压力,1500/50W功率,持续15秒)处理之后,在载体粘结表面上实现的表面能增加到约64mJ/m2,适合使得薄玻璃片与玻璃载体粘结。
如上文所述,碳质表面改性层的依次N2和H2处理实现了约为64mJ/m2的表面能,并且以略低于氟化表面改性层通常情况的粘结前端速度形成了与薄玻璃片的初始室温粘结。对于表10中的实施例,观察到这些样品在450℃退火之后没有发生永久性粘附,即它们能够通过400℃加工测试的(c)部分。此外,这些实施例足够牢固,以耐受FPD加工(包括上文所述的真空测试(1)、湿加工测试(2)和超声测试(5)),并且通过施加足够的剥离力仍然是可脱粘结的。脱粘结能够去除薄玻璃上制造的器件,并能够对载体进行重新使用。
通过依次的N2-O2处理然后N2处理,向碳质表面改性层引入极性基团
基于尝试在表面上产生更多的极性酰亚胺基团以增加粘结前端速度的想法,开发了碳质表面改性层的依次N2-O2然后N2的等离子体处理。
在使用等离子体聚合膜来调节粘结表面的表面能并在其上产生替代的极性粘结位的该例子中,从碳源(例如含碳气体,例如甲烷)和从氢气H2沉积了碳质表面改性层薄膜,然后对刚形成的表面改性层依次进行N2-O2然后N2处理。表面改性层的沉积可以在大气压或者减压下进行,采用等离子体激发,例如DC或RF平行板、电感耦合等离子体(ICP)、电子回旋共振(ECR)、下游微波或RF等离子体。等离子体聚合表面改性层可以布置在载体和/或薄片上。如上文关于表3的实施例所述,等离子体聚合产生了高度交联材料的层。反应条件和源气体的控制可以用来控制表面改性层的膜厚度、密度和化学性质,以将官能团调节至所需用途,并且通过控制膜性质,可以调节粘结表面的表面能。在后续等离子体处理过程中形成的氮基极性基团,不与硅醇发生缩合引起永久性共价键合;因而能够控制用以在薄片上布置膜或结构的后续处理过程中的薄片与载体之间的粘结程度。
在下文表11的实施例中,使用各种条件对玻璃载体上沉积的等离子体聚合膜进行处理,以增加表面能和结合极性基团。玻璃载体是由
Figure BDA0001119549430000441
Eagle
Figure BDA0001119549430000442
(铝硼硅酸盐不含碱显示器玻璃,购自纽约州康宁市康宁有限公司(Corning Incorporated,CorningNY))制造的基材。在表面改性层沉积之前,使用SC1和/或SC2化学和标准清洁技术来清洁载体。
在步骤1中,在(购自英国牛津郡牛津仪器(Oxford Instruments,OxfordshireUK))的Oxford Plasmalab 380ICP(电感耦合等离子体(ICP)配置模式)中沉积表面改性层,其中,载***于台板上,向其施加13.56MHz的50W的能量,在台板上方布置线圈,向其施加13.5MHz的50W的RF能量。20sccm的甲烷(CH4)和40sccm的氢气(H2)流入压力为5毫托的室中。对于表11中所列出的所有样品,表面处理时间为60秒,台板温度为30C。
在前述的步骤1的沉积之后,在步骤2中,用氮和氧处理表面改性层。具体来说,在步骤2的处理过程中,向台板施加50W的13.56MHz的RF能量,在台板上方布置线圈,向其施加800W的13.56MHz的RF能量。N2和O2以特定速率(单位,sccm)流入室内,持续时间如表中所列(单位,秒,s)。因此,例如,对于实施例11a的表11的步骤2的符号解读如下:在步骤1的表面改性层沉积之后,在Oxford ICP设备中,35sccm的N2与5sccm的O2一起流入压力为15毫托的室中;800W的13.5MHz的RF能量施加到喷头;以及50W的13.56MHz的RF能量施加到台板,在其上放置有载体;温度控制为30℃;以及处理进行5秒。其余实施例的符号可以以类似的方式解读。
在前述的步骤2的处理之后,在步骤3中,用氮处理表面改性层。具体来说,在步骤3的处理过程中,向台板施加50W的13.56MHz的RF能量,在台板上方布置线圈,向其施加1500W的13.56MHz的RF能量。N2以特定速率(单位,sccm)流入室内,持续时间如表中所列(单位,秒,s)。因此,例如,对于实施例11a的表11的步骤3的符号解读如下:在步骤1的表面改性层沉积之后,以及在步骤2的氮-氧处理之后,在Oxford ICP设备中,40sccm的N2流入压力为5毫托的室中;1500W的13.5MHz的RF能量施加到喷头;以及50W的13.56MHz的RF能量施加到台板,在其上放置有载体;温度控制为30℃;以及处理进行15秒。其余实施例的符号可以以类似的方式解读。
通过使用Wu模型以及三种不同测试液体(在该情况下,是去离子水、十六烷和双碘甲烷)的接触角(CA)计算得到表面能,单位为mJ/m2(毫焦耳每平方米)。对于表面能,显示了总表面能(T,其同时包括极性分量和色散分量)。粘结能计算的单位是mJ/m2,如上文所述。在初始粘结之后的气泡数见标题为“23C%面积”栏所示,而400℃温度测试之后的气泡数如标题为“400C%面积”栏所示。通过如下文关于“脱气”所述的光学扫描仪确定气泡数。最后,从初始23℃的气泡面积变化到400℃温度测试之后的情况见标题为“Δ%面积”栏所示。
Figure BDA0001119549430000451
实施例11a-11e显示可用于甲烷/氢气形成的表面改性层的依次氮-氧处理之后氮处理的各种条件,从而可以获得各种表面能,即约为65mJ/m2(实施例11a-11e)至约为70mJ/m2(实施例11b-11d),其适用于与薄玻璃片粘结。在依次的氮-氧处理然后氮处理之后获得的这些表面能从(通过甲烷-氢气等离子体聚合化形成的基底层所获得的)约40-50mJ/m2开始增加。观察到,对于按照实施例11a-11f形成的表面改性层粘结的薄玻璃和载体,在400℃退火之后没有发生永久性粘附,即它们通过400℃温度测试的(c)部分。如实施例11a-11e所示,在400℃退火期间发生的%气泡面积变化与没有脱气一致。在另一方面,对于实施例11f,在400℃退火期间发生的%气泡面积变化与表面改性层中的材料的部分脱气一致。因此,根据表11的条件,为了获得没有脱气的表面改性层沉积,步骤3是重要的。但是,在步骤1和2的其他沉积/处理条件下,为了获得类似于实施例11a-e的步骤3所获得的没有脱气的结果,步骤3可能不是必要的。此外,这些实施例足够牢固,以耐受FPD加工(包括上文所述的真空测试(1)、湿加工测试(2)和超声测试(5)),并且在400℃温度测试之后,通过施加足够的剥离力仍然是可脱粘结的。脱粘结能够去除薄玻璃上制造的器件,并能够对载体进行重新使用。
表11显示这些依次步骤对于表面能、粘结能和起泡的影响。N2-O2步骤中氧分数的增加降低了表面能以及在脱气测试过程中增加了起泡。短暂(约5秒)的低氧份数(38/2)N2-O2步骤和后续短(15秒)的N2等离子体处理的性能(实施例11d)产生了69mJ/m2的表面能和400℃温度测试过程中1.2%的气泡面积(其在23℃的%气泡面积变化是-0.01,表明没有脱气)性能。在应用高至400℃温度测试时,样品11a-e的性能与氟化表面改性层相当。
按照表11的实施例粘结到各个载体的薄玻璃片是由
Figure BDA0001119549430000461
玻璃(铝硼硅酸盐无碱玻璃,购自纽约州康宁市康宁有限公司)制造的基材,并且厚度为100、130和150微米。在粘结之前,用氧等离子体之后用SC1和/或SC2化学和标准清洁技术来清洁
Figure BDA0001119549430000462
玻璃。
在表11的实施例中,虽然其上布置表面改性层的粘结表面是玻璃,但不一定是这种情况。相反地,粘结表面可以是具有与玻璃相似表面能和性质的其他合适材料,例如,硅、多晶硅、单晶硅、陶瓷、玻璃-陶瓷、蓝宝石或石英。
上文所述的实施例举例说明了如何能够将电感耦合等离子体(ICP)***用于沉积薄的有机表面改性层,其适用于将薄玻璃片受控粘结到玻璃载体,用于器件加工。但是,该解决方案对于显示器应用(其中,具有大面积的基材是有利的)可规模化是一个考虑因素。ICP工具采用平面、圆柱形或半球形线圈来电感耦合电流,以产生随时间变化的磁场,其引起离子循环。通常,将第二RF源连接到其上放置基材的台板。ICP等离子体的优势在于,ICP源可以实现高水平的离子化,不依赖于受控于台板RF源的基材偏压。目前的平行板反应性离子刻蚀(RIE)***无法实现如此高水平的离子化。此外,偏压和离子化通过RF功率和压力相关联。TEL和其他等已经将ICP蚀刻器规模化至Gen 5,但是更大规格对于产生均匀ICP等离子体源是具有挑战性的。另一方面,RIE模式加工适用于平行板工具,其已经规模化至Gen10。因此,本发明的发明人开发了以RIE模式工艺实现的方式,结果类似于ICP工具所实现的那些,如上文所述。
初始尝试从非氟化源材料通过简单利用Oxford(RIE模式,无线圈功率)和200W的偏压功率(相当于用于沉积氟化表面改性层的情况)来产生RIE模式的表面改性层,产生暗色的厚层,其可以被氮改性以用于粘结薄玻璃片。但是,这种暗色材料在经受400℃加工测试之后产生许多气泡,覆盖约25%的粘结面积。光谱椭圆对称法表征的暗色沉积显示膜厚约100nm并且展现出窄得多的光学带隙,0.6eV vs 1.7eV,对于ICP沉积的表面改性层。从该结果总结出,材料可能是石墨的(graphitic),以及增加氢含量会是降低起泡的考虑因素。
进行实验来俘获光学发射光谱(OES)谱图,来绘制RIE工艺变量,H2/CH4比例,RF功率,和压力。但是,在使用的Oxford工具的加工窗口中,这些比例无法相匹配。但是,该实验确实显示出,工艺会受益于形成聚合物气体的非常高的氢稀释,高RF功率,和低压力。
除了OES之外,为了引导工艺从ICP转变为RIE模式,使用残留气体分析(RGA)来绘制Oxford中存在的气相物质与RIE模式中的氢/甲烷比例、RF功率和压力的关系。m/e=/16vs压力和H2/CH4气体比例的高线图再次显示高的氢气稀释对于匹配约为44的ICP比例是有利的。更高阶的烷烃与降低的H2/CH4气体比例和增加的压力相关联。等高线图显示随着RF和H2/CH4气体比例的增加,m/e=28/16增加。拟合RGA响应表面暗示H2/CH4和C2H6/CH4比例可以在40:1H2/CH4、25毫托275W RF匹配。以该条件沉积的碳质RIE模式表面改性层与ICP模式碳质表面改性层的约6nm厚度和1.6eV的光学带隙匹配。碳质RIE表面改性层的氮等离子体处理的初始试验也显示低起泡。
如图14和15显示使用RGA试验鉴定的工艺的RIE模式碳质表面改性层沉积的动力学。如图14显示表面能,包括总表面能(T)以及极性分量(P)和色散分量(D)。如图14所示,表面能相对未变化,在60秒沉积时间具有轻微峰值,而在图15中,可以看到膜厚度在对数-对数规格上近乎线性增加。这不是自限制工艺,因为来自氢的深蚀刻(etch-back)无法跟上聚合物沉积。
如上文所述,从实验可以看出,≥约50或者≥65mJ/m2的表面能对于在初始室温粘结以及在热循环过程中使得气泡面积最小化都是有利的。从图14可以看出,表面能正好在边界线上。在一些情况下,这可能对于粘结薄片和载体是合适的,取决于其会经受的时间-温度循环,以及取决于其必须经受住的其他FPD工艺。但是,在另一方面,提升该表面改性层的表面能会是有利的。可以使用上文所述的任何依次处理,例如,氨处理,氮处理,依次的氮处理然后氢处理,氮-氧处理,依次的氮-氧处理然后氮处理。例如,将结合表12来描述氮-氧处理。
通过氮-氧处理,向碳质表面改性层引入极性基团
使用等离子体聚合膜来调节粘结表面的表面能并在其上产生替代的极性粘结位的另一个例子是以RIE模式从碳源(例如甲烷,含碳气体源)和从氢气(H2)沉积表面改性层薄膜,然后对刚形成的表面改性层进行氮-氧处理。可以用例如氮-氧等离子体处理来进行氮-氧处理。可以在大气压或者减压下进行表面改性层的沉积。等离子体聚合表面改性层可以布置在载体和/或薄片上。如上文关于表3的实施例所述,等离子体聚合产生了高度交联材料的层。反应条件和源气体的控制可以用来控制膜厚度、密度和化学性质,以将官能团调节至所需用途,并且通过控制膜性质,可以调节粘结表面的表面能。在后续氮-氧处理过程中形成的氮基极性基团,不与硅醇发生缩合引起永久性共价键合;因而能够控制用以在薄片上布置膜或结构的后续处理过程中的薄片与载体之间的粘结程度。
在下文表12的实施例中,使用各种条件在玻璃载体上沉积等离子体聚合表面改性层膜。玻璃载体是由
Figure BDA0001119549430000481
Eagle
Figure BDA0001119549430000482
(铝硼硅酸盐不含碱显示器玻璃,购自纽约州康宁市康宁有限公司(Corning Incorporated,Corning NY))制造的基材。在膜沉积之前,使用SC1和/或SC2化学和标准清洁技术来清洁载体。在(购自英国牛津郡牛津仪器(OxfordInstruments,Oxfordshire UK))的Oxford Plasmalab 380ICP(以RIE配置模式)中沉积表面改性层,其中,载***于台板上,向其施加275W的RF能量,在台板上方布置线圈,没有向其施加能量。在步骤1中,2sccm的甲烷(CH4)和38sccm的氢气(H2)流入压力为25毫托的室中。对于表12中所列出的所有样品,表面处理时间为60秒,台板温度为30C。在前述沉积之后,在步骤2中用氮和氧处理表面改性层。具体来说,在步骤2的处理过程中,向台板施加特定瓦特数的(记录在“RF”栏中的)13.56MHz的RF能量,在台板上方布置线圈,没有向其施加能量。N2以“N2”栏中所列的sccm速率流入室中,以及O2以“O2”栏中所列的sccm速率流入室中,持续时间(单位,秒,s)见表格的“时间(s)”栏所列。室处于压力,单位为毫托,如“Pr”栏所列。因此,例如,对于实施例12b的表12的步骤2的氮和氧处理的符号解读如下:在Oxford ICP设备中,25sccm的N2与25sccm的O2一起流入压力为10毫托的室中;300W的13.56MHz的RF能量施加到台板,在其上放置有载体;温度控制为30℃;以及处理时间为10秒。其余实施例的符号可以以类似的方式解读。
通过使用Wu模型以及三种不同测试液体(在该情况下,是去离子水(W)、十六烷(HD)和双碘甲烷(DIM))的接触角计算得到表面能,单位为mJ/m2(毫焦耳每平方米)。对于表面能,显示了极性分量(P)和色散分量(D)以及总和(T)。还显示了表面改性层的厚度(“th”,单位,埃),在沉积了表面改性层及其N2-O2处理之后的载体的平均表面粗糙度(“Ra”,单位,埃),粘结能(“BE”,单位,mJ/m2),以及%气泡面积变化(在室温下经由表面改性层使得薄玻璃片与载体初始粘结之后的气泡面积与将载体加热通过400℃工艺测试之后,之间的“Δ气泡面积”)。
Figure BDA0001119549430000491
按照表12的实施例粘结到各个载体的薄玻璃片是由
Figure BDA0001119549430000492
玻璃(铝硼硅酸盐无碱玻璃,购自纽约州康宁市康宁有限公司)制造的基材,并且厚度为100、130和150微米。在粘结之前,用氧等离子体之后用SC1和/或SC2化学和标准清洁技术来清洁
Figure BDA0001119549430000493
玻璃。
在表12的实施例中,虽然其上布置表面改性层的粘结表面是玻璃,但不一定是这种情况。相反地,粘结表面可以是具有与玻璃相似表面能和性质的其他合适材料,例如,硅、多晶硅、单晶硅、陶瓷、玻璃-陶瓷、蓝宝石或石英。
从表12的实施例的处理可以看出,在400℃加工之后:实施例12a-12j全都具有小于2的百分比气泡面积变化,这与该温度下没有脱气是一致的,参见表12的气泡%栏;并且同样地,样品12a、12b、12c、12g和12j分别具有能够在该温度测试之后实现薄片与载体脱粘结的粘结能,参见表12的BE栏;但是,实施例12d、12e、12f、12h和12i,无法在400℃加工测试之后脱粘结,如表12的BE栏的2500的值所示。
通过椭圆光度法,绘制按照表12的实施例的表面能、气泡面积、粘结能和厚度与%O2、RF和压力的关系。发现厚度下降与RF功率增加相关联(对比实施例12g和实施例12b),以及%O2与氢层的灰化一致(对比实施例12a和实施例12b)。粘结能仅取决于压力:在10毫托处理的样品可以在400℃的退火之后脱粘结(参见实施例12a、12b、12c、12g)。在35毫托和高于其的那些处理则不可以。参见,例如,以40毫托处理的实施例12d具有2500的粘结能,以及实施例12e具有70毫托的压力和2500的粘结能。“BE”栏中2500的粘结能表明薄玻璃片无法从载体脱粘结。所有经处理膜的表面能都是65-72mJ/m2,不依赖于厚度。参见实施例12a-12i和12k。这些结果表明高压力N2-O2等离子体处理产生了不连续的膜。事实上,高压力快速烧蚀膜,而较低压力是有利的。对于起泡,随着%O2*RF的增加,量看上去下降。此外,发现:随着%O2增加和RF增加,H2O分压增加;表面改性层厚度随着步骤2中压力的增加而下降,以及%气泡面积随着压力增加而增加(因此,步骤2期间较低的压力是有利的);随着处理时间增加,表面改性层厚度下降,以及极性基团减少,因而导致更短的处理时间是有利的。
寻求合适的粘结能与起泡之间的平衡。氮-氧处理的起始点是50%O2,10毫托300W和变化的加工时间。以20秒、60秒和180秒RIE CH4-H2沉积,之后0、5、15和60秒的N2-O2等离子体处理来制备三组样品。表面能和粘结能这两者的峰值都是在5-15秒N2-O2等离子体处理时间,不依赖于CH4-H2沉积时间。20秒CH4-H2薄层被烧蚀掉,并且薄玻璃片与载体永久粘结。在聚合物层烧蚀掉之前发生峰值,与在聚合物膜上形成极性基团一致,而不是简单地烧蚀暴露玻璃基材。随着表面改性层沉积时间的增加,气泡面积确实增加,因此,简单地增加表面改性层的厚度以避免后续N2-O2表面处理过程中过多的烧蚀是不利的。因此,粘结和气泡面积之间的良好折衷是表面改性层沉积时间和N2-O2处理之间的平衡。取决于表面改性层沉积时间(不过长,因为这样的话会导致过高的厚度,这导致脱气增加)与N2-O2处理时间(不过长以烧蚀或去除表面改性层,这导致载体与薄片的永久性粘结,但是足够长,以使得极性基团与表面改性层相结合)的平衡。良好的折衷是60秒的碳质层的RIE沉积,之后是5-10秒的短的N2-O2处理时间。实施例12a、12b、12c、12g和12k对于RIE模式工作良好。
在表面改性层上结合极性基团
使用XPS N1s物质形成来研究N2-O2等离子体处理产生高度极性表面的机制。为了研究并证实这些表面改性层的物质形成,研究在
Figure BDA0001119549430000512
玻璃晶片上沉积的较厚膜CH4/H2的表面化学性,从而使得它们实现玻璃的完全覆盖以及不同持续时间的后续N2/O2等离子体处理。厚的烃膜的优点在于,能够区分仅存在于烃膜的这些氮物质,并将它们与暴露玻璃上存在的那些分开。
Figure BDA0001119549430000513
玻璃晶片的表面组成首先暴露于600秒的CH4/H2等离子体,沉积厚的烃膜,之后是5、15、60和600秒的N2/O2等离子体。对于5秒和15秒处理,没有检测到玻璃中存在的元素(例如,Al和Ca),这表明在那些情况下,碳质膜层比XPS的探针深度(约为10nm)更厚。
将碳质膜暴露于N2/O2等离子体60秒和600秒,导致一定程度的碳质层的薄化,因为在那些情况下,XPS可以检测到玻璃中存在的元素。通过考虑碳的表面浓度进一步证实了该观察。对于60秒和600秒处理,C浓度小于10原子%,强烈表明对于那些情况表面被碳质层部分覆盖。
仅当蚀刻掉显著量的碳质层时,检测到NH3+物质。这非常强烈地表明NH3+物质可能仅存在于玻璃上,以及其他物质涉及氮和碳质层之间的主要反应。在下表13中显示了氮物质的物质形成作为表面上所有原子的百分比(即,物质分数x检测到的氮分数)。
Figure BDA0001119549430000511
可以看出,该N2-O2处理的主要作用是蚀刻碳质表面改性层。事实上,对于60和600秒处理,表面上存在非常少量的碳质材料。其他观察是,氮物质存在于表面改性层上,甚至是在非常短的N2-O2处理时间(例如,5和15秒)之后。之后,氮物质快速减少,而氨物质快速增加(表明存在于玻璃表面下方)。对于碳质表面改性层的5秒N2-O2等离子体处理的碳物质的XPS评估也揭示了在表面改性层上存在若干含氧和氮的不同物质。该含氧物质的存在导致认为单独的O2等离子体可能足以向表面改性层赋予极性基团。事实上,发现正是这种情况,如下文所述。
基于NH3+物质仅存在于玻璃上而不存在于碳质层上的假定,可以通过计算NH3+/Σ(所有氮化合物)的比例来估算表面覆盖。该表面覆盖估算的结果见图17。5秒和15秒之间变化非常小。最大的变化来自于15秒和60秒的N2-O2等离子体处理时间之间。
碳质表面改性层的N2-O2等离子体处理的模型如下。CH4-H2沉积产生连续的烃层。在第一秒的N2-O2等离子体处理中,随着烃层被氧化和烧蚀,在聚合物表面上形成极性-NH2基团。在此时还可能形成酰亚胺或酰胺基团,但是XPS不能确定。通过更长的N2-O2等离子体处理,聚合物去除到达玻璃表面,在该位置,从N2-O2等离子体与玻璃表面的相互作用形成极性的-NH3+基团。
单独的O2作为表面改性层的表面处理
作为碳质层的N2-O2处理的替代方式,还探究了使用单独的O2来增加表面能并在碳质层上产生极性基团。如上文所述,碳质层的5秒N2-O2等离子体处理的XPS碳物质显示,事实上在表面改性层上存在含氧物质。因此,尝试了碳质层的O2处理。以ICP模式和RIE模式这两者都进行了O2处理。
在ICP模式中,按照上表11中的步骤1形成了基底碳质层。然后通过流动40sccmO2、0sccm N2,以800/50W功率、15毫托压力,进行步骤2的表面处理,这产生所需的表面能增加,和所需的碳质层表面上的极性基团。在室温下,薄玻璃片容易地与表面改性层粘结。此外,该实施例观察到在450℃退火之后没有发生永久性粘附,即能够通过400℃加工测试的(c)部分。此外,该实施例足够牢固,以耐受FPD加工(包括上文所述的真空测试(1)、湿加工测试(2)和超声测试(5)),并且通过施加足够的剥离力仍然是可脱粘结的。脱粘结能够去除薄玻璃上制造的器件,并能够对载体进行重新使用。
在RIE模式中,按照表12中的步骤1形成了基底碳质层。然后通过流动50sccm O2、0sccm N2,200W功率、50毫托压力,进行步骤2的表面处理。类似于ICP模式,这些条件也产生所需的表面能增加,和所需的碳质层表面上的极性基团。在室温下,薄玻璃片容易地与表面改性层粘结。此外,该实施例观察到在450℃退火之后没有发生永久性粘附,即能够通过400℃加工测试的(c)部分。此外,该实施例足够牢固,以耐受FPD加工(包括上文所述的真空测试(1)、湿加工测试(2)和超声测试(5)),并且通过施加足够的剥离力仍然是可脱粘结的。脱粘结能够去除薄玻璃上制造的器件,并能够对载体进行重新使用。
因此,发现O2处理的行为类似于N2-O2处理。类似的考虑适用于初始表面改性层沉积时间(其增加了厚度)与O2处理时间的平衡。
少量氟
在ICP模式烃聚合物沉积碳质层的XPS分析中发现数个原子%的F,约为2.2%。这是痕量的,事实上Oxford被用于玻璃、电介质和金属的氟和氯蚀刻。发现少量的氟对于烃沉积的表面改性层性质是有利的。典型的反应器清洁过程是SF6-O2清洁,之后O2清洁和H2等离子体清洁。每个步骤长度为30分钟,并且其间包括泵/吹扫步骤。SF6-O2用于初始清洁,因为烃聚合物的蚀刻速率远高于单独的O2。H2等离子体清洁步骤应该从反应器壁上去除了大部分沉积的错配物氟。如果跳过H2等离子体清洁,会预期在烃表面改性层中结合较高量的氟。图16显示,跳过烃表面改性层的H2等离子体步骤的影响。粘结能下降,顶替了永久性粘结直至600℃,起泡没有大幅增加。因此,烃表面改性层中少量的氟,即,至少最高至约3%,是有利的。
表面粗糙度
探究了玻璃粘结表面由于沉积了烃形成的表面改性层的表面粗糙度变化。具体来说,选择甲烷-氢形成的表面改性层,其后续依次进行氮处理和之后进行氢处理。用甲烷-氢形成的表面改性层制备两个载体,之后依次原位N2等离子体处理然后H2等离子体处理(20CH4 40H2 5毫托1500/50W,持续60秒),然后40N2 5毫托1500/50W,持续15秒,然后40H215毫托1500/50W 15,持续15秒)。通过O2等离子体清洁然后通过SC1清洁,来去除第一载体(实施例14)的表面改性层。第二载体(实施例14b)的表面改性留在原位。使用第三载体(实施例14c)作为参照,对其没有施加表面改性层。使用AFM来评估施加了表面改性层然后剥除的载体(实施例14a)、其上仍具有表面改性层的载体(14b)和参照载体(实施例14c)的表面粗糙度。来自AFM测量的Rq、Ra和Rz如表14所示,单位为nm(纳米)。实施例14a和14b的粗糙度可以与实施例14c的情况相区分。应注意的是,对于实施例14c,在5x 5微米扫描中的过度的z范围是由于扫描区域中的颗粒。因此,看到本文的烃形成的表面改性层没有改变玻璃粘结表面的表面粗糙度。在某些情况下,粘结表面的未发生变化的粗糙度可能是有利的,例如,对于载体的重复利用。这些实施例中的玻璃载体是由
Figure BDA0001119549430000541
Eagle
Figure BDA0001119549430000542
(铝硼硅酸盐不含碱显示器玻璃,购自纽约州康宁市康宁有限公司(Corning Incorporated,CorningNY))制造的基材。
Figure BDA0001119549430000543
一般考虑
上文所述的实施例2-12中的薄片与载体的分离是在室温下进行的,没有加入任意其他热能或化学能来改性薄片和载体之间的粘结界面。仅有的能量输入是机械牵拉和/或剥离力。
由于实施例3和5-12的表面改性层是薄有机层,它们在热加工和等离子体加工中对于氧是敏感的。因此,应该在器件制造过程中保护这些表面改性层。应该通过在热加工过程中使用不含氧环境(例如,N2环境)来保护表面改性层。或者,在粘结的薄玻璃片和载体之间的界面边缘上沉积保护涂层(例如,薄金属层)足够保护表面改性层免受提升温度下氧环境的影响。
当薄片和载体两者都包括玻璃粘结表面时,上文实施例3-12中所述的表面改性材料可以施加到载体,施加到薄片,或者同时施加到会粘结在一起的载体和薄片表面。或者,当一个粘结表面是聚合物粘结表面而另一个粘结表面是玻璃粘结表面时(如下文进一步所述),上文实施例3-12中所述的合适的表面改性材料(基于聚合物粘结表面的表面能)会被施加到玻璃粘结表面。此外,整个载体或薄片不需要由相同材料制造,而是可以在其中包括不同层和/或材料,只要其粘结表面适合接收感兴趣的表面改性层即可。例如,粘结表面可以是玻璃、玻璃-陶瓷、陶瓷、硅或金属,其中,载体和/或薄片的余下部分可以是不同材料。此外,薄片20粘结表面可以是任意合适的材料,包括:例如,硅、多晶硅、单晶硅、蓝宝石、石英、玻璃、陶瓷或者玻璃-陶瓷。例如,载体10粘结表面可以是玻璃基材或者具有与玻璃相似表面能的其他合适材料,例如,硅、多晶硅、单晶硅、陶瓷、玻璃-陶瓷、蓝宝石或石英。
从本文所述的实施例可以看出,表面改性层,与其后续处理一同提供了宽泛地改变玻璃粘结表面上的表面能的方式。例如,对于所有实施例,看到玻璃粘结表面的表面能可以从约36mJ/m2(如实施例5g)变化到约80mJ/m2(实施例5f)。在单步工艺中使用非氟化源材料,而没有后续表面处理,看到玻璃粘结表面的表面能可以从约37mJ/m2(如实施例16b)变化到约67mJ/m2(实施例7h和7j)。使用碳质表面改性层,具有后续处理来增加极性基团,看到玻璃粘结表面的表面能可以从约52mJ/m2(实施例12j)变化到约74mJ/m2(实施例8a)。在单步工艺或者两步工艺中使用非氟化源材料,可以看到玻璃粘结表面的表面能可以从约37mJ/m2(实施例16b)变化到约74mJ/m2(实施例8a)。使用含氟源材料或者非含氟源材料来沉积表面改性层,对于进行后续处理,看到玻璃粘结表面的表面能可以从约41mJ/m2(实施例5m)变化到约80mJ/m2(实施例5f)。
此外,从本文的实施例可以看出,可以极大地改变表面改性层的厚度。对于厚度约为2nm(如实施例3)至约为8.8nm(如实施例12c)的表面改性层,获得所需结果。
受控粘结的用途
可再次使用的载体
经由表面改性层(包含材料和相关的粘结表面热处理)的受控粘结的一个用途是提供经受了要求温度≥600℃的加工(例如,LTPS加工)的制品中的载体的再次使用。如上文实施例2e、3a、3b、4c、4d和4e以及表5的实施例所示意,表面改性层(包括材料和粘结表面热处理)可以用于提供此类温度条件下的载体的再利用。具体来说,这些表面改性层可以被用于对(具有玻璃粘结表面的)薄片和(具有玻璃粘结表面的)载体的粘结区域之间重叠区域的表面能进行改性,从而可以在加工之后从载体分离整个薄片。可以一次性分离整个薄片,或者可以分区段地分离薄片,例如,首先去除部分薄片上产生的器件,然后去除余下部分,对载体进行清洁用于再次使用。在从载体去除整个薄片的情况下,可以简单地通过在其上放置另一个薄片来再次使用载体。或者,可以对载体进行清洁,并且通过再次形成表面改性层再一次地准备承载薄片。因为表面改性层防止了薄片与载体的永久粘结,它们可以被用于温度≥600℃的加工。当然,虽然这些表面改性层可以控制温度≥600℃的加工过程中的粘结表面能,但是它们也可用于产生这样的薄片和载体组合,该组合能够经受住较低温度下的加工,并且可用于此类较低温度的应用来控制粘结。此外,当制品的热加工不会超过400℃的情况时,如实施例2c、2d、4b、表7-11的实施例(包括表10的实施例的替代方式的实施例)、实施例12a、12b、12c、12g、12g以及仅O2的表面处理的实施例所示意的表面改性层,也可以以该相同方式使用。
使用本文所述的表面改性层,例如,包括表3的实施例、实施例4b、4c、4d、4e、表5和7-11的实施例、实施例12a、12b、12c、12g、12j以及仅O2的表面处理的实施例,的一个优势在于,载体可以以相同尺寸重复利用。也就是说,可以从载体去除薄片,通过非破坏性方式(例如,O2或其他等离子体清洁)从载体去除的表面改性层重复利用,而不需要以任意方式切割载体(例如,在其边缘切割)。
提供受控的粘结区域
经由表面改性层(包含材料和相关的粘结表面热处理)的受控粘结的第二个用途是在玻璃载体和玻璃薄片之间提供受控粘结区域。更具体地,通过使用表面改性层,可以形成受控粘结区域,其中,足够的分离作用力可以将薄片部分与载体分离而不会由于粘结造成薄片或载体的损坏,但是在整个加工中仍然维持足够的粘结力将薄片相对于载体保持在一起。参见图6,可以通过粘结区域40使得玻璃薄片20与玻璃载体10粘结。在粘结区域40中,载体10和薄片20相互共价键合,从而它们是作为一个整体的。此外,存在具有周界52的受控粘结区域50,其中载体10和薄片20是相连的,但是可以相互分离,即使是在高温加工(例如温度≥600℃的加工)之后。虽然图6显示10个受控粘结区域50,但是可以提供任意合适数量(包括1个)。如上文实施例2a、2e、3a、3b、4c、4d和4e以及表5的实施例所示意,表面改性层30(包括材料和粘结表面热处理)可以用于提供具有玻璃粘结表面的载体10和具有玻璃粘结表面的薄片20之间的受控粘结区域50。具体来说,可以在受控粘结区域50的周界52内形成这些表面改性层,它们是在载体10上或者是在薄片20上。因此,为了在粘结区域40中形成共价键合或者是在装置加工过程中,当在高温下加工制品2时,可以在周界52限定的区域内,在载体10和薄片20之间提供受控粘结,从而分离作用力可以分离该区域内的薄片和载体(而不造成薄片或载体的灾难性损坏),但是薄片和载体不会在加工过程(包括超声加工)中发生分层。因此,本申请通过表面改性层和任意相关热处理所提供的受控粘结能够基于US‘727中的载体概念得到改善。具体来说,虽然证实了US‘727的载体凭借其粘结周界和非粘结中心区域经受住了FPD加工(包括≥约600℃的高温加工),但是诸如湿清洁和抗蚀剂汽提加工(resist strip processing)之类的超声加工仍是具有挑战性的。具体来说,发现溶液中的压力波在薄玻璃的非粘结区域中诱发共振(非粘结如US‘727所述),因为在该区域中,几乎不存在或者不存在粘结了薄玻璃和载体的粘合力。会在薄玻璃中形成驻波,其中,如果超声振动具有足够强度的话,这些波可能引起会导致粘结和非粘结区域之间的界面处的薄玻璃破裂的振动。可以通过使得薄玻璃和载体之间的间隙最小化,或者通过提供足够的粘合,或者提供这些区域50中的载体20和薄玻璃10之间的受控粘结,来消除该问题。粘结表面的表面改性层(包括材料和任意相关的热处理,如实施例2a、2e、3a、3b、4c、4d、4e以及表5的实施例所示意)控制了粘结能,从而在薄片20上的玻璃粘结表面和载体10上的玻璃表面之间提供足够的粘结,以避免受控粘结区域中这些不合乎希望的振动。
然后,在具有周界57的所需部件56的抽取过程中,可以在加工之后以及在薄片沿着周界57分离之后,使得薄片20在周界52内的部分简单地与载体10分离。因为表面改性层控制了粘结能来防止薄片与载体的永久粘结,它们可以被用于温度≥600℃的加工。当然,虽然这些表面改性层可以控制温度≥600℃的加工过程中的粘结表面能,但是它们也可用于产生这样的薄片和载体组合,该组合能够经受住较低温度下的加工,并且可用于此类较低温度的应用。此外,当制品的热加工不会超过400℃的情况时,如实施例2c、2d、4b、表7-11的实施例(包括表10的实施例的替代方式的实施例)、实施例12a、12b、12c、12g、12g以及仅O2的表面处理的实施例所例举的表面改性层,也可以以该相同方式使用以控制粘结表面能,在一些情况下,取决于其他加工要求。
提供粘结区域
经由表面改性层(包含材料和相关的粘结表面热处理)的受控粘结的第三个用途是在玻璃载体和玻璃薄片之间提供粘结区域。参见图6,可以通过粘结区域40使得玻璃薄片20与玻璃载体10粘结。
在第三个用途的一个实施方式中,粘结区域40、载体10和薄片20可以相互共价键合,从而它们是作为一个整体的。此外,存在具有周界52的受控粘结区域50,其中载体10和薄片20是相互粘结的,其足以经受住加工,并且仍然允许薄片与载体分离,即使是在高温加工(例如温度≥600℃的加工)之后。因此,如上文实施例1a、1b、1c、2b、2c、2d、4a、4b、12d、12e、12f、12h和12i所示意,表面改性层30(包括材料和粘结表面热处理)可以用于提供载体10和薄片20之间的粘结区域40。具体来说,可以在受控粘结区域50的周界52外形成这些表面改性层和热处理,它们是在载体10上或者是在薄片20上。因此,当在高温下加工制品2,或者当在高温下处理制品2以形成共价键时,载体和薄片20会在周界52限定的区域外的粘结区域40内相互粘结。然后,在具有周界57的所需部件56的抽取过程中,当希望切割薄片20和载体10时,可以沿着线5分离制品,因为这些表面改性层和热处理使得薄片20与载体10发生共价键合,所以它们在该区域中是一个整体。因为表面改性层提供了薄片与载体的永久共价粘结,它们可以被用于温度≥600℃的加工。此外,当制品的热加工或者初始形成粘结区域40的热加工会是≥400℃但是小于600℃时,还可以这种相同方式来使用表面改性层(如实施例4a所示意的材料和热处理)。
在第三个用途的第二个实施方式中,在粘结区域40中,可以经由上文所述的各种表面改性层,通过受控粘结,使得载体10与薄片20相互粘结。此外,存在具有周界52的受控粘结区域50,其中载体10和薄片20是相互粘结的,其足以经受住加工,并且仍然允许薄片与载体分离,即使是在高温加工(例如温度≥600℃的加工)之后。因此,如果会在高至600℃的温度进行加工,并且不希望在区域40中具有永久或共价键合,则可以使用如上文的实施例2e、3a、3b、4c、4d、4e以及表5的实施例所示意的表面改性层30(包括材料和粘结表面热处理),以提供载体10的玻璃粘结表面和薄片20的玻璃粘结表面之间的粘结区域40。具体来说,可以在受控粘结区域50的周界52外形成这些表面改性层和热处理,它们可以形成在载体10上或者是在薄片20上。形成的受控粘结区域50可以具有与粘结区域40中形成的相同或不同的表面改性层。或者,如果会在仅高至400℃的温度进行加工,并且不希望在区域40中具有永久或共价键合,则可以使用如上文的实施例2c、2d、2e、3a、3b、4b、4c、4d、4e、表5的实施例、表7-11的实施例(包括讨论作为表10的实施例的替代方式的实施例)、实施例12a、12b、12c、12g、12g以及仅O2的表面处理的实施例所示意的表面改性层30(包括材料和粘结表面热处理),以提供载体10的玻璃粘结表面和薄片20的玻璃粘结表面之间的粘结区域40。
作为区域50中受控粘结的替代,可以在区域50中存在非粘结区域,其中所述非粘结区域可以是表面粗糙度增加的区域(如US‘727所述),或者可以通过如实施例2a所示意的表面改性层来提供。
整体退火或整体加工
上文所述的受控粘结方式的第四个用途是玻璃片堆叠的整体退火。退火是实现玻璃压实的热工艺。压实涉及将玻璃体再加热至如下温度,该温度低于玻璃软化点但是高于后续加工步骤中所达到的最大温度。这在后续加工之前而不是后续加工过程中实现了玻璃中的结构重排和尺度松弛。后续加工之前的退火对于在后续加工过程中维持精确对准和/或玻璃体中的平坦度是有利的,因为在平板显示器装置的制造中,由许多层制造的结构需要以非常严格的容差进行对准,甚至是在经受高温环境之后。如果玻璃在一个高温过程中压实,则在高温过程之前沉积到玻璃上的结构层可能无法与在高温过程之后沉积的结构层准确地对准。
将堆叠中的玻璃片压实在经济上来说是诱人的。但是,这需要相邻片材的夹层或分离,以避免粘住。同时,维持片材的极为平坦并具有光学质量或原始表面精整是有益的。此外,对于某些玻璃片堆叠,例如具有小表面积的片材,在退火过程中将玻璃片“粘”在一起可能是有利的,从而它们可以容易地作为一个单位移动而不发生分离,但是在退火过程之后容易地(通过例如剥离)相互分离,从而可以单独地使用片材。或者,如下方式可能是有利的:对玻璃片堆叠进行退火,其中防止了玻璃片中选择的片材相互永久粘合,同时玻璃片的其他片材或部分的这些其他玻璃片(例如它们的周界)相互永久粘合。又或者,如下方式可能是有利的:在整体中堆叠玻璃片,使得堆叠中选择的相邻片材对的周界选择性地永久粘合。上文所述的控制玻璃片之间的粘合的方式可以被用于实现前述整体退火和/或选择性粘结。为了控制相邻片材之间的任意特定界面处的粘结,可以在朝向该界面的主表面中的至少一个上使用表面改性层。
下面将参见图7和8描述适用于整体退火或选定区域(例如绕着周界)中的整体永久粘结的玻璃片堆叠的一个实施方式。其中,图7是玻璃片770-772的堆叠760的侧视示意图,图8是其分解图,出于进一步解释的目的。
玻璃片的堆叠760可包括玻璃片770-772,以及表面改性层790(以控制玻璃片770-772之间的粘结)。此外,堆叠760可包括布置在堆叠顶部和底部的覆盖片780、781,并且可包括覆盖和相邻玻璃片之间的表面改性层790。
如图8所示,玻璃片770-772分别包括第一主表面776和第二主表面778。玻璃片可以由任意合适的玻璃材料制得,例如铝硅酸盐玻璃、硼硅酸盐玻璃或者铝硼硅酸盐玻璃。此外,玻璃可以是含碱或者不含碱的。玻璃片770-772可以分别具有相同组成,或者片材可以是不同组成。此外,玻璃片可以是任意合适的类型。也就是说,例如玻璃片770-772可以全部是上文所述的载体,可以全部是上文所述的薄片,或者可以交替地是载体和薄片。当载体相对于薄片对于整体退火要求不同的时间-温度循环时,具有载体堆叠和分开的薄片堆叠可能是有利的。或者,通过正确的表面改性层材料和放置,可能希望具有交替的载体和薄片的堆叠,从而如果希望的话,载体和薄片对(即形成制品的那些)可以在整体中、在稍后的加工中相互共价键合,同时保留将相邻制品相互分开的能力。此外,堆叠中可以具有任意合适数量的玻璃片。也就是说,虽然图7和8中仅仅显示三块玻璃片770-772,但是在堆叠760中可以包含任意合适数量的玻璃片。
在任意具体堆叠760中,任意一块玻璃片可以不包含表面改性层,包含一层表面改性层或者包含两层表面改性层。例如,如图8所示,片材770不包含表面改性层,片材771在其第二主表面778上包含一层表面改性层790,以及片材772包含两层表面改性层790,其中,在其主表面776、778上分别具有一层此类表面改性层。
覆盖片780、781可以是合适地耐受对于给定工艺的时间-温度循环(不仅仅是时间和温度,还相对于诸如类似脱气之类的其他相关考虑)的任意材料。有利地,覆盖片可以由与进行加工的玻璃片相同的材料制得。当存在覆盖片780、781,并且在使得堆叠通过给定的时间-温度循环之后,它们会不合乎希望地与玻璃片发生粘结的情况下,可以在玻璃片771和覆盖片781之间和/或玻璃片772与覆盖片780之间包含表面改性层790,如果合适的话。当存在于覆盖和玻璃片之间时,表面改性层可以在覆盖上(如覆盖781和相邻片771所示),表面改性层可以在玻璃片上(如覆盖780和片材772所示),或者表面改性层可以同时在覆盖和相邻片上(未示出)。或者,如果存在覆盖片780、781但是它们是不会与相邻片材772、772发生粘结的材料,则在其间不需要表面改性层790。
在堆叠中的相邻片材之间,存在界面。例如,在玻璃片770-772的相邻片材之间,限定了界面,即片材770和片材771之间的界面791以及片材770和片材772之间的界面792。此外,当存在覆盖片780、781时,在覆盖781和片材771之间存在界面793,以及在片材772和覆盖780之间存在界面794。
为了控制相邻玻璃片之间的给定界面791、792处的粘结,或者玻璃片和覆盖片之间的给定界面793、794处的粘结,可以使用表面改性层790。例如,如所示,在界面791、792分别存在位于朝向该界面的至少一个主表面上的表面改性层790。例如,对于界面791,玻璃片771的第二主表面778包含表面改性层790,以控制片材771和相邻片材770之间的粘结。虽然未示出,但是片材770的第一主表面776也可在其上包含表面改性层790,以控制与片材771的粘结,即在朝向任意特定界面的每个主表面上都可以有表面改性层。
在任意给定界面791-794,可以为朝向该特定界面791-794的主表面776、778选择特定的表面改性层790(以及任意相关的表面改性处理,例如向特定表面施加特定表面改性层之前,该特定表面上的热处理,或者可能与表面改性层接触的表面的表面热处理),以控制相邻片材之间的粘结,从而对于堆叠760所经受的给定时间-温度循环,实现所需的输出。
如果希望在高至400℃的温度对玻璃片770-772的堆叠进行整体退火,并且在退火过程之后使得每块玻璃片相互分离,则可以采用根据实施例2a、2c、2d、2e、3a、3b、4b-4e、表5的实施例、表7-11的实施例(包括讨论作为表10的实施例的替代方式的实施例)、实施例12a、12b、12c、12g、12g或者仅O2表面处理的实施例中任一项的材料,结合任意相关的表面准备,来控制任意特定界面(例如界面791)的粘结。更具体来说,会将片材770的第一表面776视作表2-4中的“薄玻璃”,而会将片材771的第二表面778视作表2-4中的“载体”,反之亦可。然后可以基于所需的压实程度、堆叠中的片材数量以及片材的尺寸和厚度,来选择具有高至400℃温度的合适的时间-温度循环,从而在整个堆叠中实现所要求的时间-温度。
类似地,如果希望在高至600℃的温度对玻璃片770-772的堆叠进行整体退火,并且在退火过程之后使得每块玻璃片相互分离,则可以采用根据实施例2a、2e、3a、3b、4c、4d、4e或者表5的实施例中任一项的材料,结合任意相关的表面准备,来控制任意特定界面(例如界面791)的粘结。更具体来说,会将片材770的第一表面776视作表2-4中的“薄玻璃”,而会将片材771的第二表面778视作表2-4中的“载体”,反之亦可。然后可以基于所需的压实程度、堆叠中的片材数量以及片材的尺寸和厚度,来选择具有高至600℃温度的合适的时间-温度循环,从而在整个堆叠中实现所要求的时间-温度。
此外,可以通过合适地配置片堆叠以及它们各对之间的表面改性层,来预先形成整体退火和整体制品成形。如果希望在高至400℃的温度对玻璃片770-772的堆叠进行整体退火,然后整体中共价键合的相邻片材对相互形成制品2,则可以为受控粘结选择合适的材料和相关的表面准备。例如,绕着周界(或者其他所需的粘结区域40),可以采用如下方式控制待形成制品2的玻璃片对(例如片材770和771)之间的界面处的粘结:(i)根据实施例2c、2d、4b、表7-11的实施例(包括讨论作为表10的实施例的替代方式的实施例)、实施例12a、12b、12c、12g、12g或者仅O2表面处理的实施例中任一项的材料,连同任意相关的表面准备,绕着片材770、771的周界(或者其他所需的粘结区域40);以及(ii)根据实施例2a、2e、3a、3b、4c、4d、4e或表5的实施例中任一项的材料,连同任意相关的表面准备,在片材770、771的内部区域上(即,(i)中处理的周界的内部区域,或者希望使得片材相互分开的所需的受控粘结区域50)。在这种情况下,然后可以在高至600℃的温度对受控粘结区域50中的装置进行加工。
可以对材料和热处理进行适当选择,以使得相互兼容。例如,任意材料2c、2d或4b可以用于粘结区域40,根据实施例2a的材料用于受控粘结区域。或者,可以对粘结区域和受控粘结区域的热处理进行适当控制,以使得在一个区域中的热处理的效应对于相邻区域中所需的粘结程度的负面影响最小化。
在为堆叠中的玻璃片适当地选择了表面改性层790和相关的热处理之后,可以将这些片材适当地排列成堆叠,然后加热到高至400℃,使得整个堆叠中的所有片材进行整体退火,而不使得它们相互永久粘结。然后,可以将堆叠加热到高至600℃,以在相邻片对的所需的粘结区域中形成共价键,以形成具有粘结区域和受控粘结区域图案的制品2。可以用实施例2a、2e、3a、3b、4c、4d、4e、表5的实施例的材料和相关热处理,对有待通过粘结区域40发生共价键合以形成制品2的一对片材与形成分开但相邻的制品2的另一对此类片材之间的界面处的粘结进行控制,从而相邻制品2不会相互共价键合。以这种相同的控制相邻制品之间的粘结的方式,可以控制制品与存在于堆叠中的任意覆盖片之间的粘结。
此外,类似于上文所述,可以从堆叠760在整体中形成制品2,而不用事先对该相同的堆叠760进行退火。相反地,片材可以是已经经过分开的退火,或者在不同的堆叠中进行退火并从其分离,之后出于堆叠中所需的受控粘结对它们进行配置,以在整体中产生制品。从紧接上文所述的整体退火方式,然后从一个且相同的堆叠在整体中形成制品,简单地省略了整体退火。
虽然仅仅详细描述了界面791处的受控粘结的方式,但是显然可以在界面792或者(在堆叠中存在不止三块玻璃片的情况下,或者覆盖片会不合乎希望地与玻璃片粘结的情况下)特定堆叠中可能存在的任意其他界面处进行相同的方式。此外,虽然可以在存在的任意界面791、792、793、794处使用相同的控制粘结的方式,但是也可以在不同界面处使用上文所述的不同的控制粘结的方式,以所需的粘结类型的形式产生相同或不同的输出。
在上文所述的整体退火过程中,或者在整体中形成制品2时,当HMDS用作控制界面处的粘结的材料,并且HMDS暴露于堆叠的外周界时,当希望防止HMDS区域中的共价键合时,应该在无氧气氛中进行高于约400℃的加热。也就是说,如果(在高于约400℃的温度)使得HMDS暴露于气氛中足以使得HMDS氧化的氧含量,则HMDS发生氧化的任意此类区域中的粘结会变成相邻玻璃片之间的共价键合。在较高温度下(例如高于约400℃),其他烷基烃硅烷会类似地暴露于氧气的影响,例如乙基、丙基、丁基或甾基硅烷。类似地,如果表面改性层使用其他材料,则应该对整体退火的环境进行选择,从而使得材料不会随着退火的时间-温度循环而降解。如本文所用,不含氧可以表示为氧浓度小于1000ppm,以体积计,更优选小于100ppm,以体积计。
一旦对片堆叠进行了整体退火,可以从堆叠分离单独的片材。可以对单独的片材进行处理(例如,通过氧等离子体,在≥400℃的温度下在氧环境中加热,或者通过化学氧化,SC1或SC2),以去除表面改性层790。单独的片材可以按需用作例如电子器件基材,如OLED、FPD或PV装置。
上文所述的整体退火或整体加工的方法具有以经济地方式维持清洁片表面的优势。更具体地,无需从头到尾将片材保持在干净环境中,如同清洁室退火玻璃退火炉那样。相反地,可以在干净环境中形成堆叠,然后在标准退火玻璃退火炉(即,清洁度没有受控制的那种)中进行加工,因为在片材之间没有流体流动,所以不会造成片表面变脏。因此,保护了片表面免受片堆叠进行退火的环境的影响。在退火之后,可以容易地将片堆叠转移到另一加工区域(在相同设备或者不同设备中),因为片材维持了一定程度的粘合,但是在受到足够的作用力之后也保持可相互分离而不会损坏片材。也就是说,(例如)玻璃制造商可以对玻璃片堆叠进行装配和退火,然后将片材作为在运输过程中保持在一起的堆叠进行转移(而不用担心它们在运输过程中的分离),在抵达其最终位置之后,可以由消费者从堆叠分离片材,所述消费者可能使用单块片材或者使用较小组的片材。一旦希望分离的话,则可以再次在干净环境中加工片堆叠(如果需要的话在堆叠清洗之后)。
整体退火的实施例
使用从熔合拉制工艺刚得到的玻璃基材。熔合拉制玻璃组成如下,以摩尔%计:SiO2(67.7)、Al2O3(11.0)、B2O3(9.8)、CaO(8.7)、MgO(2.3)、SrO(0.5)。使用HF,通过具有200nm深的基准/游标的平版印刷法,将七块(7块)0.7mm厚、直径150mm的熔合拉制玻璃基材图案化。将两纳米(2nm)的等离子体沉积的含氟聚合物作为表面改性层涂覆到所有玻璃基材的所有粘结表面上,即基材朝向另一基材的每个表面都得到涂覆,如此得到的每块片表面的表面能约为35mJ/m2。将7块经涂覆的单独的玻璃基材放在一起以形成单个、厚的基材(称作“玻璃堆叠”)。将玻璃堆叠在氮气吹扫的管式炉中退火,在15分钟的时间段内从30℃升温至590℃,在590℃保持30分钟,然后在50分钟的时间段内降温至约230℃,然后从炉中取出玻璃堆叠,并在约10分钟内冷却至约30℃的室温。在冷却之后,从炉中取出基材,采用剃刀片楔容易地将基材分成单独的片材(即样品没有发生整体或局部的永久粘结)。通过将玻璃基准与未退火的石英参照进行对比,测量各个单独基材上的压实。发现单独基材被压实至约185ppm。两块基材作为单独的样品(没有堆叠在一起)进行如上所述的第二次退火循环(590℃/保持30分钟)。再次测量压实,发现由于第二次加热处理(相比于原始玻璃尺度,第二次热处理之后的玻璃尺度变化减去第一次热处理之后的玻璃尺度变化)进一步压实至小于10ppm(实际为0-2.5ppm)。因此,本发明的发明人证实了可以对单独的玻璃片进行涂覆、堆叠和高温热处理,以实现压实、冷却、分离成单个片材,并且在第二次热处理之后具有<10ppm(甚至<5ppm)的尺度变化(相比于其在第一次热处理之后的尺寸)。
虽然上文所述的退火实施例中用氮气吹扫炉子,但是也可用其他气体吹扫退火炉子,包括空气、氩气、氧气、CO2或其组合,这取决于退火温度以及在特定环境中、这些温度下的表面改性层材料的稳定性。或者,对于惰性气氛,上文所述的炉可以在真空环境退火。
此外,虽然未示出,但是玻璃可以以卷绕的形式(而非片材的形式)进行退火。也就是说,可以在玻璃带的一侧或两侧上形成合适的表面改性层,然后对带材进行卷绕。整个带材可以经受上文对于片材所述的相同处理,整个卷绕的玻璃在退火之后,一圈上的玻璃不会粘住相邻另一圈上的玻璃。在解绕之后,可以通过任意合适的工艺去除表面改性层。
脱气
用于典型晶片粘结应用的聚合物粘合剂通常厚10-100微米,在其温度限或温度限附近损失其质量的约5%。对于从厚的聚合物膜发展来的此类材料,容易通过质谱对质量损耗或脱气进行定量化。另一方面,测量厚度小于或等于约10nm的薄表面处理的脱气更具有挑战性,例如上文所述的等离子体聚合物或自装配单层表面改性层以及热解硅油的薄层。对于此类材料,质谱的灵敏度不够。但是,存在许多其他方式来测量脱气。
测量少量脱气的第一种方式是基于表面能测量,将参照图9进行描述。为了进行该测试,可以使用如图9所示的设定。其上具有待测试的表面改性层的第一基材或载体900存在表面902,即对应于待测试的表面改性层30的组成和厚度的表面改性层。放置第二基材或覆盖910,使其表面912紧密靠近载体900的表面902,但是不与其发生接触。表面912是未涂覆的表面,即制得覆盖的材料的裸表面。在载体900和覆盖910之间的各点处放置隔离物920,从而将它们保持分开的关系。隔离物应该足够厚,从而将覆盖910与载体900分开,以实现材料的相互移动,但是隔离物应该足够薄从而在测试期间,室气氛对于表面902和912的污染量被最小化。载体900、隔离物920和覆盖910一起形成测试制品901。
在组装测试制品901之前,测量裸表面912的表面能,作为表面902(即其上提供有表面改性层的载体900的表面)的表面能。表面能如图10所示,通过将三种测试液体(水、二碘甲烷和十六烷)的接触角与Wu模型拟合,来同时测量极性分量和色散分量。
在组装之后,将测试制品901放入加热室930中,加热通过时间-温度循环。在大气压和流动N2气体(即,以箭头940的方向,以2标准升每分钟的速率流动)条件下,进行加热。
在加热循环过程中,表面902的变化(包括由于例如蒸发、热解、分解、聚合、与载体反应以及去湿导致的表面改性层的变化)通过表面902的表面能的变化得以证实。表面902的表面能自身的变化不一定意味着表面改性层已经发生脱气,但是确实表明的是材料在该温度下的整体不稳定性,因为由于例如上文所述的机制导致其特性的变化。因此,表面902的表面能变化越小,表面改性层越稳定。另一方面,因为表面912与表面902的紧密接近,从表面902脱气的任意材料会被收集在表面912上并会改变表面912的表面能。因此,表面912的表面能的变化是表面902上存在的表面改性层的脱气的代理。
因此,脱气的一种测试使用覆盖表面912的表面能的变化。具体来说,如果表面912的表面能的变化≥10mJ/m2,则存在脱气。该大小的表面能变化与会导致膜粘附损失或者材料性质和装置性能裂化的污染相符合。≤5mJ/m2的表面能变化接近于表面能测量的可重复性和表面能的不均匀性。该小的变化与最小脱气相符合。
在产生图10的结果的测试中,载体900、覆盖910和隔离物920由Eagle XG玻璃(购自纽约州康宁市康宁有限公司的无碱铝硼硅酸盐显示器等级玻璃)制得,但是不一定是这种情况。载体900和覆盖910的直径为150mm,厚为0.63mm。通常,载体910和覆盖920分别是由希望进行脱气测试的载体10和薄片20的相同材料制得的。在该测试过程中,硅隔离物厚0.63mm、宽2mm且长8cm,从而在表面902和912之间形成0.63mm的间隙。在该测试过程中,在MPT-RTP600s快速热加工设备中整合室930,其以9.2℃/分钟的速率从室温循环至测试限温度,在该测试限温度保持如图“退火时间”所示的各种时间,然后以炉速率冷却至200℃。在烘箱冷却至200℃之后,取出测试制品,在测试制品冷却至室温之后,再次分别测量表面902和912的表面能。因此,举例来说,对于材料#1,线1003,测试到限值温度450℃的覆盖表面能的变化数据,数据收集如下。0分钟的数据点显示75mJ/m2(毫焦每平方米)的表面能,其是裸玻璃(即还没有进行时间-温度循环)的表面能。1分钟的数据点表明进行了如下时间-温度循环之后测得的表面能:将(在载体900上具有材料#1用作表面改性层以存在表面902的)制品901放入室温和大气压下的加热室903中;以9.2℃/分钟的速率将室加热至450℃的测试限温度,N2气体流量为2个标准升/分钟,以及在450℃的测试限温度保持1分钟;然后以1℃/分钟的速率将室冷却至300℃,然后从室930取出制品901;然后(在没有N2流动气氛的情况下)将制品冷却至室温;然后测量表面912的表面能,并绘制作为线1003上1分钟的点。然后以类似的方式确定材料#1余下的数据点(线1003、1004)以及材料#2的数据点(线1203、1204)、材料#3的数据点(线1303、1304)、材料#4的数据点(线1403、1404)、材料#5的数据点(线1503、1504)材料#6的数据点(线1603和1604)以及材料#7(线1703、1704),退火时间(分钟)对应于测试限温度(450℃或600℃,合适即可)的保持时间。以类似的方式确定表示对应表面改性层材料(材料#1-7)的表面902的表面能的线1001、1002、1201、1202、1301、1302、1401、1402、1501、1502、1601、1602、1701和1702的数据点,不同之处在于,在每次时间-温度循环之后测量表面902的表面能。
对于如下所示的7种不同材料进行上述组装过程和时间-温度循环,结果如图10所示。在7种材料中,材料#1-4和7对应上文所述的表面改性层材料。材料#5和#6是比较例。
材料#1是CHF3-CF4等离子体聚合的含氟聚合物。该材料与上文的实施例3b中的表面改性层材料一致。如图10所示,线1001和1002显示载体的表面能没有明显变化。因此,该材料在450-600℃的温度是非常稳定的。此外,如线1003和1004所示,覆盖的表面能也没有明显变化,即变化≤5mJ/m2。因此,在450-600℃,没有与该材料相关的脱气。
材料#2是苯基硅烷,从1%的苯基三乙氧基硅烷的甲苯溶液沉积,并在190℃的真空烘箱中固化30分钟的自装配单层(SAM)。该材料与上文的实施例4c中的表面改性层材料一致。如图10所示,线1201和1202表明载体上的表面能的部分变化。如上所述,这表明表面改性层的部分变化,作为对比,材料#2稍微没有材料#1那么稳定。但是,如线1203和1204所示,载体的表面能的变化≤5mJ/m2,显示表面改性层的变化没有导致脱气。
材料#3是五氟苯基硅烷,从1%的五氟苯基三乙氧基硅烷的甲苯溶液沉积,并在190℃的真空烘箱中固化30分钟的SAM。该材料与上文的实施例4e中的表面改性层材料一致。如图10所示,线1301和1302表明载体上的表面能的部分变化。如上所述,这表明表面改性层的部分变化,作为对比,材料#3稍微没有材料#1那么稳定。但是,如线1303和1304所示,载体的表面能的变化≤5mJ/m2,显示表面改性层的变化没有导致脱气。
材料#4是在140℃的YES HMDS烘箱中,从蒸汽沉积的六甲基二硅氮烷(HMDS)。该材料与上文表2的实施例2b中的表面改性层材料一致。如图10所示,线1401和1402表明载体上的表面能的部分变化。如上所述,这表明表面改性层的部分变化,作为对比,材料#4稍微没有材料#1那么稳定。此外,材料#4的载体的表面能变化大于任意材料#2和#3的表面能变化,作为对比,表明材料#4稍微没有材料#2和#3那么稳定。但是,如线1403和1404所示,载体的表面能的变化≤5mJ/m2,显示表面改性层的变化没有导致影响覆盖的表面能的脱气。但是,这与HMDS脱气的方式相一致。也就是说,HMDS脱气出氨和水,其不影响覆盖的表面能,不会影响一些电子制造设备和/或工艺。另一方面,当在薄片和载体之间俘获了脱气产物时,可能存在其他问题,如下文关于第二种脱气测试所述。
材料#5是缩水甘油氧代丙基硅烷(glycidoxypropylsilane),从1%的缩水甘油氧代丙基三乙氧基硅烷的甲苯溶液沉积,并在190℃的真空烘箱中固化30分钟的SAM。这是比较例材料。虽然如线1501和1502所示,载体的表面能变化较小,但是如线1503和1504所示,覆盖的表面能变化明显。也就是说,虽然材料#5在载体表面上较为稳定,但是其确实脱气出了显著量的材料到覆盖表面上,从而覆盖表面能变化≥10mJ/m2。虽然表面能在600℃的10分钟的结束时处于10mJ/m2之内,但是在该期间的变化确实超过10mJ/m2。参见例如,1分钟和5分钟的数据点。虽然不希望受到理论的限制,表面能从5分钟到10分钟的略微上升可能是由于部分的脱气材料分解并离开覆盖表面。
材料#6是DC704,通过如下方式制备的硅酮涂料:将5mL的道康宁公司(DowCorning)704扩散泵油四甲基四苯基三硅氧烷(购自道康宁公司)分散到载体上,将其放置在空气中的500℃的热盘上,持续8分钟。将视觉可见冒烟的终止计作样品制备的完成。在以上文所述的方式制备样品之后,进行上文所述的脱气测试。这是比较例材料。如图10所示,线1601和1602表明载体上的表面能的部分变化。如上所述,这表明表面改性层的部分变化,作为对比,材料#6没有材料#1那么稳定。此外,如线1603和1604所示,载体的表面能变化≥10mJ/m2,显示明显的脱气。更具体来说,在450℃的测试限温度,10分钟的数据点显示表面能下降约15mJ/m2,对于1分钟和5分钟的数据点,表面能下降更多。类似地,在600℃测试限温度的循环过程中,对于覆盖的表面能变化,在10分钟数据点的覆盖的表面能下降约为25mJ/m2,略大于5分钟,略小于1分钟。但是,总的来说,该材料在整个测试范围显示出明显的脱气量。
材料#7是CH4-H2等离子体沉积的聚合物,后续用短暂的N2-O2和N2等离子体处理。该材料与上表11的实施例中的表面改性层相似。如图10所示,线7001和7002显示载体的表面能没有明显变化。因此,该材料在450-600℃的温度是非常稳定的。此外,如线7003和7004所示,覆盖的表面能也没有明显变化,即变化≤5mJ/m2。因此,在450-600℃,没有与该材料相关的脱气。
明显地,对于材料#1-4和7,整个时间-温度循环的表面能表明覆盖表面保持与裸玻璃相一致的表面能,即没有收集到从载体表面脱气的材料。在材料#4的情况下,如关于表2所述,载体和薄片表面的制备方式(通过表面改性层使得薄片与载体粘结在一起)使得制品能否经受住FPD加工具有大差异。因此,虽然图10所示的材料#4的例子可能没有脱气,但是该材料可能经受住或者经受不住400℃或600℃测试,如关于表2所述。
测量少量脱气的第二种方式是基于自装配制品,即,通过表面改性层将薄片与载体粘结,使用百分比气泡面积的变化来确定脱气。也就是说,在制品的加热过程中,在载体和薄片之间形成的气泡表明表面改性层的脱气。如上文关于第一脱气测试所述,难以测量非常薄的表面改性层的脱气。在该第二种测试中,薄片下的脱气可能受到薄片和载体之间的强粘附的限制。但是,≤10nm的层厚(例如等离子体聚合的材料、SAM和热解硅油表面处理)仍然可能在热处理过程中产生气泡,即使它们具有较小的绝对质量损耗。并且在薄片和载体之间产生气泡可能导致图案产生的问题、光刻加工的问题和/或将器件加工到薄片上的过程中的对准问题。此外,薄片和载体之间的粘结区域的边界处的起泡可能导致来自一个工艺的加工流体污染下游工艺的问题。气泡面积百分比变化≥5是明显的,表明脱气,并且是不合乎希望的。另一方面,气泡面积百分比变化≤1是不明显的,表明不存在脱气。
手动粘结的1000级别的清洁室中,粘结的薄玻璃的平均气泡面积为1%。粘结载体中的%气泡与载体、薄玻璃片和表面制备的清洁度有关。因此这些初始缺陷起了热处理之后的气泡生长的成核点位的作用,热处理之后,气泡面积小于1%的任意变化落在样品制备的可变性范围内。为了进行该测试,使用市售可得的具有透明单元的桌面扫描仪(爱普生快速10000XL照相(Epson Expression 10000XL Photo))来得到紧接粘结之后的薄片和载体的粘结区域的第一张扫描图像。采用标准爱普生软件,使用508dpi(50微米/像素)和24bit(比特)RGB对部件进行扫描。如果需要的话,图像加工软件首先通过将样品的不同区段的图像缝合成单个图像并(通过在扫描仪中没有样品的情况下扫描的校准参照)去除扫描仪人工制品来制备图像。然后采用标准图像加工技术,例如取阈值、填孔、侵蚀/膨胀和污点分析,对粘结区域进行分析。也可以相似的方式使用较新的爱普生快速11000XL照相。在透射模式中,粘结区域中的气泡在扫描图像中是视觉可见的,可以确定气泡面积的值。然后,将气泡面积与总粘结面积(即,薄片和载体之间的总重叠面积)对比,以计算粘结区域中的气泡相对于总粘结面积的%面积。然后在N2气氛下,以300℃、450℃和600℃的测试限温度,在MPT-RTP600s快速热加工***中对样品进行热处理,持续高至10分钟。具体来说,所进行的时间-温度循环包括如下:将制品***到室温和大气压的加热室中;然后以9℃/分钟的速率将室加热至测试限温度;将室在测试限温度保持10分钟;然后以炉速率将室冷却至200℃;从室去除制品并冷却至室温;然后用光学扫描仪第二次扫描制品。然后如上所述计算第二次扫描的%气泡面积,并与第一次扫描的%气泡面积进行对比,以确定%气泡面积的变化(Δ%气泡面积)。如上文所述,≥5%的气泡面积变化是明显的,表明脱气。由于原始%气泡面积的变化性,因此将%气泡面积变化选作测量标准。也就是说,在薄片与载体制备之后以及在它们粘结之前,由于处理和清洁度,导致大部分的表面改性层在第一次扫描中具有约2%的气泡面积。但是,各种材料之间可能存在变化。在该第二种脱气测试方法中,再次使用关于第一种脱气测试方法所述的相同材料#1-7。在这些材料中,材料#1-4在第一次扫描中展现出约为2%的气泡面积,而材料#5和#6在第一次扫描中显示出明显更大的气泡面积,即约为4%。
下面将参见图11和12描述第二种脱气测试的结果。材料#1-3和#7的脱气测试结果如图11所示,而材料#4-6的脱气测试结果如图12所示。
材料#1的结果在图11中显示为正方形数据点。从图中可以看出,对于300℃、450℃和600℃的测试限温度,%气泡面积变化接近零。因此,材料#1在这些温度下没有显示出脱气。
材料#2的结果在图11中显示为菱形数据点。从图中可以看出,对于450℃和600℃的测试限温度,%气泡面积变化小于1。因此,材料#2在这些温度下没有显示出脱气。
材料#3的结果在图11中显示为三角形数据点。从图中可以看出,类似于材料#1的结果,对于300℃、450℃和600℃的测试限温度,%气泡面积变化接近零。因此,材料#1在这些温度下没有显示出脱气。
材料#7的结果在图11中显示为交叉数据点。从图中可以看出,对于300℃和450℃的测试限温度,%气泡面积变化接近零。因此,材料#7在这些温度下没有显示出脱气。对于600℃的测试限温度,材料#7显示%气泡面积变化小于2。因此,在大多数情况下,材料#7在该温度下显示出最小脱气。
材料#4的结果在图12中显示为圆形数据点。从图中可以看出,对于300℃的测试限温度,%气泡面积变化接近零,但是对于某些样品,在450℃和600℃的测试限温度,其接近1%,对于相同材料的其他样品,在450℃和600℃的测试限温度,其约为5%。材料#4的结果是非常不一致的,取决于与HMDS材料粘结的薄片和载体表面的制备方式。样品所进行的方式取决于样品制备的方式,其与上表2所述的关于该材料的实施例和相关讨论相一致。应注意的是,对于该材料,在450℃和600℃测试限温度具有接近1%的气泡面积变化的样品无法实现根据上文所述的分离测试来分离薄片和载体。也就是说,薄片和载体之间的强粘附可能具有有限的气泡产生。另一方面,具有接近5%的%气泡面积变化的样品确实允许薄片和载体的分离。因此,不具有脱气的样品在温度处理之后具有不合乎希望的粘合增加的结果,其使得载体和薄片粘在一起(阻碍了从载体去除薄片),而允许薄片和载体去除的样品具有不合乎希望的脱气结果。
材料#5的结果在图12中显示为三角形数据点。从图中可以看出,对于300℃的测试限温度,%气泡面积变化约为15%,大于450℃和600℃的较高测试限温度的情况。因此,材料#5在这些温度下显示出明显脱气。
材料#6的结果在图12中显示为正方形数据点。从图中可以看出,对于300℃的测试限温度,%气泡面积变化超过2.5%,对于450℃和600℃的测试限温度,其超过5%。因此,材料#6在450℃和600℃的测试限温度显示出明显的脱气。
将聚合物表面与玻璃表面粘结
已经验证了在聚合物片(例如聚萘二甲酸乙二醇酯(PEN)、聚对苯二甲酸乙二酯(PET)和聚酰亚胺(PI))上的显示器,其中装置制造是PEN层叠到玻璃载体的片-片形式。对于高至100微米厚的聚合物层,通常使用粘合剂来将PEN和PET层叠到玻璃载体,用于片-片加工。这些粘合剂在器件加工过程中的重量损失通常大于1%,这产生由于溶剂脱气所导致的污染挑战性。此外,完全去除粘合剂是具有挑战性的,所以玻璃载体通常不重复利用。
本申请描述了使用薄的表面改性层来形成玻璃载体和聚合物片之间的适度粘附,以产生受控的临时粘结,其足够牢固以经受住TFT加工但是足够弱以实现脱粘结。虽然热、真空、溶剂和酸性以及超声平板显示器(FPD)加工对于薄聚合物片与载体的粘结需要牢固键合,但是本文所讨论的各种表面改性层能够实现该受控粘结用于对玻璃载体上的聚合物薄片进行加工。此外,受控粘结能够实现从载体去除聚合物薄片,而不对聚合物薄片或玻璃载体造成灾难性损坏,从而提供了可再次使用的玻璃载体。
具有PFD背板制造的大规模生产有3种晶体管技术:无定形硅(aSi)底门TFT、多晶硅(pSi)顶门TFT和无定形氧化物(IGZO)底门TFT。这些技术全都要求>300C的高温加工步骤。这种对于基材能够进行高温加工的要求以及对于化学、机械和真空相容性的要求成为了挠性显示器在现有挠性基材(例如聚合物上)的工业化的主要限制。通用工艺从对聚合物基材进行清洁开始,通常是在热的碱性溶液中用超声或超大声波搅拌,之后用DI水冲洗。在多个材料沉积和光刻图案化之后材料蚀刻的消减循环(subtractive cycles)中制造器件结构。在提升的温度下,通过真空工艺(例如,喷溅金属、透明导电氧化物和氧化物半导体,无定形硅、氮化硅和二氧化硅的化学气相沉积(CVD)沉积)来沉积金属、电介质和半导体材料。激光和闪灯退火实现了p-Si结晶而不过度加热基材,但是均匀性成问题,并且相比于玻璃基材性能是差的。通过聚合物抗蚀刻剂的光刻图案化以及蚀刻,然后通过抗蚀刻剂剥除,来对层进行图案化。使用真空等离子体(干)蚀刻和酸性湿蚀刻工艺这两者。在FPD加工中,通常通过热溶剂,通常利用超声或超大声振动来剥除光致抗蚀剂。
去除厚的粘合剂层阻止了载体的可重复利用性。对于可用于FPD加工的聚合物粘合剂,其必须在溶剂、强酸和强碱中具有良好的耐化学性。但是,这些相同的性质使得去除成问题。并且对于高至100微米厚的层,等离子体加工对于去除层是不实际的。有机薄膜晶体管制造的主要挑战是薄聚合物片与载体的层叠。
本申请描述了控制聚合物片与玻璃载体的临时粘结用于FPD加工的方法,并且描述了用于薄聚合物基材的片-片加工的可再利用玻璃载体。在玻璃载体上形成表面改性层产生了在薄聚合物片和载体之间具有适度粘附的临时粘结。通过如下方式实现适度粘附:优化范德华和共价吸引能对于总粘附能的贡献,其是通过调节薄片和载体的极性和非极性表面能分量得以控制。该适度粘结足够牢固,以耐受FPD加工(包括湿超声、真空和热工艺),并且通过施加足够的剥离力,聚合物片仍然是可从载体脱粘结的。脱粘结能够去除薄聚合物片上制造的器件,并能够对载体进行重新使用,因为表面改性层的厚度<1微米,并且容易在氧等离子体中去除。
采用薄的表面改性层来产生薄聚合物片和玻璃载体之间的适度粘结可以获得如下好处:
(1)相比于商用粘合剂,用于粘结薄聚合物片和载体的材料用量近似100倍的减少,降低了脱气和污染物吸附和污染下游工艺的可能性。
(2)高度交联的等离子体聚合物表面改性层是非挥发性且不可溶的,减少了脱气和工艺污染的可能性。
(3)在提升的温度下,易于在氧等离子体或者下游氧等离子体中去除表面改性层。
(4)玻璃载体可重复利用,因为表面改性层是薄且容易去除的。
PEN和PET是可用于电子件制造的辊形式的通常选择的聚合物基材。相比于大多数聚合物,它们较为化学惰性,具有低的水吸收、低膨胀,并且是耐温度的。但是,它们的性质不如玻璃。例如,对于非热稳定化的PEN,最大温度是155℃,而对于PET其仅为120℃。相比于适用于pSi加工的显示器玻璃>600℃的使用温度,这些温度是低的。对于PEN,热膨胀约为20ppm,相反地,显示器玻璃是3.5ppm。在150℃下30分钟之后,温度下的收缩约为0.1%,这远超过在高得多的温度下的玻璃的松弛和压缩。聚合物基材的这些较差的物理性质要求工艺改变来以高产率沉积高质量器件。例如,必须降低二氧化硅、氮化硅和无定形硅沉积温度,以保持在聚合物基材的限制内。
上文所述的聚合物的物理性质还使得粘结到刚性载体用于片-片加工具有挑战性。例如,聚合物片的热膨胀通常比显示器玻璃高6倍。尽管具有较小的温度上限,热应力足够大以产生弯曲和弯形,并在使用常规粘结技术时引起脱层。使用高膨胀的玻璃,例如钠钙玻璃或者较高膨胀的金属载体帮助管理弯曲问题,但是这些载体通常具有与污染、相容性或粗糙度(热传输)相关的问题。
PEN和PET的表面能也明显低于玻璃的表面能。如下表16所示,
Figure BDA0001119549430000731
Eagle
Figure BDA0001119549430000732
玻璃在用SC1化学以及标准清洁技术之后展现出约为77mJ/m2的表面能。参见实施例16e。在没有表面处理的情况下,PEN和PET是非极性的,表面能是43-45mJ/m2(43-45达因/cm)。参见下表15,其是来自E.Gonzalez,II,M.D.Barankin,P.C.Guschl和R.F.Hicks的“Remote Atmospheric-Pressure Plasma Activation of the Surfaces ofPolyethylene Terephthalate and Polyethylene Naphthalate(聚萘二甲酸乙二醇酯和聚对苯二甲酸乙二酯的表面的远端大气压等离子体活化)”的表2,朗缪尔(Langmuir)200824(21),12636-12643。等离子体清洁处理(例如通过氧等离子体)通过增加及性组分,极大地将表面能增加到55-65mJ/m2(5-65达因/cm,“等离子体”)。此外,UV臭氧处理或者电晕放电可用于清洁聚合物并短暂地提升其表面能。但是,表面能随时间降低回到其先前值(“老化”)。
Figure BDA0001119549430000741
对于聚合物粘结表面的这些表面能(约55-65mJ/m2),以及对于玻璃载体粘结表面约77mJ/m2,聚合物片不会与玻璃载体粘着足够好以实现在片上的结构的加工,但是如果首先在玻璃载体上凝固然后加热到适当温度,聚合物无法从玻璃载体剥离。因此,为了在室温下使得PEN或PET与玻璃初始粘结,发现对玻璃载体的表面能进行改性以近似匹配PEN或PET的表面能是有利的。此外,发现上文所述的各种表面改性层控制了粘结能,从而可以从玻璃载体剥离聚合物层,甚至是在有机TFT加工循环之后(包括1小时的120℃真空退火和1分钟的150℃的后烘烤步骤)。
通过选择合适的表面改性层来合适地调节玻璃载体的表面能,可以实现充足的润湿和粘附强度,从而使得聚合物(例如PEN或PET)与玻璃载体以适用于有机TFT加工(包括1小时的120℃真空退火和1分钟的150℃的后烘烤步骤)的方式可控粘结,同时实现在加工之后的聚合物与载体的可去除性。可以成功地从载体去除聚合物片,即聚合物片可控地粘结到载体,即使是在上述加工之后,在聚合物片上的OTFT和用于生产其的掩膜上的OTFT之间的晶体管几何形貌没有明显差异。可以从本说明书通篇示意的各种材料和处理来选择表面改性层。有利地,可以在粘结之前对聚合物材料进行等离子体清洁(以增加其表面能的极性分量从而有助于初始粘结),但是这不是必须的,因为可以极大地改变玻璃载体的表面能,从而实现与现有状态的聚合物(即,刚接收、刚清洁或者刚老化)的合适水平的受控粘结。基于上文所述实施例以及下表16中的那些,可以在玻璃载体粘结表面上获得约为36mJ/m2(实施例5g)至约为80mJ/m2(实施例5f)的表面能范围。
上文所述的数种表面改性的方法适用于使得聚合物片与玻璃载体粘合剂粘结,包括从碳源形成的那些,例如,从烃气体的等离子体聚合化。例如:从氟碳气体沉积的等离子体聚合物膜(实施例5a和5g);从氟碳气体沉积以及后续用氮和氢同时处理的等离子体聚合物膜(实施例5m);从各种不含氟气体沉积的等离子体聚合物膜(实施例6a-6j);从烃、任选的氮和氢气体的各种混合物沉积的等离子体聚合物膜(实施例7a-g,12j);从各种不含氟气体沉积以及后续用氮处理的等离子体聚合物膜(实施例9a-9j),其中这些表面能对于各种状态的清洁和/或老化的聚合物是有用的;以及从各种不含氟气体沉积以及之后依次用氮然后氢处理的等离子体聚合物膜(实施例10a-10p),或者用稀氨处理的情况(实施例8b、8d),或者后续用N2-O2处理然后用N2处理的情况(实施例11a、11e),或者用N2-O2处理的情况(实施例11f、12c),它们全都会与等离子体清洁的PEN工作特别良好。对于除了PET或PEN之外的聚合物,其他表面处理可能是合适的,这取决于聚合物在紧接粘结之前所存在的表面能,因为可能受到清洁程度和老化程度的影响。发现近似匹配聚合物片的表面能的玻璃载体表面能在初始粘结和控制粘结都工作良好,从而聚合物片可以容易地在有机TFT类型加工(包括1小时的120℃真空退火和1分钟的150℃的后烘烤步骤)之后脱粘结。
此外,如下,探究了表面改性层的其他配方用于实现聚合物片表面能使得聚合物薄片与玻璃载体粘结的表面能范围。
由气体混合物形成的表面改性层
使用等离子体聚合膜来调节粘结表面上的覆盖表面羟基的表面能和/或控制其上的极性键的类型的一个例子是从源气体的混合物(包括烃,例如,甲烷)来沉积表面改性层薄膜。表面改性层的沉积可以在大气压或者减压下进行,采用等离子体激发,例如DC或RF平行板、电感耦合等离子体(ICP)、电子回旋共振(ECR)、下游微波或RF等离子体。等离子体聚合表面改性层可以布置在载体和/或薄片的粘结表面上。如上文关于表3的实施例所述,等离子体聚合产生了高度交联材料的层。反应条件和源气体的控制可以用来控制表面改性层的膜厚度、密度和化学性质,以将官能团调节至所需用途。通过控制膜性质,包括覆盖的表面羟基量,可以调节载体粘结表面的表面能。可以对表面能进行调节从而控制粘结程度,即,从而防止用以在薄片上布置膜或结构的后续处理过程中的薄片与载体之间的永久性共价键合。
在下文表16的实施例中,使用各种条件在玻璃载体上沉积等离子体聚合膜。玻璃载体是由
Figure BDA0001119549430000761
Eagle
Figure BDA0001119549430000762
(铝硼硅酸盐不含碱显示器玻璃,购自纽约州康宁市康宁有限公司(Corning Incorporated,Corning NY))制造的基材。在膜沉积之前,使用SC1和/或SC2化学和标准清洁技术来清洁载体。在(购自英国纽波特的STPS公司(SPTS,Newport,UK)的)STS Multiplex PECVD设备中以三极管电极配置模式沉积膜,其中,载***于台板上,向其施加50瓦特的380kHz RF能量,在台板上布置了(线圈)喷头,向其施加300瓦特的13.5MHz RF能量,台板温度为200℃,通过喷头的气体流量如表16所示(流量单位是标准立方厘米每分钟,sccm)。因此,例如,对于实施例16b的表16的“表面改性层沉积工艺”栏中的符号解读如下:在STS Multiplex PECVD设备中,在200℃的台板温度,200sccm的H2,50sccm的CH4,以及20sccm的C2F6,一起流动通过喷头进入压力为300毫托的室中;300W的13.5MHz的RF能量施加到喷头,50W的380kHz的RF能量施加到台板,在其上放置有载体;以及沉积时间为120秒。其余实施例的表面处理栏中的符号可以以类似的方式解读。通过使用Wu模型以及三种不同测试液体(在该情况下,是水(W)、十六烷(HD)和双碘甲烷(DIM))的接触角(CA)计算得到表面能,单位为mJ/m2(毫焦耳每平方米)。对于表面能,显示了极性分量(P)和色散分量(D)以及总和(T)。此外,对于这些实施例还显示了表面改性层的厚度,单位,埃,“Th(A)”。
Figure BDA0001119549430000771
实施例16e是用SC1化学和标准清洁技术清洁之后的裸Eagle
Figure BDA0001119549430000772
玻璃片。实施例16e显示,在清洁之后,玻璃的表面能约为77mJ/m2
实施例16a-16d显示可以在玻璃表面上沉积表面改性层以对其表面能进行改性,从而可以将玻璃的表面调节至用于特定粘结应用。表16的实施例是单步工艺的实施例,表6和7的实施例也是如此,用于沉积具有所需表面能和极性基团的表面改性层。
实施例16a显示表面改性层可以是从氢和甲烷(烃)气体的混合物沉积的等离子体聚合化的膜。在这些实施例中,将表面改性层沉积到经过清洁的玻璃载体上。因此,显示表面改性层的沉积将表面能从约77mJ/m2降低到了约49mJ/m2,这是典型聚合物粘结表面的范围。
实施例16b显示表面改性层可以是从氢、甲烷(烃)和含氟气体(例如,C2F6,碳氟化合物)的混合物沉积的等离子体聚合化的膜。在这些实施例中,将表面改性层沉积到经过清洁的玻璃基材上。因此,显示表面改性层的沉积将表面能从约77mJ/m2降低到了约37mJ/m2,约为典型聚合物粘结表面的范围。实施例16b中所实现的表面能低于实施例16a所实现的情况,显示向沉积气体添加氟可以降低否则的话类似表面改性层沉积条件所实现的表面能。
实施例16c显示表面改性层可以是从氢、甲烷(烃)和含氮气体(例如,N2)的混合物沉积的等离子体聚合化的膜。在这些实施例中,将表面改性层沉积到经过清洁的玻璃载体上。因此,显示表面改性层的沉积将表面能从约77mJ/m2降低到了约61mJ/m2,这是在聚合物片清洁过程中用O2等离子体处理过的典型聚合物粘结表面的范围。该表面能也在适用于使得薄玻璃片与载体粘结的范围内。
实施例16d显示表面改性层可以是从甲烷(烃)和含氮气体(例如,NH3)的混合物沉积的等离子体聚合化的膜。在该实施例中,将表面改性层沉积到经过清洁的玻璃基材上。因此,显示表面改性层的沉积将表面能从约77mJ/m2降低到了约57mJ/m2,这同样约为典型聚合物粘结表面的范围。此外,对于一些应用,这可能适用于使得载体与薄玻璃片粘结。
相比于实施例16a所实现的情况,通过实施例16c和16d所实现的表面能显示出,向沉积气体加入氮(N2或者NH3)可以增加否则的话类似沉积气体所实现的表面能。
通过实施例16b的表面改性层获得的表面能低于50mJ/m2(视为适用于玻璃薄片与玻璃载体的受控粘结),但是该表面能合适使得聚合物粘结表面与玻璃粘结表面粘结。此外,应注意的是,通过实施例16c和16d(由烃(甲烷)、任选的含氢气体(H2)和含氮气体(N2或氨)的等离子体聚合形成)表面改性层产生的表面能大于约50mJ/m2,因而在一些情况下,可适用于使得薄玻璃片与玻璃载体粘结。
粘结到其上布置有按照表16的实施例16a-16d的表面改性层的载体的薄片是由(得自杜邦公司(DuPont))的
Figure BDA0001119549430000781
Q65PEN制造的基材,厚度为200微米。
在表16的实施例中,虽然其上布置表面改性层的粘结表面是玻璃,但不一定是这种情况。相反地,粘结表面可以是具有与玻璃相似表面能和性质的其他合适材料,例如,硅、多晶硅、单晶硅、陶瓷、玻璃-陶瓷、蓝宝石或石英。
等离子体聚合烃聚合物膜可以从甲烷和氢沉积(实施例16a),任选具有碳氟化合物(实施例16b),任选具有氮气(实施例16c),或者任选具有氨(实施例16d)添加物,在三极管模式的STS Multiplex CVD中。采用碳氟化合物或氮添加,可以实现低至37mJ/m2的表面能(实施例16b)和较高的表面能(约61mJ/m2,实施例16c)。也可以实现实施例16b和16c水平之间的表面能(即,实施例16a中约为49mJ/m2,以及实施例16d中约为57mJ/m2),从而证实了基于沉积条件(包括沉积气体)来调节表面改性层的表面能的能力。
作为反例,将聚合物膜沉积到SC1清洁的裸玻璃载体上(实施例16e)。但是,聚合物片没有与载体良好粘着以足以使得在聚合物片上进行结构加工。
对于适用于有机TFT加工,不止需要润湿和粘结强度。通过选择高膨胀玻璃来最小化膨胀差异,以及通过减小加热和冷却步骤的速率,来最佳地管理聚合物膜和载体之间的巨大热膨胀差异。可以通过如下方式来完成在加工过程中对于具有最小水吸收的光滑和干净基材表面的需求:旋涂和固化合适有机电介质的薄层,其同时使得表面平面化以及产生对于水分和其他污染物的阻隔。
使用表面改性层工艺来将PEN(
Figure BDA0001119549430000782
Q65,200微米厚,杜邦公司)粘结到
Figure BDA0001119549430000791
Eagle
Figure BDA0001119549430000792
玻璃载体。对于以如下条件沉积的无定形碳层,发现具有非常好的粘结性能:50CH4 200H2 300W 13.56MHz RF到达喷头,50W 380kHz RF到达200℃台板,以及2分钟沉积时间。将PEN暴露于UV-臭氧清洁器,持续5分钟,之后进行粘结,因为发现这改善了粘合。使用Teflon涂刷器来施涂PEN。约150nm厚的环脂族环氧化物层旋涂并固化到PEN上,以平滑去除表面缺陷。有机门极绝缘件(OGI)是光可图案化环脂族环氧化物。
通过如下工艺形成底门底接触有机薄膜晶体管阵列。通过在AJA中喷溅来沉积100nm的Al门金属,以及用Fuji 6512光刻胶来进行光刻图案化,以A类型Al蚀刻剂中的湿蚀刻对门进行图案化。通过进行3分钟室温PGMEA浴,之后通过IPA/DI冲洗(基于NMP的剥除器(striper)与环氧化物层不相容)来去除光刻胶。在图案化的门上旋涂第二环氧化物门极绝缘层并固化。喷溅100nm厚的Ag S/D金属,用Fuji 6512光刻图案化,并用仟斯(Transene)TFS:pH 10缓冲剂为1:1的混合物进行蚀刻。蚀刻具有挑战性,因为Ag蚀刻速率是快的,但是蚀刻产物的溶解是慢的。通过蚀刻5s获得非常好的结果,用喷洒DI水去除蚀刻产物,并重复4-5次。四噻吩并苯-DPP共聚物(PTDPPTFT4)有机半导体(OSC)层的润湿是具有挑战性的。通过120℃的YES烘箱中的HMDS处理促进了OSC粘附。OSC聚合物溶解在6份萘烷:4份甲苯中,浓度为5mg/mL。OSC在Laurel旋涂机中以手动分配旋涂,20秒静止,500rpm 30秒,1000rpm 60秒。OSC膜在90℃热板上软烘烤2分钟,在Salvis烘箱中,在粗真空条件下,在120℃真空退火1小时,去除残留萘烷。在Branson中使用短暂的5秒O2等离子体,以产生粘合,在OSC上旋涂第三层OGI层,并直接用2.5秒曝光进行光刻图案化,1分钟休息,以及150℃的后烘烤1分钟。在1分钟休息后,在PGMEA中对有源图案进行轨迹显影,持续1分钟,之后进行IPA和DI冲洗。使用Unaxis 790RIE(采用30sccm O2 10sccm Ar 20sccm CHF3 50毫托200W 15s)来进行干蚀刻,以图案化有源并曝光门金属。在图18所示的表格中总结了75/75um TFT的性能,其显示了对于典型晶体管(具有制造在如上文所述可控粘结到玻璃载体的PEN上的75微米通道宽度和75微米通道长度,底门底接触有机薄膜晶体管的)漏电流vs门电压和性能。通过采用剃刀片来引发开裂,然后剥离,容易地对PEN进行脱粘结。成功地从载体去除聚合物片,即使是在上述加工之后,在聚合物片上的OTFT和用于生产其的掩膜上的OTFT之间的晶体管几何形貌没有明显差异。
还成功地在PEN片材(
Figure BDA0001119549430000801
Q65,200微米厚的片材,购自杜邦公司)上进行了上文所述的形成底门底接触有机薄膜晶体管阵列的过程,所述PEN片材受控粘结到由
Figure BDA0001119549430000802
玻璃(含碱可化学强化覆盖玻璃,购自纽约州康宁市康宁有限公司)制造的载体,具有选自上文所述那些的合适的表面改性层。
如上文所述,聚合物自身可以是基材,在其上制造其他器件。或者,聚合物可以是复合材料基材(例如,玻璃/聚合物复合体)上的聚合物表面。在该情况下,玻璃/聚合物复合体的聚合物表面会朝向载体,并且会与其粘结,如上文所述,而玻璃/聚合物复合体的玻璃表面会暴露作为其上可以制造电子件或者其他结构的表面。在玻璃/聚合物复合体的玻璃表面上制造了电子件或其他结构之后,可以从载体上的表面改性层剥离复合体的聚合物表面。当玻璃/聚合物复合体中的玻璃层变得特别薄时,例如厚度≤50microns,≤40微米、≤30微米、≤20微米、≤10微米、或者≤5微米时,该实施方式可能是有利的。在该情况下,玻璃/聚合物复合体的聚合物部分不会仅仅作为粘结表面来使得复合体与载体附着,当复合体不在载体上时,它还可向复合体提供一些处理优势。
在半导体和/或***物加工中加工薄晶片
本发明的一些例子一般地涉及与较薄的基材发生粘结并进行去除的载体,从而实现较薄基材的加工。更具体地,本发明的一些例子涉及用于将晶片与载体粘结进行半导体和/或***物加工,然后在此类加工之后将晶片从载体脱粘结的方法和设备。
通过在半导体晶片上或者其中形成有源器件,来制造半导体装置。半导体晶片可包括例如,玻璃、硅、多晶硅、单晶硅、氧化硅、氧化铝,它们的组合,和/或类似物质。通常在单个晶片上制造成百上千的集成电路(IC)或模。通常来说,在晶片上依次沉积并图案化多层绝缘、传导和半导体材料层,以形成IC。最上形成的材料层中的一种通常包括用于粘结垫的层,其使得与下方有源区域和晶片内的组件产生电连接。
在IC形成之后,可以使得晶片经受背侧加工。背侧加工可包括使得晶片变薄,从而制备用于封装的晶片。例如,在一些技术中,背侧加工可包括形成与贯穿基材的孔的电连接,所述贯穿基材的孔形成通过晶片来提供背侧接触。在该例子中,晶片的背侧通过诸如研磨之类的工艺变薄,从而在晶片的背侧上使得导电孔发生暴露。这种晶片的变薄工艺会对晶片的边缘造成损坏,并且会使得晶片在后续的晶片传输和加工过程中甚至更为易碎和易于受损。
为了帮助减轻这些类型的损坏,通常使得载体与晶片附连。采用粘合剂来对该载体进行附连,并且旨在能够通过对载体进行操作从而对晶片进行操作。此外,载体的强度增加对晶片进行支撑,从而由于传输和/或加工引起的应力不会损坏晶片。
典型的载体可以是采用粘合剂与晶片附连的玻璃基材。但是,发现在加工过程中,晶片可能发生弯曲,并且典型的载体不能提供足够的支撑来防止弯曲。由于晶片翘曲的结果,加工可能失败和/或引起报警状态。IC制造的第一部分(其中,有源晶体管、电阻器和RC电路,以及与晶体管互联的局部配线在半导体中图案化)是所谓的生产线前道(FEOL)工序。FEOL工序还可包括:井形成;门模块形成;源模块和漏模块形成;DRIE(干反应性离子蚀刻);PVD,Ti或Cu或者其他;CVD TiN或其他;PECVD SiO2或其他;电镀Cu(或其他);Cu(或其他)退火;度量衡法(metrology)(X射线或其他);Cu(或其他)CMP(化学机械抛光);Cu(H2O2+H2SO4)+Ti(DHF)湿蚀刻;喷溅粘附层(Ti或其他);喷溅晶种层(Cu或其他);平版印刷(光刻胶、曝光、剥光(strip)、蚀刻Cu)。由于与FEOL工序相关的部分高温(例如,≥500℃,在一些情况下500-650℃,以及在一些情况下高至700℃)工艺,无法使用许多基于粘合剂的解决方案,因为他们可能无法保持粘结和/或它们可能脱气产生污染物。许多粘合剂甚至在低得多的温度(例如约为300℃)就发生脱气。IC制造中,在横跨单个芯片的较长距离进行连接并且到达离开芯片位置的粗配线与晶片上的配线互联的制造线部分是所谓的生产线后道(BEOL)配线。BEOL加工还可包括以下一种或多种:形成接触件、绝缘层、互联配线、RF屏蔽、钝化、ESD保护、粘结垫和其他用于芯片-封装解决方案的粘结位点。虽然BEOL加工温度通常低于FEOL加工温度,但是电介质沉积通常发生在350-450℃,并且大多数的粘合剂在这些较低温度下发生脱气。此外,大多数的临时粘合剂具有高CTE,这与晶片和载体材料是失配的,并且难以在去除它们的同时将精巧微结构留在晶片上保持完好。此外,粘合剂与晶片和/或载体材料之间的CTE错配可能导致晶片不合乎希望的弯曲。此外,当与载体粘结时,粘合剂可能自身找到进入***物的孔中的道路,并且不合乎希望地防止至少部分孔的金属化。
因此,需要改进的载体-基材解决方案,其能够耐受加工条件,特别是FEOL加工的高温要求。此外,能够耐受FEOL的苛刻条件并且还在其后提供易于脱粘结的载体-基材解决方案会实现能够从开始时就使用较薄的初始基材,从而减轻对于背端薄化的需求。也就是说,现有常规半导体工具设计成以大于或等于约500微米来加工晶片。但是,对于载体支撑晶片的情况,总厚度仅需要在工具的加工厚度范围内。因此,例如,可以使用厚度为400微米的载体来支撑100微米的晶片,将该组合在现有的半导体工具中进行加工。对于本发明的解决方案,归因于甚至在高温加工之后实现容易分离的受控粘结,可以将100微米的晶片用作基材,从而避免了浪费和在晶片上形成器件之后的薄化的潜在产率下降。耐受FEOL加工的能力会实现从厚度≤200微米(例如,200、190、180、170、160、150、140、130、120、110、100、90、80、70、60、50、40、30或20微米)的晶片开始载体-基材解决方案。该厚度(例如≤200微米)的晶片能够与载体附连,加工,然后从载体去除。例如,当使用多晶硅或单晶硅晶片作为基材时,这会是主要优势,因为可以避免非常昂贵材料的去除和浪费;可以以其刚形成的厚度来对材料进行简单加工。
此外,3D IC技术已经被半导体工业广泛地接受作为主要的技术趋势来改善半导体性能,而不需要甚至更为昂贵的先进平版印刷解决方案或者不需要更大的芯片尺寸来容纳更多的电路。用于3D IC的该技术依赖于薄化的硅IC,并且还依赖于***物来对直接位于平面构造(2.5D IC)和堆叠薄化IC(3D IC)中的单个***物上的IC之间的电信号进行再分布。
这些***物可以由多晶硅、单晶硅或者玻璃制造,通过将路径长度从数毫米降低到数微米,实现了通讯速度的急剧改善。这种新技术的领先应用是例如现场可编程门阵列(FPGA),赛灵思公司(Xilinx)(美国加利福尼亚州圣何塞(San Jose,CA,USA))制造的高端专用功能。
***物的特征是厚度约为50-100um,现今的尺度是200-300mm OD,长期来看,倾向于更大尺寸的面板。孔(通过所述孔在金属化之后对电信号进行加工)是5-150um OD,密度通常为1-20个孔每平方毫米,这取决于设计和应用。将***物限定为是薄的,因为厚的***物导致不可接受的形状因子(高度)和性能(热)阻碍。薄通常指的是约为100微米,但是通常不超过200微米。另一方面,半导体的国际技术路线图(ITRS)允许低至50um的厚度。再次,这些厚度的基材通常无法在现有工具中进行加工。因而,本文预期了使用载体的优势,其甚至可以在高温加工过程中与晶片保持附连,并且在此类加工之后仍然能够容易地脱除晶片。
虽然***物技术是新的,但是主要的***物基材是单晶硅,玻璃兴起作为替代品。玻璃的吸引力在于性能和成本,但是现在还不存在解决方案来实现玻璃的这些优点。本发明的理念将能够实现各种薄基材(包括硅和玻璃)以及在各种条件下(包括FEOL和BEOL)加工作为晶片,以提供各种器件(包括IC、RC电路和***物)。
本发明的粘结解决方案能够通过所有现有要求的加工步骤,以高产率和低加工时间,以最终厚度玻璃以及薄化硅的薄形式来进行加工。在薄晶片在通过金属化、分布层放置进行加工之后,其可发生脱粘结,留下薄化且经过加工的***物和/或IC完好。此外,使用已经薄化(约为≤200微米)的硅晶片的载体,实现了在其上加工任意器件之前对晶片进行印刷(screen)。因此,可以降低成本和/或改善产率。
鉴于此,需要一种薄片-载体制品,其能够耐受FEOL加工的严格条件,包括高温加工(不发生会与其将要用于的半导体或显示器制造工艺不相容的脱气),还实现从载体去除整个薄片面积(一次性全部去除,或者分区段去除)。本说明书描述了控制载体和薄片之间的粘附的方式,从而产生临时粘结,其足够强从而可以通过FEOL加工(包括高温加工),但是足够弱从而可以实现片材与载体的去粘结,甚至在是高温加工之后。更具体地,本文提供了表面改性层(包括各种材料和相关的表面热处理),其可以提供在薄片和/或载体上,以同时控制薄片和载体之间的室温范德华和/或氢键合以及高温共价粘合。甚至更具体地,可以控制室温粘结,从而足以在真空加工、湿加工和/或超声清洁加工期间,将薄片和载体保持在一起。与此同时,可以控制高温共价键合,从而防止在高温加工过程中薄片和载体之间的永久粘结,并且维持足够的粘结以防止高温加工过程期间的脱层。在替代实施方式中,表面改性层可用于产生各种受控粘结区域(其中,载体和片材在各个加工过程中(包括真空加工、湿加工和/或超声清洁加工)保持足够的粘结)。此外,一些表面改性层提供了载体和片材之间的粘结控制,同时降低了FPD(例如LTPS)加工环境中的苛刻条件(包括例如高温和/或真空加工)下的脱气排放。
经由表面改性层的受控粘结(包括材料和相关粘结表面热处理)的另一个用途是在载体上使用薄片,从而在需要温度≥400℃(例如,≥450℃、≥500℃、≥550℃、≥600℃)的工艺(例如,FEOL工艺)中对薄片进行加工。也就是说,薄片可以是厚度无需进行稍后薄化的情况下进行加工的晶片。如上文实施例2e、3a、3b、4c、4d、4e和5b-f、5h-l所示意,表面改性层(包括材料和粘结表面热处理)可以用于提供此类温度条件下的载体的再利用。具体来说,这些表面改性层可以被用于对薄片和载体的粘结区域之间重叠区域的表面能进行改性,从而可以在加工之后从载体分离整个薄片。可以一次性分离整个薄片,或者可以分区段地分离薄片,例如,首先去除部分薄片上产生的器件,然后去除任意余下部分,对载体进行清洁用于例如再次使用。在从载体去除整个薄片的情况下,如作为整体去除薄片,或者去除薄片经切割的区段(其加合作为整个薄片),可以简单地通过在其上放置另一个薄片来再次使用载体。或者,可以对载体进行清洁,并且通过再次形成表面改性层再一次地准备承载薄片。因为表面改性层防止了薄片与载体的永久粘结,它们可以被用于温度≥600℃的加工。当然,虽然这些表面改性层可以控制温度≥600℃的加工过程中的粘结表面能,但是它们也可用于产生这样的薄片和载体组合,该组合会经受住较低温度(例如,温度≥400℃,诸如≥450℃、≥500℃、≥550℃)下的加工,并且可用于此类较低温度的应用来控制粘结而不发生脱气(在实施例3a、3b、4c、4d、4e、5b-f、h-l的材料的情况下),例如用于BEOL加工。此外,当制品的热加工不会超过400℃的情况时,如上文实施例2c、2d、4b、表7-11的实施例(包括表10的实施例的替代方式的实施例)、实施例12、16或者仅O2的表面处理的实施例所示意的表面改性层,(以及任意表面准备),描述的适用于使得薄玻璃片与玻璃载体受控粘结的那些,可以以该相同方式用于这些表格所述的加工温度。薄片可以是多晶硅或单晶硅晶片、硅晶片、玻璃、陶瓷、玻璃-陶瓷、石英、蓝宝石,厚度≤200微米,并且可以在例如≥500℃的温度进行加工,从而在FEOL加工中,在其上形成RC电路、IC或者其他电子器件。在FEOL加工之后,可以在不损坏电子器件的情况下,容易地从载体去除晶片。但是,在去除之前,晶片可经受其他较低温度的加工,例如,在BEOL加工中那样。
经由表面改性层(包含材料和相关的粘结表面热处理)的受控粘结的第二个用途是制造***物。更具体地,通过使用表面改性层,可以形成受控粘结区域,其中,足够的分离作用力可以将薄片(或其部分)与载体分离而不会由于粘结造成薄片或载体的损坏,但是在整个加工中仍然维持足够的粘结力将薄片相对于载体保持在一起。在此情况下,薄片是***物,其可以是由任意合适材料(包括例如硅、多晶硅、单晶硅、玻璃、陶瓷、玻璃-陶瓷、石英、蓝宝石)制造的晶片,并且其厚度可以是例如≤200微米。
下面将参考图19-21描述***物的例子及其制造。
参见图19,可以通过受控粘结区域40使得薄片20与载体10粘结。
在该实施方式中,载体10可以是玻璃基材或者具有与玻璃相似表面能的其他合适材料,例如,硅、多晶硅、单晶硅、陶瓷、玻璃-陶瓷、蓝宝石或石英。使用玻璃基材的优点在于,可以以较低成本获得具有最小厚度变化的平坦片材,避免了对于昂贵载体基材的需求。此外,对于玻璃而言,可以在成本有效的方式实现高质量。也就是说,可以非常廉价地制造厚度非常均匀的玻璃基材,并用作载体。但是,具有了本文的表面改性层,载体无需是具有低的总厚度变化的高精度载体,这是对于晶片需要薄化至最终厚度的情况而言。也就是说,当载体上的晶片会进行薄化时,载体对于总厚度变化必须具有非常严格的控制,因为载体的任意变化会在薄化之后呈现在经过薄化的晶片上。具有了本文的表面改性层,其能够允许当晶片已经处于最终厚度时在晶片上形成器件,载体的总厚度变化就没有那么重要了。
在该实施方式中,薄片20用于形成***物56。片材可以是硅,包括例如多晶硅或单晶硅晶片、石英、蓝宝石、陶瓷或玻璃。片材20的厚度可以≤200微米。***物56分别具有周界52和孔阵列50,其中,阵列50具有周界57。虽然显示了10个***物56,但是可以在一块薄片20上布置任意合适数量(包括一个)***物。出于方便显示,显示每个***物56仅具有一个孔阵列50,但是不一定是这种情况;作为替代,任何***物56可以具有不止一个阵列50。此外,虽然显示每个***物具有相同的阵列数量50,但是不一定是这种情况;任意数量(包括零)的***物可以具有相同的阵列数量50。此外,虽然阵列50通常会具有相同数量和式样的孔,但是不一定是这种情况。出于方便显示,仅在***物56中的一个的仅一个阵列50中显示孔60,但是不一定是这种情况;即,余下的***物56的任意一个或多个可具有一个或多个孔60的阵列50。
现参见图20,其是沿图19中的线20-20的横截面图。孔60可包括通孔或盲孔,即在片材20的厚度中终止的孔。孔60具有直径62,并且是以节距64间隔开。虽然显示直径62是相同的,但是不一定是这种情况;即,在一个***物56上的一个阵列50或者不同阵列50中可以存在不同直径的孔。例如,直径62可以是5-150微米。类似地,虽然孔62是以相同的节距64间隔开,但是不一定是这种情况;即,在一个阵列50中,或者在一个***物56上的不同阵列50中,或者在一片薄片20的不同***物56中,可以存在不同节距。例如,节距可以使得每平方毫米存在1-20个孔,并且这会取决于***物的设计和应用。此外,孔60的任意一个或多个中可以存在材料61。材料61可以是导电材料、电绝缘材料,或其组合。例如,可以在孔的周界上(即,在其外直径62处)形成导电材料,并且可以使用不同的导电材料或者绝缘材料来填充孔的余下部分。
现参见图21,其类似于图20,但是在***物56上布置了器件/结构,并且与孔60相连。如图21所示,器件66可以布置在多个孔60上,并其与相连。器件66可以包括集成电路;MEMS;微传感器;功率半导体;发光二极管;光子电路;CPU;SRAM;DRAM,eDRAM;ROM,EEPROM;闪存;***物;嵌入式无源器件;以及制造在硅、硅-锗、砷化镓和氮化镓上或者由硅、硅-锗、砷化镓和氮化镓制造的微器件。虽然仅显示了一个器件66,但是在一个***物56上可以有任意合适数量的器件66,包括器件阵列56。或者,可以在仅仅一个孔60上布置结构68,并与其相连。结构68可包括:焊接凸起;金属柱状物;金属柱;互联路径;互联线;绝缘氧化物层;以及由选自下组的材料形成的结构:硅、多晶硅、二氧化硅、(氧)氮化硅、金属(例如,Cu、Al、W)、低k电介质、聚合物电介质、金属氮化物和金属硅化物。虽然仅显示了一个结构68,但是在一个***物56上可以有任意合适数量的结构68,包括结构阵列56。此外,可以在器件66上布置一个或多个结构68。
在受控粘结区域40中,载体10和薄片20相互粘结,从而在交叠的整个区域上,载体10和薄片20连接,但是可以相互分离,甚至是在高温加工之后(例如,温度≥400℃,例如≥450℃、≥500℃、≥550℃、≥600℃,以及高至约650℃或者在一些情况下到达700℃的加工)。
如上文实施例2a、2e、3a、3b、4c、4d、4e、表5、7-11的实施例(包括表10的实施例的替代方式的实施例)、实施例12、16或者仅O2的表面处理的实施例,(以及任意表面准备),描述的适用于使得薄玻璃片与玻璃载体受控粘结的表面改性层30(包括材料和粘结表面热处理),可用于在这些表格所述的加工温度提供载体10和薄片20之间的受控粘结区域40。具体来说,可以在载体10上或者在薄片20上的阵列50的周界52内形成这些表面改性层。因此,在器件加工过程中,当在高温下加工制品2时,可以在周界52限定的区域内,在载体10和薄片20之间提供受控粘结,从而分离作用力可以分离该区域内的薄片和载体(而不造成薄片或载体的灾难性损坏),但是薄片和载体不会在加工过程(包括超声加工)中发生分层。此外,因为表面改性层的非常小的厚度,即小于100纳米、小于40纳米、小于10纳米,以及在一些情况下,约为2纳米,由于晶片和表面改性层之间的CTE失配没有对晶片造成影响(而这是较厚的粘合层中的情况,即,大于或等于约40-60微米)。此外,当需要限制薄片和载体之间的脱气时,可以使用实施例3b、4c、4e、5b-f、h-l、11a-e和12a-j的表面改性层材料。
然后,在具有周界52的***物56(每个分别具有孔60的阵列50)的抽取过程中,可以在加工之后以及在薄片沿着周界52分离之后,使得薄片20在周界52内的部分简单地与载体10分离。或者,薄片20(或者薄片20和载体10这两者)可以沿着线5进行切割,从而可以从载体10去除比***物56周界52大的薄片20的区段,或者载体10的区段如同载体与薄片20一起被切割的情况那样。因为表面改性层控制了粘结能来防止薄片与载体的永久粘结,它们可以被用于温度≥600℃的加工。当然,虽然这些表面改性层可以控制温度≥600℃的加工过程期间的粘结表面能,但是它们也可用于产生这样的薄片和载体组合,该组合能够经受住较低温度(例如,温度≥400℃,诸如≥450℃、≥500℃、≥550℃)下的加工,并且可用于此类较低温度的应用。此外,当制品的热加工不会超过400℃的情况时,可以使用如实施例2c、2d、4b、表7-11的实施例(包括表10的实施例的替代方式的实施例)、实施例12a、12b、12c、12g、12J、实施例16c、16d以及仅O2的表面处理的实施例所示意的表面改性层,也可以以该相同方式使用以控制粘结表面能,在一些情况下,取决于其他加工要求。此外,如上文所述,实施例3b、4c和4e、11a-e以及12a-j的表面改性层材料可用于关心薄片和载体之间的脱气的情况。
结论
应当强调,本发明上述实施方式、特别是任意“优选的”实施方式,仅仅是可能实现的例子,仅用来清楚理解本发明的各个原理。可以对本发明的上文所述的实施方式进行许多改变和改进,而不明显背离本发明的精神和各个原理。所有这些改变和改进旨在包括在本文和本发明的范围内,并且受到如下附权利要求书的保护。
例如,虽然许多实施方式所示和所述的表面改性层30是形成在载体10上的,但是作为替代或补充,其可以是形成在薄片20上的。也就是说,合适的话,可以将表3-12和16的实施例所述的材料施加到载体10和/或薄片20的将要粘结在一起的面上。
此外,虽然一些表面改性层30描述为控制粘结强度从而允许甚至在400℃(或600℃)的温度下对制品2进行加工之后从载体10去除薄片20,但是当然也可以在比制品通过的具体测试低的那些温度下加工制品2,并且仍然实现从载体10去除薄片20而不造成薄片20或载体10损坏的相同能力。
此外,虽然本文采用载体和薄片描述了受控粘结的概念,但是在某些情况下,它们适用于控制较厚的玻璃、陶瓷或玻璃陶瓷片之间的粘结,其中可能希望片材(或其部分)相互分离。
此外,虽然本文描述了受控粘结概念可用于玻璃载体和玻璃薄片,但是载体也可由其他材料(例如,陶瓷、玻璃陶瓷或金属)制成。类似地,与载体受控粘结的片材可以由其他材料(例如陶瓷或玻璃陶瓷)制成。
类似地,虽然在上文实施例3和5-12中描述的表面改性层是通过等离子体聚合形成的,但是其他技术也是可以的,例如通过热蒸汽喷溅、在与粘结表面反应的气体中的物质的UV活化,或者湿蚀刻。
此外,虽然实施例6-12的等离子体聚合形成的碳质表面改性层是采用甲烷作为形成聚合物的气体形成的,但是其他含碳源材料也是可以的。例如,含碳源可以包括以下至少一种:1)烃(烷烃、烯烃、炔烃或者芳族烃。烷烃包括但不限于:甲烷、乙烷、丙烷和丁烷;烯烃包括但不限于:乙烯、丙烯和丁烯;炔烃包括但不限于:乙炔、甲基乙炔、乙基乙炔和二甲基乙炔;芳族烃包括但不限于:苯、甲苯、二甲苯、乙基苯;2)醇(包括:甲醇、乙醇、丙醇);3)醛或酮(包括:甲醛、乙醛和丙酮);4)胺(包括:甲基胺、二甲胺、三甲胺和乙基胺);5)有机酸(包括:甲酸和乙酸);6)腈(包括:乙腈);7)CO;以及8)CO2。或者,含碳源可以包括以下一种或多种:1)饱和或不饱和烃;或者2)含碳饱和或不饱和烃或者3)含氧饱和或不饱和烃;或者4)CO或CO2。一些通常典型的含碳源材料包括含碳气体,例如,甲烷、乙烷、丙烷、丁烷、乙烯、丙烯、丙炔、乙炔、MAPP、CO和CO2。
此外,虽然在实施例5和8-12中用于处理表面改性层从而增加其表面能或者在实施例7、16c、16d中用于形成表面改性层自身的极性基团是氮和氧,但是其他极性基团也是可以的,例如,硫和/或磷。
此外,虽然N2和NH3用作含氮气体,但是其他含氮材料也是可以的,例如肼、N2O、NO、N2O4、甲基胺、二甲胺、三甲胺和乙基胺、乙腈。
此外,虽然使用的含氧气体是N2-O2和O2,但是也可以使用其他含氧气体,例如,O3、H2O、甲醇、乙醇、丙醇、N2O、NO和N2O4。
从本文所述的实施例可以看出,表面改性层(包括后续经处理的那些)可以实现约为1nm(实施例16b)或2nm(实施例3、4)至约为10nm(实施例12c,8.8nm)的厚度。此外,更厚的表面改性层也是可以的,如关于图15所解释。但是,当厚度变得大于约70nm时,表面改性层开始变得半透明,这对于得益于光学透彻性的应用可能是不合乎希望的。
上文根据本申请所述的各种概念可以与其他概念以任意和全部不同组合方式组合。例如,可以根据以下方面结合各种概念。
根据第1个方面,提供了一种使得薄片与载体可控粘结的方法,所述方法包括:
获得具有薄片粘结表面的薄片;
获得具有载体粘结表面的载体;
将碳质表面改性层沉积到薄片粘结表面和载体粘结表面的至少一个上;
使得表面改性层结合极性基团;以及
通过表面改性层使得薄片粘结表面与载体粘结表面粘结。
根据第2个方面,提供了第1个方面的方法,其中,薄片粘结表面和载体粘结表面中的至少一个具有包括极性分量的表面能,以及其中,极性基团的结合使得表面能的极性分量增加至少20mJ/m2
根据第3个方面,提供了第1或第2个方面的方法,其中,极性基团包括氮和氧中的至少一个。
根据第4个方面,提供了第1-3个方面中任一项的方法,其中,通过含碳气体的等离子体聚合沉积了表面改性层。
根据第5个方面,提供了第4个方面的方法,其中,含碳气体包括以下至少一种:烃、烷烃、烯烃、炔烃或者芳族烃。
根据第6个方面,提供了第4个方面的方法,其中,含碳气体包括以下至少一种:甲烷、乙烷、丙烷、丁烷、乙烯、丙烯、丙炔、乙炔、CO和CO2。
根据第7个方面,提供了第4个方面的方法,该方法还包括在等离子体聚合过程中,使得氢气与含碳气体一起流动。
根据第8个方面,提供了第4-7个方面中任一项的方法,该方法还包括在等离子体聚合过程中使用稀释气体来控制沉积气体的摩尔分数。
根据第9个方面,提供了第8个方面的方法,其中,稀释气体包括惰性气体。
根据第10个方面,提供第4-10个方面中任一项的方法,该方法还包括在等离子体聚合过程中流动反应性气体。
根据第11个方面,提供了第10个方面的方法,其中,反应性气体包括以下至少一种:含氮气体、含氢气体和含氧气体。
根据第12个方面,提供了第1-11个方面中任一项的方法,其中,在结合极性基团之前进行表面改性层的沉积,并且在薄片粘结表面和载体粘结表面中的至少一个上提供第一表面能;以及其中,在沉积表面改性层之后进行极性基团的结合,并且将第一表面能改变为第二表面能,其中,第二表面能大于第一表面能。
根据第13个方面,提供了第1-12个方面中任一项的方法,其中,通过如下方式中的一种来结合极性基团:
用含氮气体来处理表面改性层;
依次用第一处理气体然后用第二处理气体来处理表面改性层,其中,第一处理气体是以下一种:含氮气体和含氢气体,以及其中,第二处理气体是含氮气体和含氢气体中的另一种;
用含氧气体来处理表面改性层;
用含氮且含氧气体来等离子体处理表面改性层;
依次用第一处理气体然后用第二处理气体来对表面改性层进行等离子体处理,其中,第一处理气体是含氮且含氧气体,以及其中,第二处理气体是含氮气体。
根据第14个方面,提供了第13个方面的方法,其中,当使用含氢气体时,含氢气体包括H2,以及其中,当使用含氮气体时,含氮气体包括氨、N2、肼、N2O、NO、N2O4、甲基胺、二甲胺、三甲胺、乙基胺和乙腈中的至少一种。
根据第15个方面,提供了第13个方面的方法,其中,当使用含氢气体时,含氢气体包括H2,以及其中,当使用含氧气体时,含氧气体包括O2、O3、H2O、甲醇、乙醇、丙醇、N2O、NO和N2O4中的至少一种。
根据第16个方面,提供了第1-15个方面中任一项的方法,其中,薄片粘结表面包括玻璃。
根据第17个方面,提供了第1-16个方面中任一项的方法,其中,载体粘结表面包括玻璃。
根据第18个方面,提供了第17个方面的方法,其中,薄片粘结表面和载体粘结表面中的至少一个在沉积表面改性层之前具有≤1nm的平均表面粗糙度Ra。
根据第19个方面,提供了第17或18个方面的方法,其中,薄片粘结表面和载体粘结表面中的至少一个在沉积表面改性层并且通过O2等离子体清洁后续去除表面改性层之后具有≤1nm的平均表面粗糙度Ra。
根据第20个方面,提供了第17或18个方面的方法,其中,载体粘结表面在沉积表面改性层之前具有第一平均表面粗糙度Ra1,其中,载体在其上布置了表面改性层并后续通过O2等离子体清洁去除之后具有第二表面粗糙度Ra2,以及Ra1和Ra2的差异≤1nm,当平均表面粗糙度是在5x 5微米面积上测量时。
根据第21个方面,提供了第1-20个方面中任一项的方法,其中,薄片的厚度≤300微米。
根据第22个方面,提供了第1-21个方面中任一项的方法,其中,表面改性层的厚度是1-70nm。
根据第23个方面,提供了第1-21个方面中任一项的方法,其中,表面改性层的厚度是2-10nm。
根据第24个方面,提供了第1-23个方面中任一项的方法,其中,薄片粘结表面和载体粘结表面中的至少一个包括玻璃,以及其中,在所述至少一个粘结表面上的表面改性层在与薄片粘结表面和载体粘结表面中的另一个粘结之前所实现的表面能为37-74mJ/m2
根据第25个方面,提供了与载体制品可去除粘结的薄片,其包括:
具有薄片粘结表面的薄片;
具有载体粘结表面的载体;
表面改性层,其中,表面改性层包括等离子体聚合烃,其使得薄片粘结表面与载体粘结表面发生粘结。
根据第26个方面,提供了与载体制品可去除粘结的薄片,其包括:
具有薄片粘结表面的薄片;
具有载体粘结表面的载体;
表面改性层,其中,表面改性层包括具有第一极性基团浓度的本体碳质层以及具有第二极性基团浓度的表面层,其中,第二极性基团浓度高于第一极性基团浓度,表面改性层使得薄片粘结表面与载体粘结表面发生粘结。
根据第27个方面,提供了第25或26个方面的方法,其中,薄片粘结表面包括玻璃。
根据第28个方面,提供了第25-27个方面中任一项的制品,其中,载体粘结表面包括玻璃。
根据第29个方面,提供了第28个方面的制品,其中,薄片粘结表面和载体粘结表面中的至少一个在沉积表面改性层之前具有≤1nm的平均表面粗糙度Ra。
根据第30个方面,提供了第28或29个方面的制品,其中,薄片粘结表面和载体粘结表面中的至少一个在其上沉积表面改性层的情况下具有≤1nm的平均表面粗糙度Ra。
根据第31个方面,提供了第25-30个方面中任一项的制品,其中,薄片的厚度≤300微米。
根据第32个方面,提供了第25-31个方面中任一项的制品,其中,表面改性层的厚度是1-70nm。
根据第33个方面,提供了第25-31个方面中任一项的制品,其中,表面改性层的厚度是2-10nm。
根据第34个方面,提供了第25-33个方面中任一项的制品,其中,表面改性层使得载体粘结表面与薄片粘结表面粘结,从而在使得经粘结的片和载体制品经受如下温度循环之后(所述温度循环是通过如下方式进行的:在室中加热,以9.2℃每分钟的速率从室温循环到400℃,在400℃的温度保持10分钟,然后以炉速率冷却至300℃,以及然后从室取出制品并使得制品冷却至室温),载体和薄片不发生相互分离,(如果举起其中一个而另一个经受重力作用力的情况下),以及薄片可以与载体发生分离(载体和片中较薄的那个没有破裂成两块或者更多块)。
根据第35个方面,提供了第25-34个方面中任一项的制品,其中,表面改性层构造成使得当载体粘结表面与薄片粘结表面粘结时,使表面改性层位于其间形成制品,使得制品经受如下脱气温度循环之后(所述温度循环是通过如下方式进行的:在室中加热,以9.2℃每分钟的速率从室温循环到450℃,在450℃的温度保持10分钟,然后以炉速率冷却至200℃,以及然后从室取出制品并使得制品冷却至室温),表面改性层在脱气温度循环过程中没有发生脱气。
根据第36个方面,提供了第35个方面的制品,其中,脱气定义为根据脱气测试#2,%气泡面积的变化≥5。
根据第37个方面,提供了第35个方面的制品,其中,脱气定义为根据脱气测试#1,在450℃的测试限温度,覆盖的表面能变化≥15mJ/m2
根据第38个方面,提供了第34-37方面中任一项的制品,其中,在氮气中进行加热。
根据第39个方面,提供了第25-38个方面中任一项的制品,其中,表面改性层与粘结表面直接接触的部分包含高至3%的氟。
根据A方面,提供了玻璃制品,其包括:
具有载体粘结表面的载体;
布置在载体粘结表面上的表面改性层,其中,表面改性层配置成使得载体粘结表面和玻璃片粘结表面以表面改性层位于其间粘结时,在使得制品经受如下温度循环之后(所述温度循环是通过如下方式进行的:在室中加热,以9.2℃每分钟的速率从室温循环到600℃,在600℃的温度保持10分钟,然后以1℃每分钟冷却至300℃,以及然后从室取出制品并使得制品冷却至室温),载体和片不发生相互分离,(如果举起其中一个而另一个经受重力作用力的情况下),在温度循环期间没有来自表面改性层的脱气,以及片可以与载体发生分离(载体和片中较薄的那个没有破裂成两块或者更多块)。
根据B方面,提供了玻璃制品,其包括:
具有载体粘结表面的载体;
具有片材粘结表面的片材;
布置在载体粘结表面和片材粘结表面中的一个上的表面改性层;
载体粘结表面和片材粘结表面以表面改性层位于其间粘结,其中,使得片材与载体粘结的表面能具有如下特性:在使得制品经受如下温度循环之后(所述温度循环是通过如下方式进行的:在室中加热,以9.2℃每分钟的速率从室温循环到600℃,在600℃的温度保持10分钟,以及然后以1℃每分钟冷却至300℃,以及然后从室取出制品并使得制品冷却至室温),载体和片材不发生相互分离,(如果举起其中一个而另一个经受重力作用力的情况下),在温度循环期间没有来自表面改性层的脱气,以及片材可以与载体发生分离(载体和片材中较薄的那个没有破裂成两块或者更多块)。
根据C方面,提供了A或B方面中任一项的玻璃制品,其中,表面改性层的厚度是0.1-100nm。
根据D方面,提供了A或B方面中任一项的玻璃制品,其中,表面改性层的厚度是0.1-10nm。
根据E方面,提供了A或B方面中任一项的玻璃制品,其中,表面改性层的厚度是0.1-2nm。
根据F方面,提供了根据方面A至E或1-39中任一项的玻璃制品,其中,载体是玻璃,其包括不含碱铝硅酸盐或硼硅酸盐或铝硼硅酸盐,玻璃的砷和锑水平分别≤0.05重量%。
根据方面G,提供了方面A至F或1-39中任一项的玻璃制品,其中,载体和片材的尺寸分别为100mm x 100mm或更大。
根据第40个方面,提供了制品,该制品包括:
具有载体粘结表面的载体;
其中具有至少一个孔的片材,所述片材还包括片材粘结表面;
表面改性层;
所述载体粘结表面与所述片材粘结表面粘结,所述表面改性层位于其间,其中,所述表面改性层具有该特性:在使得制品经受如下温度循环之后(所述温度循环是通过如下方式进行的:在室中加热,以9.2℃每分钟的速率从室温循环到500℃,在500℃的温度保持10分钟,然后以炉速率冷却至300℃,以及然后从室取出制品并使得制品冷却至室温),载体和片材不发生相互分离,(如果举起其中一个而另一个经受重力作用力的情况下),以及片材可以与载体发生分离(当在室温下进行分离时,载体和片材中较薄的那个没有破裂成两块或者更多块)。
根据第41个方面,提供了制品,该制品包括:
具有载体粘结表面的载体;
其中具有至少一个孔的片材,所述片材还包括片材粘结表面;
表面改性层;
所述载体粘结表面与所述片材粘结表面粘结,所述表面改性层位于其间,其中,所述表面改性层具有该特性:在使得制品经受如下温度循环之后(所述温度循环是通过如下方式进行的:在室中加热,以9.2℃每分钟的速率从室温循环到400℃,在400℃的温度保持10分钟,然后以炉速率冷却至300℃,以及然后从室取出制品并使得制品冷却至室温),载体和片材不发生相互分离,(如果举起其中一个而另一个经受重力作用力的情况下),根据测试#2没有从表面改性层发生脱气,以及片材可以与载体发生分离(当在室温下进行分离时,载体和片材中较薄的那个没有破裂成两块或者更多块)。
根据第42个方面,提供了第40或第41个方面的制品,所述片材包括硅、石英、蓝宝石、陶瓷或玻璃。
根据第43个方面,提供了第40个方面的制品,片材厚度≤200微米。
根据第44个方面,提供了制品,该制品包括:
具有载体粘结表面的载体;
晶片片材,其包括≤200微米的厚度,片材还包括片材粘结表面,片材包括硅、石英或蓝宝石;
表面改性层;
所述载体粘结表面与所述片材粘结表面粘结,所述表面改性层位于其间,其中,所述表面改性层具有该特性:在使得制品经受如下温度循环之后(所述温度循环是通过如下方式进行的:在室中加热,以9.2℃每分钟的速率从室温循环到500℃,在500℃的温度保持10分钟,然后以炉速率冷却至300℃,以及然后从室取出制品并使得制品冷却至室温),载体和片材不发生相互分离,(如果举起其中一个而另一个经受重力作用力的情况下),以及片材可以与载体发生分离(当在室温下进行分离时,载体和片材中较薄的那个没有破裂成两块或者更多块)。
根据第45个方面,提供了制品,该制品包括:
具有载体粘结表面的载体;
晶片片材,其包括≤200微米的厚度,片材还包括片材粘结表面,片材包括硅、石英或蓝宝石;
表面改性层;
所述载体粘结表面与所述片材粘结表面粘结,所述表面改性层位于其间,其中,所述表面改性层具有该特性:在使得制品经受如下温度循环之后(所述温度循环是通过如下方式进行的:在室中加热,以9.2℃每分钟的速率从室温循环到400℃,在400℃的温度保持10分钟,然后以炉速率冷却至300℃,以及然后从室取出制品并使得制品冷却至室温),载体和片材不发生相互分离,(如果举起其中一个而另一个经受重力作用力的情况下),根据测试#2没有从表面改性层发生脱气,以及片材可以与载体发生分离(当在室温下进行分离时,载体和片材中较薄的那个没有破裂成两块或者更多块)。
根据第46个方面,提供了第44或第45个方面的制品,片材在其中还包括至少一个孔。
根据第47个方面,提供了第40-43、46个方面中任一项的制品,所述至少一个孔的直径≤150微米。
根据第48个方面,提供了第40-43、46、47个方面中任一项的制品,所述至少一个孔在其中包括导电材料。
根据第49个方面,提供了第40-48个方面中任一项的制品,片材包括与片材粘结表面相反的器件表面,所述器件表面包括选自下组的器件阵列:集成电路;MEMS;CPU;微传感器;功率半导体;发光二极管;光子电路;***物;嵌入式无源器件;以及制造在硅、硅-锗、砷化镓和氮化镓上或者由硅、硅-锗、砷化镓和氮化镓制造的微器件。
根据第50个方面,提供了第40-48个方面中任一项的制品,片材包括与片材粘结表面相反的器件表面,所述器件表面包括选自下组的至少一种结构:焊接凸起;金属柱状物;金属柱;互联路径;互联线;绝缘氧化物层;以及由选自下组的材料形成的结构:硅、多晶硅、二氧化硅、(氧)氮化硅、金属、低k电介质、聚合物电介质、金属氮化物和金属硅化物。
根据第51个方面,提供了第40-50方面中任一项的制品,其中,在氮气中进行加热。
根据第52个方面,提供了第40、42-44、46-51个方面中任一项的制品,其中,在加热过程中,没有来自表面改性层的脱气,其中,来自表面改性层的脱气定义为以下至少一种:
(a)其中,根据脱气测试#1,在600℃的测试限温度,覆盖的表面能变化≥15mJ/m2;以及
(b)其中,根据脱气测试#2,在600℃的测试限温度,气泡面积的%变化≥5。
根据第53个方面,提供了第40-52个方面中任一项的制品,表面改性层包括以下一种:
a)等离子体聚合化的含氟聚合物;以及
b)芳族硅烷。
根据第54个方面,提供了第40-53个方面中任一项的制品,表面改性层的厚度是0.1-100nm。
根据第55个方面,提供了第40-54个方面中任一项的制品,载体包括玻璃。
根据第56个方面,提供了第40-55个方面中任一项的制品,其中,载体和片材中的至少一个的粘结表面包括≥100平方厘米的面积。
根据第57个方面,提供了制造***物的方法,该方法包括:
获得具有载体粘结表面的载体;
获得其中具有至少一个孔的片材,片材还包括片材粘结表面,其中,载体粘结表面和片材粘结表面中的至少一个包括在其上的表面改性层;
将具有粘结表面和表面改性层的载体和片材粘结,以形成制品;
使得制品经受生产线前道(FEOL)工序,其中,在FEOL工序之后,载体和片材没有相互分离(如果举起其中一个而另一个经受重力作用力的情况下);
在没有使得载体和片材中较薄的那个破裂成两块或更多块的情况下,从载体去除片材。
根据第58个方面,提供了第57个方面的方法,片材包括硅、石英、蓝宝石、陶瓷或玻璃。
根据第59个方面,提供了第57个方面的方法,片材厚度≤200微米。
根据第60个方面,提供了对硅晶片片材进行加工的方法,所述方法包括:
获得具有载体粘结表面的载体;
获得厚度≤200微米的晶片片材,片材包括硅、石英或蓝宝石,片材还包括片材粘结表面,其中,载体粘结表面和片材粘结表面中的至少一个包括在其上的表面改性层;
将具有粘结表面和表面改性层的载体和片材粘结,以形成制品;
使得制品经受生产线前道(FEOL)工序,其中,在FEOL工序之后,载体和片材没有相互分离(如果举起其中一个而另一个经受重力作用力的情况下);
在没有使得载体和片材中较薄的那个破裂成两块或更多块的情况下,从载体去除片材。
根据第61个方面,提供了第60个方面的方法,片材在其中还包括至少一个孔。
根据第62个方面,提供第57-61个方面中任一项的方法,其中,FEOL加工包括500-700℃的加工室温度。
根据第63个方面,提供了第57-61个方面中任一项的方法,其中,FEOL加工包括以下至少一种:DRIE(干反应性离子蚀刻);PVD;CVD TiN;PECVD SiO2;电镀Cu;Cu退火;度量衡法;Cu CMP;Cu(H2O2+H2SO4)+Ti(DHF)湿蚀刻;喷溅粘附层;喷溅晶种层;平版印刷(光刻胶、曝光、剥光(strip)、蚀刻Cu)。
根据第64个方面,提供了第57-59、61-63个方面中任一项的方法,所述至少一个孔的直径≤150微米。
根据第65个方面,提供了第57-59、61-64个方面中任一项的方法,所述至少一个孔在其中包括导电材料。
根据第66个方面,提供了第57-65个方面中任一项的方法,片材包括与片材粘结表面相反的器件表面,所述器件表面包括选自下组的器件阵列:集成电路;MEMS;CPU;微传感器;功率半导体;发光二极管;光子电路;***物;嵌入式无源器件;以及制造在硅、硅-锗、砷化镓和氮化镓上或者由硅、硅-锗、砷化镓和氮化镓制造的微器件。
根据第67个方面,提供了第57-65个方面中任一项的方法,片材包括与片材粘结表面相反的器件表面,所述器件表面包括选自下组的至少一种结构:焊接凸起;金属柱状物;金属柱;互联路径;互联线;绝缘氧化物层;以及由选自下组的材料形成的结构:硅、多晶硅、二氧化硅、(氧)氮化硅、金属、低k电介质、聚合物电介质、金属氮化物和金属硅化物。
根据第68个方面,提供了第57-67方面中任一项的方法,其中,在氮气中进行加热。
根据第69个方面,提供了第57-68个方面中任一项的方法,其中,在加热过程中,没有来自表面改性层的脱气,其中,来自表面改性层的脱气定义为以下至少一种:
(a)其中,根据脱气测试#1,在600℃的测试限温度,覆盖的表面能变化≥15mJ/m2;以及
(b)其中,根据脱气测试#2,在600℃的测试限温度,气泡面积的%变化≥5。
根据第70个方面,提供了第57-69个方面中任一项的方法,表面改性层包括以下一种:
a)等离子体聚合化的含氟聚合物;以及
b)芳族硅烷。
根据第71个方面,提供了第57-70个方面中任一项的方法,表面改性层的厚度是0.1-100nm。
根据第72个方面,提供了第57-71个方面中任一项的方法,载体包括玻璃。
根据第73个方面,提供了第57-72个方面中任一项的方法,其中,载体和片材中的至少一个的粘结表面包括≥100平方厘米的面积。

Claims (19)

1.一种将薄片与载体可控粘结的方法,所述方法包括:
获得具有薄片粘结表面的薄片;
获得具有载体粘结表面的载体;
将碳质表面改性层沉积到所述薄片粘结表面和所述载体粘结表面中的至少一个上;
使得所述表面改性层结合极性基团,从而使得所述表面改性层包括具有第一极性基团浓度的本体碳质层以及具有第二极性基团浓度的表面层,其中,所述第二极性基团浓度高于所述第一极性基团浓度;以及
通过所述表面改性层使得所述薄片粘结表面与所述载体粘结表面粘结。
2.如权利要求1所述的方法,其特征在于,所述薄片粘结表面和所述载体粘结表面中的所述至少一个具有包括极性分量的表面能,以及其中,所述极性基团的结合使得所述表面能的极性分量增加至少20mJ/m2
3.如权利要求1或2所述的方法,其特征在于,通过含碳气体的等离子体聚合沉积了所述表面改性层。
4.如权利要求3所述的方法,所述方法还包括在所述等离子体聚合过程中,使得氢气与所述含碳气体一起流动。
5.如权利要求3所述的方法,所述方法还包括在所述等离子体聚合过程中使用稀释气体,来控制沉积气体的摩尔分数。
6.如权利要求5所述的方法,所述方法还包括在所述等离子体聚合过程中,使得反应性气体流动。
7.如权利要求1所述的方法,其特征在于,在结合极性基团之前进行所述表面改性层的沉积,并且在所述薄片粘结表面和所述载体粘结表面中的所述至少一个上提供第一表面能;以及其中,在所述表面改性层的沉积之后进行极性基团的结合,并且使得第一表面能变化为第二表面能,其中,所述第二表面能大于所述第一表面能。
8.如权利要求1所述的方法,其特征在于,所述极性基团的结合以如下一种方式进行:
用含氮气体来处理所述表面改性层;
依次用第一处理气体然后用第二处理气体来处理所述表面改性层,其中,所述第一处理气体是以下一种:含氮气体和含氢气体,以及其中,所述第二处理气体是所述含氮气体和所述含氢气体中的另一种;
用含氧气体来处理所述表面改性层;
用含氮且含氧气体来等离子体处理所述表面改性层;
依次用第一处理气体然后用第二处理气体来对所述表面改性层进行等离子体处理,其中,所述第一处理气体是含氮且含氧气体,以及其中,所述第二处理气体是含氮气体。
9.如权利要求1所述的方法,其特征在于,所述薄片粘结表面和所述载体粘结表面中的所述至少一个在所述表面改性层的沉积之前具有≤1nm的平均表面粗糙度Ra,以及其中,所述薄片粘结表面和所述载体粘结表面中的所述至少一个在沉积和后续通过O2等离子体清洁去除表面改性层之后,具有≤1nm的平均表面粗糙度Ra。
10.如权利要求1所述的方法,其特征在于,所述薄片粘结表面和所述载体粘结表面中的所述至少一个包括玻璃,以及其中,在所述至少一个粘结表面上的所述表面改性层在与所述薄片粘结表面和所述载体粘结表面中的另一个粘结之前所实现的表面能为37-74mJ/m2
11.如权利要求1所述的方法,其特征在于,所述薄片在其中包括至少一个孔。
12.一种使得薄片与载体可去除粘结的制品,该制品包括:
具有薄片粘结表面的薄片;
具有载体粘结表面的载体;
表面改性层,其中,所述表面改性层包括具有第一极性基团浓度的本体碳质层以及具有第二极性基团浓度的表面层,其中,所述第二极性基团浓度高于所述第一极性基团浓度,所述表面改性层使得所述薄片粘结表面与所述载体粘结表面发生粘结。
13.如权利要求12所述的制品,其特征在于,所述薄片粘结表面和所述载体粘结表面中的至少一个在所述表面改性层的沉积之前具有≤1nm的平均表面粗糙度Ra。
14.如权利要求12所述的制品,其特征在于,所述表面改性层使得所述载体粘结表面与所述薄片粘结表面粘结,从而在使得经粘结的薄片和载体制品经受如下温度循环之后,所述载体和所述薄片不发生相互分离,这是如果举起其中一个而另一个经受重力作用力的情况,以及所述薄片可以与所述载体发生分离,所述载体和薄片中较薄的那个没有破裂成两块或者更多块,所述温度循环是通过如下方式进行的:在室中加热,以9.2℃每分钟的速率从室温循环到400℃,在400℃的温度保持10分钟,然后以炉速率冷却至300℃,以及然后从室取出制品并使得制品冷却至室温。
15.如权利要求12所述的制品,其特征在于,所述表面改性层构造成使得当所述载体粘结表面与所述薄片粘结表面粘结时,使所述表面改性层位于其间形成制品,在使得所述制品经受如下脱气温度循环之后,所述表面改性层在脱气温度循环过程中没有发生脱气,所述温度循环是通过如下方式进行的:在室中加热,以9.2℃每分钟的速率从室温循环到450℃,在450℃的温度保持10分钟,然后以炉速率冷却至200℃,以及然后从室取出制品并使得制品冷却至室温。
16.如权利要求15所述的制品,其特征在于,脱气定义为根据脱气测试#2,%气泡面积的变化≥5。
17.如权利要求15所述的制品,其特征在于,脱气定义为根据脱气测试#1,在450℃的测试限温度,覆盖的表面能变化≥15mJ/m2
18.如权利要求12所述的制品,其特征在于,所述表面改性层与载体粘结表面和/或薄片粘结表面直接接触的部分包含高至3原子%的氟。
19.如权利要求12所述的制品,其特征在于,所述薄片在其中包括至少一个孔。
CN201580015961.2A 2014-01-27 2015-01-26 用于薄片与载体的受控粘结的制品和方法 Active CN106132688B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201461931927P 2014-01-27 2014-01-27
US61/931,927 2014-01-27
PCT/US2015/012865 WO2015112958A1 (en) 2014-01-27 2015-01-26 Articles and methods for controlled bonding of thin sheets with carriers

Publications (2)

Publication Number Publication Date
CN106132688A CN106132688A (zh) 2016-11-16
CN106132688B true CN106132688B (zh) 2020-07-14

Family

ID=53682014

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201580015961.2A Active CN106132688B (zh) 2014-01-27 2015-01-26 用于薄片与载体的受控粘结的制品和方法

Country Status (7)

Country Link
US (2) US10046542B2 (zh)
EP (1) EP3099483B1 (zh)
JP (1) JP6770432B2 (zh)
KR (1) KR102353030B1 (zh)
CN (1) CN106132688B (zh)
TW (1) TWI671200B (zh)
WO (1) WO2015112958A1 (zh)

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9725357B2 (en) 2012-10-12 2017-08-08 Corning Incorporated Glass articles having films with moderate adhesion and retained strength
US10543662B2 (en) 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
KR101949561B1 (ko) 2012-10-12 2019-02-18 코닝 인코포레이티드 잔류 강도를 갖는 제품
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
CN106132688B (zh) 2014-01-27 2020-07-14 康宁股份有限公司 用于薄片与载体的受控粘结的制品和方法
EP3129221A1 (en) 2014-04-09 2017-02-15 Corning Incorporated Device modified substrate article and methods for making
EP3216050B1 (en) 2014-11-05 2021-09-08 Corning Incorporated Bottom-up electrolytic via plating method
US20160270247A1 (en) * 2015-03-11 2016-09-15 Apple Inc. Laminating sapphire and glass using intermolecular force adhesion
WO2016147828A1 (ja) * 2015-03-19 2016-09-22 ウシオ電機株式会社 ワークの貼り合わせ方法
KR102573207B1 (ko) 2015-05-19 2023-08-31 코닝 인코포레이티드 시트와 캐리어의 결합을 위한 물품 및 방법
EP3313799B1 (en) 2015-06-26 2022-09-07 Corning Incorporated Methods and articles including a sheet and a carrier
WO2017058988A1 (en) * 2015-10-02 2017-04-06 Corning Incorporated Methods for treating a glass surface to reduce particle adhesion
KR102622227B1 (ko) 2015-10-30 2024-01-08 코닝 인코포레이티드 제2 기판에 결합된 제1 기판을 가공하는 방법
US10316010B2 (en) 2015-12-17 2019-06-11 South Dakota Board Of Regents Versatile non-destructive surface modification of carbonaceous materials and process for grafting into polymer matrices
TW201737766A (zh) 2016-01-21 2017-10-16 康寧公司 處理基板的方法
US10410883B2 (en) 2016-06-01 2019-09-10 Corning Incorporated Articles and methods of forming vias in substrates
US10794679B2 (en) 2016-06-29 2020-10-06 Corning Incorporated Method and system for measuring geometric parameters of through holes
US10134657B2 (en) 2016-06-29 2018-11-20 Corning Incorporated Inorganic wafer having through-holes attached to semiconductor wafer
TW201825623A (zh) * 2016-08-30 2018-07-16 美商康寧公司 用於片材接合的矽氧烷電漿聚合物
TWI810161B (zh) * 2016-08-31 2023-08-01 美商康寧公司 具以可控制式黏結的薄片之製品及製作其之方法
US20190270669A1 (en) * 2016-10-25 2019-09-05 Corning Incorporated Methods and apparatus for positioning and securing glass, glass-ceramic and ceramic substrates for coating
TWI673230B (zh) 2017-01-16 2019-10-01 昇佳電子股份有限公司 微機電裝置的製造方法
US10580725B2 (en) 2017-05-25 2020-03-03 Corning Incorporated Articles having vias with geometry attributes and methods for fabricating the same
US11078112B2 (en) 2017-05-25 2021-08-03 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
CN109671614B (zh) * 2017-08-10 2020-08-21 长江存储科技有限责任公司 一种晶圆键合方法
JP7260523B2 (ja) 2017-08-18 2023-04-18 コーニング インコーポレイテッド ポリカチオン性高分子を使用した一時的結合
US10727195B2 (en) * 2017-09-15 2020-07-28 Technetics Group Llc Bond materials with enhanced plasma resistant characteristics and associated methods
KR102304660B1 (ko) * 2017-10-18 2021-09-23 동우 화인켐 주식회사 플렉서블 디스플레이 장치
CN111372903A (zh) * 2017-11-20 2020-07-03 康宁股份有限公司 使用阳离子表面活性剂和/或有机盐的玻璃对的临时结合
CN111615567B (zh) 2017-12-15 2023-04-14 康宁股份有限公司 用于处理基板的方法和用于制备包括粘合片材的制品的方法
US10917966B2 (en) 2018-01-29 2021-02-09 Corning Incorporated Articles including metallized vias
US11554984B2 (en) 2018-02-22 2023-01-17 Corning Incorporated Alkali-free borosilicate glasses with low post-HF etch roughness
US11152294B2 (en) 2018-04-09 2021-10-19 Corning Incorporated Hermetic metallized via with improved reliability
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
WO2020010056A1 (en) * 2018-07-03 2020-01-09 Invensas Bonding Technologies, Inc. Techniques for joining dissimilar materials in microelectronics
CN113195424A (zh) 2018-07-16 2021-07-30 康宁股份有限公司 具有改进的性质的玻璃陶瓷制品及其制造方法
CN112437759A (zh) * 2018-07-16 2021-03-02 康宁股份有限公司 具有改善的翘曲的玻璃制品的陶瓷化方法
CN112512979B (zh) 2018-07-16 2022-09-20 康宁股份有限公司 利用成核和生长密度以及粘度变化对玻璃进行陶瓷化的方法
JP2020128077A (ja) * 2018-08-01 2020-08-27 東洋紡株式会社 積層フィルム、エッジクリーニング装置、及び、クリーニングされた積層フィルムの製造方法
CN110798998B (zh) * 2018-08-02 2021-07-20 比亚迪股份有限公司 壳体及其制备方法、显示装置以及终端设备
KR102269203B1 (ko) * 2018-08-22 2021-06-24 주식회사 엘지화학 마스크 필름 및 이를 이용한 편광판의 제조방법
JP7128697B2 (ja) * 2018-09-19 2022-08-31 ファスフォードテクノロジ株式会社 ダイボンディング装置および半導体装置の製造方法
EP3887151A1 (en) * 2018-11-30 2021-10-06 Corning Incorporated Insulated glass units with low cte center panes
CN113196418A (zh) * 2018-12-20 2021-07-30 株式会社村田制作所 层叠体、电子部件和层叠体的制造方法
JP7492969B2 (ja) 2019-02-21 2024-05-30 コーニング インコーポレイテッド 銅金属化貫通孔を有するガラスまたはガラスセラミック物品およびその製造方法
WO2021092376A1 (en) * 2019-11-08 2021-05-14 Mosaic Microsystems Llc Processed inorganic wafer and processing wafer stack with abrasive process
CN115943489A (zh) 2020-03-19 2023-04-07 隔热半导体粘合技术公司 用于直接键合结构的尺寸补偿控制
US11673830B2 (en) * 2020-11-11 2023-06-13 Applied Materials, Inc. Glass carrier cleaning using ozone
KR20230109135A (ko) * 2020-11-26 2023-07-19 니폰 덴키 가라스 가부시키가이샤 유리 필름 적층체의 제조 방법 및 유리판의 세정 방법
KR102577497B1 (ko) * 2022-11-28 2023-09-11 에스케이엔펄스 주식회사 반도체 소자 제조 장치용 부품, 이를 포함하는 반도체 소자 제조 장치 및 반도체 소자의 제조 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003071937A (ja) * 2001-09-05 2003-03-12 Toppan Printing Co Ltd 積層体及びその製造方法、並びに多層回路基板
CN1675058A (zh) * 2002-08-07 2005-09-28 株式会社丰田中央研究所 具有粘合层的层压产品和具有保护膜的层压产品
CN101444785A (zh) * 2007-11-28 2009-06-03 精工爱普生株式会社 接合体的剥离方法
JP2011235556A (ja) * 2010-05-11 2011-11-24 Seiko Epson Corp 励起エネルギー特定方法、励起エネルギー特定装置、接合方法および接合装置

Family Cites Families (357)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1082116A (en) 1964-09-03 1967-09-06 Owens Illinois Inc Organopolysiloxane resins and their production
US3722181A (en) 1970-05-22 1973-03-27 Du Pont Chromatographic packing with chemically bonded organic stationary phases
FR2110495A5 (zh) 1970-10-19 1972-06-02 Michelin & Cie
IE35508B1 (en) 1971-08-11 1976-03-03 Intercontinental Chem Co Ltd Method of forming adhesive bonds between surfaces
US4096315A (en) 1976-12-15 1978-06-20 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Process for producing a well-adhered durable optical coating on an optical plastic substrate
GB1583544A (en) 1977-07-25 1981-01-28 Uop Inc Metal-clad laminates
US4179324A (en) 1977-11-28 1979-12-18 Spire Corporation Process for fabricating thin film and glass sheet laminate
US4397722A (en) 1981-12-31 1983-08-09 International Business Machines Corporation Polymers from aromatic silanes and process for their preparation
US4599243A (en) 1982-12-23 1986-07-08 International Business Machines Corporation Use of plasma polymerized organosilicon films in fabrication of lift-off masks
US4849284A (en) 1987-02-17 1989-07-18 Rogers Corporation Electrical substrate material
US4822466A (en) 1987-06-25 1989-04-18 University Of Houston - University Park Chemically bonded diamond films and method for producing same
US4810326A (en) 1987-08-31 1989-03-07 International Business Machines Corporation Interlaminate adhesion between polymeric materials and electrolytic copper surfaces
US5357726A (en) 1989-02-02 1994-10-25 Chemfab Corporation Composite materials for structural end uses
US5141800A (en) 1989-02-02 1992-08-25 Chemical Fabrics Corporation Method of making laminated PTFE-containing composites and products thereof
US4990462A (en) 1989-04-12 1991-02-05 Advanced Micro Devices, Inc. Method for coplanar integration of semiconductor ic devices
US5073181A (en) 1990-08-09 1991-12-17 Corning Incorporated Method of protecting glass surfaces using submicron refractory particles
CA2069038C (en) 1991-05-22 1997-08-12 Kiyofumi Sakaguchi Method for preparing semiconductor member
US5462781A (en) 1991-06-14 1995-10-31 W. L. Gore & Associates, Inc. Surface modified porous expanded polytetrafluoroethylene and process for making
US5222494A (en) 1991-07-31 1993-06-29 Cyberonics, Inc. Implantable tissue stimulator output stabilization system
JP3039070B2 (ja) 1991-10-09 2000-05-08 住友電気工業株式会社 弗素樹脂被覆物
FR2690279B1 (fr) 1992-04-15 1997-10-03 Picogiga Sa Composant photovoltauique multispectral.
US5491571A (en) 1993-01-19 1996-02-13 Hughes Aircraft Company Liquid crystal display including electrodes and driver devices integrally formed in monocrystalline semiconductor layer
US5482896A (en) 1993-11-18 1996-01-09 Eastman Kodak Company Light emitting device comprising an organic LED array on an ultra thin substrate and process for forming same
US5616179A (en) 1993-12-21 1997-04-01 Commonwealth Scientific Corporation Process for deposition of diamondlike, electrically conductive and electron-emissive carbon-based films
US5554680A (en) 1994-02-16 1996-09-10 E. I. Du Pont De Nemours And Company Heat-resistant perfluoroelastomer composition
JP3081122B2 (ja) 1994-07-18 2000-08-28 シャープ株式会社 基板搬送用治具及びそれを用いた液晶表示素子の製造方法
US5760100B1 (en) 1994-09-06 2000-11-14 Ciba Vision Corp Extended wear ophthalmic lens
US5413940A (en) 1994-10-11 1995-05-09 Taiwan Semiconductor Manufacturing Company Process of treating SOG layer using end-point detector for outgassing
DE69606942T2 (de) 1995-09-25 2000-10-05 Dow Corning Verwendung von präkeramischen Polymeren als Klebstoffe für Elektronik
CN1074006C (zh) 1995-10-13 2001-10-31 陶氏化学公司 涂覆的塑料基材
US5661618A (en) 1995-12-11 1997-08-26 International Business Machines Corporation Magnetic recording device having a improved slider
JP3930591B2 (ja) 1995-12-22 2007-06-13 東陶機器株式会社 光触媒性親水性コーティング組成物、親水性被膜の形成方法および被覆物品
US5888591A (en) 1996-05-06 1999-03-30 Massachusetts Institute Of Technology Chemical vapor deposition of fluorocarbon polymer thin films
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
WO2004079826A1 (ja) 1996-10-22 2004-09-16 Mitsutoshi Miyasaka 薄膜トランジスタの製造方法、及び表示装置と電子機器
US5820991A (en) 1997-02-24 1998-10-13 Cabo; Ana M. Fused glass sheets having ceramic paint and metal foil and method of making same
DE19711459A1 (de) 1997-03-19 1998-09-24 Flachglas Automotive Gmbh Verfahren zur Herstellung einer gebogenen Verbundsicherheitsglasscheibe
JP3551702B2 (ja) 1997-05-08 2004-08-11 カシオ計算機株式会社 液晶表示素子とその駆動方法
US6687969B1 (en) 1997-05-16 2004-02-10 Micron Technology, Inc. Methods of fixturing flexible substrates and methods of processing flexible substrates
US5972152A (en) 1997-05-16 1999-10-26 Micron Communications, Inc. Methods of fixturing flexible circuit substrates and a processing carrier, processing a flexible circuit and processing a flexible circuit substrate relative to a processing carrier
JPH1126733A (ja) 1997-07-03 1999-01-29 Seiko Epson Corp 薄膜デバイスの転写方法、薄膜デバイス、薄膜集積回路装置,アクティブマトリクス基板、液晶表示装置および電子機器
EP1018153A1 (en) 1997-08-29 2000-07-12 Sharon N. Farrens In situ plasma wafer bonding method
US5966622A (en) 1997-10-08 1999-10-12 Lucent Technologies Inc. Process for bonding crystalline substrates with different crystal lattices
US6852650B2 (en) 1998-02-05 2005-02-08 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
EP2261917A3 (en) 1998-02-23 2011-03-09 Kabushiki Kaisha Toshiba Information storage medium, information playback method and apparatus and information recording method
US6159385A (en) 1998-05-08 2000-12-12 Rockwell Technologies, Llc Process for manufacture of micro electromechanical devices having high electrical isolation
EP1048628A1 (de) 1999-04-30 2000-11-02 Schott Glas Polymerbeschichtete Dünnglasfoliensubstrate
US6379746B1 (en) 1999-02-02 2002-04-30 Corning Incorporated Method for temporarily protecting glass articles
JP3202718B2 (ja) 1999-02-23 2001-08-27 鹿児島日本電気株式会社 表示装置製造用治具及びそれを用いた表示装置の製造方法
US6387736B1 (en) 1999-04-26 2002-05-14 Agilent Technologies, Inc. Method and structure for bonding layers in a semiconductor device
US6338901B1 (en) 1999-05-03 2002-01-15 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
EP1054454A3 (en) 1999-05-18 2004-04-21 Nippon Sheet Glass Co., Ltd. Glass sheet with conductive film, method of manufacturing the same, and photoelectric conversion device using the same
US8853696B1 (en) 1999-06-04 2014-10-07 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and electronic device
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
SG93210A1 (en) 1999-06-29 2002-12-17 Univ Singapore Method for lamination of fluoropolymer to metal and printed circuit board (pcb) substrate
TW544727B (en) 1999-08-13 2003-08-01 Semiconductor Energy Lab Method of manufacturing a semiconductor device
CN1314086C (zh) 2000-02-01 2007-05-02 模拟装置公司 具有抗静摩擦特性的芯片、微机电装置及其制造方法
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6528145B1 (en) 2000-06-29 2003-03-04 International Business Machines Corporation Polymer and ceramic composite electronic substrates
DE10034737C2 (de) 2000-07-17 2002-07-11 Fraunhofer Ges Forschung Verfahren zur Herstellung einer permanenten Entformungsschicht durch Plasmapolymerisation auf der Oberfläche eines Formteilwerkzeugs, ein nach dem Verfahren herstellbares Formteilwerkzeug und dessen Verwendung
US6649540B2 (en) 2000-11-09 2003-11-18 The Boc Group, Inc. Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film
FR2823599B1 (fr) 2001-04-13 2004-12-17 Commissariat Energie Atomique Substrat demomtable a tenue mecanique controlee et procede de realisation
FR2823596B1 (fr) 2001-04-13 2004-08-20 Commissariat Energie Atomique Substrat ou structure demontable et procede de realisation
FR2824821B1 (fr) 2001-05-17 2003-08-29 Essilor Int Procede de preparation d'un verre apte au debordage, verre ainsi obtenu et procede de debordage d'un tel verre
US7045878B2 (en) 2001-05-18 2006-05-16 Reveo, Inc. Selectively bonded thin film layer and substrate layer for processing of useful devices
US6956268B2 (en) 2001-05-18 2005-10-18 Reveo, Inc. MEMS and method of manufacturing MEMS
JP5415658B2 (ja) 2001-05-28 2014-02-12 三菱樹脂株式会社 中間膜用粘着シート及び透明積層体
US7396598B2 (en) 2001-06-20 2008-07-08 Showa Denko K.K. Light emitting material and organic light-emitting device
DE60221753T2 (de) 2001-06-29 2007-12-06 Crystal Systems Inc. Beschlagungsresistente transparente Artikel, Stoffe, die eine hydrophile anorganische Schicht hoher Härte bilden und Verfahren zur Herstellung einer beschlagungsarmen Linse
US6735982B2 (en) 2001-07-12 2004-05-18 Intel Corporation Processing relatively thin glass sheets
US6649212B2 (en) 2001-07-30 2003-11-18 Guardian Industries Corporation Modified silicon-based UV absorbers useful in crosslinkable polysiloxane coatings via sol-gel polymerization
TW558743B (en) 2001-08-22 2003-10-21 Semiconductor Energy Lab Peeling method and method of manufacturing semiconductor device
JP2003077187A (ja) 2001-08-30 2003-03-14 Toshiba Corp 光ディスクの製造方法
US7033910B2 (en) 2001-09-12 2006-04-25 Reveo, Inc. Method of fabricating multi layer MEMS and microfluidic devices
US6528351B1 (en) 2001-09-24 2003-03-04 Jigsaw Tek, Inc. Integrated package and methods for making same
US6814833B2 (en) 2001-10-26 2004-11-09 Corning Incorporated Direct bonding of articles containing silicon
US6521857B1 (en) 2001-11-19 2003-02-18 Geomat Insights, Llc Plasma enhanced bonding method and device
DE10162435A1 (de) 2001-12-19 2003-07-17 Joerg Lahann Verfahren zur Erzeugung von Oberflächenbeschichtungen, die die Adsorption von Proteinen bzw. die Adhäsion von Bakterien und/oder Zellen vermindern
US6824872B2 (en) 2002-04-23 2004-11-30 Laurel Products Llc Surface-treating fluoropolymer powders using atmospheric plasma
US6699798B2 (en) 2002-04-24 2004-03-02 Intel Corporation Promoting adhesion of fluoropolymer films to semiconductor substrates
FR2874455B1 (fr) 2004-08-19 2008-02-08 Soitec Silicon On Insulator Traitement thermique avant collage de deux plaquettes
JP3639978B2 (ja) 2002-05-10 2005-04-20 日本航空電子工業株式会社 光スイッチ
EP1363319B1 (en) 2002-05-17 2009-01-07 Semiconductor Energy Laboratory Co., Ltd. Method of transferring an object and method of manufacturing a semiconductor device
KR101005989B1 (ko) 2002-06-11 2011-01-05 코니카 미놀타 홀딩스 가부시키가이샤 표면 처리 방법 및 광학 부품
US7101947B2 (en) 2002-06-14 2006-09-05 Florida State University Research Foundation, Inc. Polyelectrolyte complex films for analytical and membrane separation of chiral compounds
JP3941627B2 (ja) * 2002-08-07 2007-07-04 株式会社豊田中央研究所 密着層を備える積層体
WO2004027110A2 (en) 2002-09-18 2004-04-01 Arch Specialty Chemicals, Inc. Additives to prevent degradation of alkyl-hydrogen siloxanes
DE20215401U1 (de) 2002-10-07 2004-02-19 Schott Glas Verbund aus einem Dünnstsubsrat und einem Trägersubstrat mit lösbarem Verbindungsmittel
TW578439B (en) 2002-10-25 2004-03-01 Ritdisplay Corp Organic light emitting diode and material applied in the organic light emitting diode
WO2004112089A2 (en) 2002-11-20 2004-12-23 Reveo, Inc. Method and system for fabricating multi layer devices on a substrate
JP2004178891A (ja) 2002-11-26 2004-06-24 Mitsubishi Electric Corp 電子放出型発光素子の製造方法
DE10256247A1 (de) * 2002-11-29 2004-06-09 Andreas Jakob Schichtverbund aus einer Trennschicht und einer Schutzschicht zum Schutze und zum Handling eines Wafers beim Dünnen, bei der Rückseitenbeschichtung und beim Vereinzeln
US7482249B2 (en) 2002-11-29 2009-01-27 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Method and device for machining a wafer, in addition to a wafer comprising a separation layer and a support layer
EP1581347B1 (de) * 2002-12-17 2009-02-25 Wipf AG Substrat mit einer polaren plasmapolymerisierten schicht
US6762074B1 (en) 2003-01-21 2004-07-13 Micron Technology, Inc. Method and apparatus for forming thin microelectronic dies
US7089635B2 (en) 2003-02-25 2006-08-15 Palo Alto Research Center, Incorporated Methods to make piezoelectric ceramic thick film arrays and elements
US6964201B2 (en) 2003-02-25 2005-11-15 Palo Alto Research Center Incorporated Large dimension, flexible piezoelectric ceramic tapes
TWI361814B (en) 2003-03-07 2012-04-11 Kuraray Co Plastic bonding method
US7220656B2 (en) 2003-04-29 2007-05-22 Micron Technology, Inc. Strained semiconductor by wafer bonding with misorientation
US6969166B2 (en) 2003-05-29 2005-11-29 3M Innovative Properties Company Method for modifying the surface of a substrate
JP2005014599A (ja) * 2003-05-30 2005-01-20 Toyota Central Res & Dev Lab Inc 樹脂シート及びエレクトロルミネッセンス表示装置
FR2855909B1 (fr) 2003-06-06 2005-08-26 Soitec Silicon On Insulator Procede d'obtention concomitante d'au moins une paire de structures comprenant au moins une couche utile reportee sur un substrat
US20040258850A1 (en) * 2003-06-18 2004-12-23 Ann Straccia Environmentally friendly reactive fixture to allow localized surface engineering for improved adhesion to coated and non-coated substrates
US20050001201A1 (en) 2003-07-03 2005-01-06 Bocko Peter L. Glass product for use in ultra-thin glass display applications
FR2859312B1 (fr) 2003-09-02 2006-02-17 Soitec Silicon On Insulator Scellement metallique multifonction
US7242041B2 (en) 2003-09-22 2007-07-10 Lucent Technologies Inc. Field-effect transistors with weakly coupled layered inorganic semiconductors
US20050069713A1 (en) 2003-09-30 2005-03-31 Rahul Gupta Capillary coating method
WO2005036604A2 (en) 2003-10-09 2005-04-21 E.I. Dupont De Nemours And Company Apparatus and method for supporting a flexible substrate during processing
US6992371B2 (en) 2003-10-09 2006-01-31 Freescale Semiconductor, Inc. Device including an amorphous carbon layer for improved adhesion of organic layers and method of fabrication
US20050081993A1 (en) 2003-10-16 2005-04-21 Ilkka Steven J. Method of bonding glass
GB0326537D0 (en) 2003-11-14 2003-12-17 Koninkl Philips Electronics Nv Flexible devices
US20050118742A1 (en) 2003-11-17 2005-06-02 Frank Henning Method for reducing the adhesive properties of MEMS and anti-adhesion-coated device
GB0327093D0 (en) 2003-11-21 2003-12-24 Koninkl Philips Electronics Nv Active matrix displays and other electronic devices having plastic substrates
KR101002936B1 (ko) 2003-12-17 2010-12-21 삼성전자주식회사 캐리어 기판, 이를 이용한 플라스틱 기판의 적층 방법 및유연한 디스플레이 장치의 제조 방법
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
KR101073032B1 (ko) 2003-12-19 2011-10-12 삼성전자주식회사 플라스틱 기판의 적층 방법 및 이를 이용한 유연한디스플레이 장치의 제조방법
US7041608B2 (en) 2004-02-06 2006-05-09 Eastman Kodak Company Providing fluorocarbon layers on conductive electrodes in making electronic devices such as OLED devices
US7147891B2 (en) 2004-02-11 2006-12-12 Dionex Corporation Polymer substrate coated with weak cationic-exchange functional layer
CN1998087B (zh) 2004-03-12 2014-12-31 独立行政法人科学技术振兴机构 非晶形氧化物和薄膜晶体管
US7087134B2 (en) 2004-03-31 2006-08-08 Hewlett-Packard Development Company, L.P. System and method for direct-bonding of substrates
JP2005300972A (ja) 2004-04-13 2005-10-27 Seiko Epson Corp 表示装置の製造方法及び基板貼り合わせ装置
JP2006003684A (ja) 2004-06-18 2006-01-05 Nikon Corp 基板レスフィルタの製造方法
ITMI20041252A1 (it) 2004-06-22 2004-09-22 Solvay Solexis Spa Composizioni perfluoroelastomeriche
US7261793B2 (en) 2004-08-13 2007-08-28 Hewlett-Packard Development Company, L.P. System and method for low temperature plasma-enhanced bonding
US20060134362A1 (en) 2004-12-17 2006-06-22 3M Innovative Properties Company Optically clear pressure sensitive adhesive
JP5068674B2 (ja) 2005-03-01 2012-11-07 ダウ・コーニング・コーポレイション 半導体加工のための一時的なウェハ結合法
CN101175633B (zh) 2005-04-19 2011-12-21 宇部兴产株式会社 聚酰亚胺薄膜层合体
US20060246218A1 (en) 2005-04-29 2006-11-02 Guardian Industries Corp. Hydrophilic DLC on substrate with barrier discharge pyrolysis treatment
TWI402935B (zh) 2005-05-17 2013-07-21 Koninkl Philips Electronics Nv 彩色主動矩陣顯示器
US7462552B2 (en) 2005-05-23 2008-12-09 Ziptronix, Inc. Method of detachable direct bonding at low temperatures
US20070020451A1 (en) 2005-07-20 2007-01-25 3M Innovative Properties Company Moisture barrier coatings
US7166520B1 (en) 2005-08-08 2007-01-23 Silicon Genesis Corporation Thin handle substrate method and structure for fabricating devices using one or more films provided by a layer transfer process
KR101285442B1 (ko) 2005-08-09 2013-07-12 아사히 가라스 가부시키가이샤 박판 유리 적층체 및 박판 유리 적층체를 이용한 표시장치의 제조 방법
US20070048530A1 (en) 2005-08-29 2007-03-01 Wen-Kuang Tsao Anti-static substrate
TWI288493B (en) 2005-09-13 2007-10-11 Ind Tech Res Inst Method for fabricating a device with flexible substrate and method for stripping flexible-substrate
US7462551B2 (en) 2005-09-30 2008-12-09 Intel Corporation Adhesive system for supporting thin silicon wafer
US8039049B2 (en) 2005-09-30 2011-10-18 Tokyo Electron Limited Treatment of low dielectric constant films using a batch processing system
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
JP2007138144A (ja) 2005-10-18 2007-06-07 Hitachi Chem Co Ltd シリカ系被膜形成用組成物
KR20070047114A (ko) 2005-11-01 2007-05-04 주식회사 엘지화학 플렉서블 기판을 구비한 소자의 제조방법 및 이에 의해제조된 플렉서블 기판을 구비한 소자
WO2007055142A1 (en) 2005-11-11 2007-05-18 Semiconductor Energy Laboratory Co., Ltd. Layer having functionality, method for forming flexible substrate having the same, and method for manufacturing semiconductor device
FR2893750B1 (fr) 2005-11-22 2008-03-14 Commissariat Energie Atomique Procede de fabrication d'un dispositif electronique flexible du type ecran comportant une pluralite de composants en couches minces.
US20070134784A1 (en) 2005-12-09 2007-06-14 Halverson Kurt J Microreplicated microarrays
DE602007013478D1 (de) 2006-02-08 2011-05-12 Semiconductor Energy Lab RFID-Vorrichtung
KR100831562B1 (ko) 2006-03-23 2008-05-21 주식회사 엘지화학 유연성 기판 반송용 점착제 조성물
US7909928B2 (en) 2006-03-24 2011-03-22 The Regents Of The University Of Michigan Reactive coatings for regioselective surface modification
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US20070248809A1 (en) 2006-04-19 2007-10-25 Steven Vincent Haldeman Interlayers Comprising Stable Infrared Absorbing Agents
WO2007121524A1 (en) 2006-04-20 2007-11-01 Epitactix Pty Ltd. Method of manufacture and resulting structures for semiconductor devices
CN101437772B (zh) 2006-05-08 2011-09-07 旭硝子株式会社 薄板玻璃叠层体、使用了薄板玻璃叠层体的显示装置的制造方法及支持用玻璃基板
KR101358255B1 (ko) 2006-06-27 2014-02-05 엘지디스플레이 주식회사 광경화 타입 소수성 몰드 및 그 제조방법
KR20090037856A (ko) 2006-07-12 2009-04-16 아사히 가라스 가부시키가이샤 보호 유리가 부착된 유리 기판, 보호 유리가 부착된 유리 기판을 사용한 표시 장치의 제조 방법 및 박리지용 실리콘
US8084103B2 (en) 2006-08-15 2011-12-27 Sakhrani Vinay G Method for treating a hydrophilic surface
US20080044588A1 (en) 2006-08-15 2008-02-21 Sakhrani Vinay G Method for Treating a Hydrophilic Surface
JP2008072087A (ja) 2006-08-16 2008-03-27 Kyoto Univ 半導体装置および半導体装置の製造方法、ならびに表示装置
EP2074188A1 (en) 2006-10-13 2009-07-01 Sunwoo AMC Co., Ltd. Laminating film of plastic/teflon-silicon and method for preparing the same
GB0620955D0 (en) 2006-10-20 2006-11-29 Speakman Stuart P Methods and apparatus for the manufacture of microstructures
US7903083B2 (en) 2006-11-13 2011-03-08 Motorola Mobility, Inc. Mixed-mode encapsulated electrophoretic display for electronic device
JP5008381B2 (ja) 2006-11-15 2012-08-22 富士フイルム株式会社 可撓性基板を用いた有機エレクトロルミネッセンス発光パネルの製造方法、それにより製造された有機エレクトロルミネッセンス発光パネル、及びその製造に使用する支持基板
US8069229B2 (en) 2006-12-28 2011-11-29 Computer Associates Think, Inc. Topology static zones
KR100890250B1 (ko) 2007-01-08 2009-03-24 포항공과대학교 산학협력단 플렉서블 소자의 제조 방법 및 플렉서블 표시 장치의 제조방법
US8110906B2 (en) 2007-01-23 2012-02-07 Infineon Technologies Ag Semiconductor device including isolation layer
KR101486601B1 (ko) 2007-03-12 2015-01-26 아사히 가라스 가부시키가이샤 보호 유리 부착 유리 기판 및 보호 유리 부착 유리 기판을 사용한 표시 장치의 제조 방법
JP5277552B2 (ja) 2007-03-19 2013-08-28 富士通セミコンダクター株式会社 半導体装置の製造方法
US8399047B2 (en) 2007-03-22 2013-03-19 The Regents Of The Univeristy Of Michigan Multifunctional CVD coatings
JP5677836B2 (ja) 2007-04-26 2015-02-25 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se フェノチアジン−s−オキシドまたはフェノチアジン−s,s−ジオキシド基を含有するシラン、およびoledにおけるその使用
US7635617B2 (en) 2007-04-27 2009-12-22 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor substrate and manufacturing method of semiconductor device
US7960916B2 (en) 2007-05-16 2011-06-14 Advanced Lcd Technologies Development Center Co., Ltd. Display device and electronic device using thin-film transistors formed on semiconductor thin films which are crystallized on insulating substrates
EP2172433A4 (en) 2007-06-20 2012-12-19 Asahi Glass Co Ltd METHOD FOR TREATING THE SURFACE OF OXID GLASS WITH A FLUORIZING AGENT
KR101565176B1 (ko) 2007-06-25 2015-11-02 브레우어 사이언스 인코포레이션 고온 회전에 의한 일시적 결합 조성물
KR20080113576A (ko) 2007-06-25 2008-12-31 엘지디스플레이 주식회사 액정표시장치 및 그 제조방법
TW200907003A (en) 2007-07-03 2009-02-16 Hitachi Chemical Co Ltd Adhesive composition and method of manufacturing thereof, adhesive member using the adhesive composition and method of manufacturing thereof, supporting member for mounting semiconductor and method of manufacturing thereof, and semiconductor apparatus an
JP2009035721A (ja) 2007-07-11 2009-02-19 Seiko Epson Corp 接合膜付き基材、接合方法および接合体
JP2009028922A (ja) 2007-07-24 2009-02-12 Seiko Epson Corp 接合方法、接合体、液滴吐出ヘッドおよび液滴吐出装置
JP2009028923A (ja) 2007-07-24 2009-02-12 Seiko Epson Corp 接合方法、接合体および配線基板
JP2009074002A (ja) * 2007-09-21 2009-04-09 Seiko Epson Corp 接着シート、接合方法および接合体
US20090091025A1 (en) 2007-10-04 2009-04-09 Agency For Science, Technology And Research Method for forming and releasing interconnects
WO2009085362A2 (en) 2007-10-05 2009-07-09 The Regents Of The University Of Michigan Ultrastrong and stiff layered polymer nanocomposites and hierarchical laminate materials thereof
KR101445734B1 (ko) 2007-12-07 2014-10-01 삼성전자 주식회사 액정표시장치
WO2009094558A2 (en) 2008-01-24 2009-07-30 Brewer Science Inc. Method for reversibly mounting a device wafer to a carrier substrate
JP5024087B2 (ja) 2008-02-05 2012-09-12 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、およびそれらの製造方法
CN101959683B (zh) 2008-02-05 2014-09-24 美国圣戈班性能塑料公司 多层物品
GB0802912D0 (en) 2008-02-15 2008-03-26 Carben Semicon Ltd Thin-film transistor, carbon-based layer and method of production thereof
KR20090095026A (ko) 2008-03-04 2009-09-09 삼성전자주식회사 표시 장치 제조 방법
WO2009126544A1 (en) 2008-04-08 2009-10-15 Arizona Board Of Regents, A Body Corporate Of The State Of Arizona Acting For And On Behalf Of Arizona State University Assemblies and methods for reducing warp and bow of a flexible substrate during semiconductor processing
JPWO2009128359A1 (ja) * 2008-04-17 2011-08-04 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネルおよびこれらの製造方法
KR101500684B1 (ko) 2008-04-17 2015-03-10 삼성디스플레이 주식회사 캐리어 기판 및 이를 이용한 가요성 표시 장치의 제조 방법
US7842548B2 (en) 2008-04-22 2010-11-30 Taiwan Semconductor Manufacturing Co., Ltd. Fixture for P-through silicon via assembly
KR101458901B1 (ko) 2008-04-29 2014-11-10 삼성디스플레이 주식회사 가요성 표시 장치의 제조 방법
US7960840B2 (en) 2008-05-12 2011-06-14 Texas Instruments Incorporated Double wafer carrier process for creating integrated circuit die with through-silicon vias and micro-electro-mechanical systems protected by a hermetic cavity created at the wafer level
JP2009283155A (ja) 2008-05-19 2009-12-03 Seiko Epson Corp 表示装置の製造方法、表示装置および電子機器
JP5029523B2 (ja) 2008-07-14 2012-09-19 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、表示装置用パネル、表示装置およびこれらの製造方法
DE102008045370B4 (de) 2008-09-02 2010-07-08 Grenzebach Maschinenbau Gmbh Verfahren und Vorrichtung zum Transport großflächiger, dünner Glasplatten
KR20110055728A (ko) 2008-09-12 2011-05-25 아리조나 보드 오브 리전트스, 아리조나주의 아리조나 주립대 대행법인 가요성 기판을 경질 캐리어에 부착하기 위한 방법 및 결과 장치
TWI354854B (en) 2008-09-15 2011-12-21 Ind Tech Res Inst Substrate structures applied in flexible electrica
JP2010107597A (ja) 2008-10-28 2010-05-13 Seiko Epson Corp 光学素子および光学素子の製造方法
KR101555551B1 (ko) 2008-11-07 2015-09-24 엘지디스플레이 주식회사 플렉시블 표시장치 제조방법
EP2350198A1 (en) 2008-11-19 2011-08-03 Dow Corning Corporation A silicone composition and a method for preparing the same
US8211270B2 (en) 2008-11-21 2012-07-03 Nitto Denko Corporation Method of detaching attached boards from each other
DE102009022628A1 (de) 2008-12-05 2010-06-10 Evonik Goldschmidt Gmbh Verfahren zur Modifizierung von Oberflächen
US8455872B2 (en) 2008-12-05 2013-06-04 Koninklijke Philips Electronics N.V. Electronic devices having plastic substrates
US9063605B2 (en) 2009-01-09 2015-06-23 Apple Inc. Thin glass processing using a carrier
TW201033000A (en) 2009-01-09 2010-09-16 Asahi Glass Co Ltd Glass laminate and manufacturing method therefor
JP5207306B2 (ja) 2009-01-26 2013-06-12 武井電機工業株式会社 薄膜積層ガラス基板の薄膜除去方法及び装置
EP2401148B1 (en) 2009-02-27 2013-01-23 ExxonMobil Oil Corporation Plasma treated evoh multilayer film
TWI410329B (zh) 2009-03-09 2013-10-01 Ind Tech Res Inst 可撓式裝置的取下設備及其取下方法
JP5402184B2 (ja) 2009-04-13 2014-01-29 日本電気硝子株式会社 ガラスフィルムおよびその製造方法
CN101873532B (zh) 2009-04-22 2013-01-02 电信科学技术研究院 广播多播***中发送和获取控制信息的方法、基站和ue
US8697228B2 (en) * 2009-05-06 2014-04-15 Corning Incorporated Carrier for glass substrates
JP5578174B2 (ja) 2009-05-08 2014-08-27 日立化成株式会社 半導体封止用フィルム状接着剤、半導体装置及びその製造方法
KR101360876B1 (ko) 2009-06-03 2014-02-11 어플라이드 머티어리얼스, 인코포레이티드 식각을 위한 방법 및 장치
CN101924067B (zh) 2009-06-09 2013-05-08 财团法人工业技术研究院 挠性膜自载板上脱离的方法及可挠式电子装置的制造方法
JP2010284869A (ja) 2009-06-11 2010-12-24 Shin-Etsu Chemical Co Ltd 接合部材
JP5594522B2 (ja) 2009-07-03 2014-09-24 日本電気硝子株式会社 電子デバイス製造用ガラスフィルム積層体
US7987566B2 (en) 2009-07-15 2011-08-02 Sturzebecher Richard J Capacitor forming method
US8568184B2 (en) 2009-07-15 2013-10-29 Apple Inc. Display modules
CN101989469A (zh) 2009-07-31 2011-03-23 群康科技(深圳)有限公司 导电板
US8048794B2 (en) 2009-08-18 2011-11-01 International Business Machines Corporation 3D silicon-silicon die stack structure and method for fine pitch interconnection and vertical heat transport
DE102009028640A1 (de) 2009-08-19 2011-02-24 Evonik Goldschmidt Gmbh Härtbare Masse enthaltend Urethangruppen aufweisende silylierte Polymere und deren Verwendung in Dicht- und Klebstoffen, Binde- und/oder Oberflächenmodifizierungsmitteln
JP2011048979A (ja) 2009-08-26 2011-03-10 Canon Inc 画像表示装置
US9847243B2 (en) * 2009-08-27 2017-12-19 Corning Incorporated Debonding a glass substrate from carrier using ultrasonic wave
JPWO2011024690A1 (ja) 2009-08-27 2013-01-31 旭硝子株式会社 フレキシブル基材−支持体の積層構造体、支持体付き電子デバイス用パネル、および電子デバイス用パネルの製造方法
JP5562597B2 (ja) 2009-08-28 2014-07-30 荒川化学工業株式会社 支持体、ガラス基板積層体、支持体付き表示装置用パネル、および表示装置用パネルの製造方法
JP5723776B2 (ja) 2009-09-08 2015-05-27 旭硝子株式会社 ガラス/樹脂積層体の製造方法
JP2011058579A (ja) 2009-09-10 2011-03-24 Tokyo Institute Of Technology エネルギ吸収構造体
KR101583394B1 (ko) 2009-09-11 2016-01-07 헨켈 아이피 앤드 홀딩 게엠베하 중합체 결합을 위한 조성물
CN102471129B (zh) 2009-09-18 2015-04-15 日本电气硝子株式会社 玻璃膜的制造方法及玻璃膜的处理方法以及玻璃膜层叠体
JP5637140B2 (ja) * 2009-10-20 2014-12-10 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、表示装置用パネル、表示装置、およびこれらの製造方法
CN102576106B (zh) 2009-10-20 2015-02-11 旭硝子株式会社 玻璃层叠体及其制造方法、显示面板的制造方法及利用该制造方法获得的显示面板
KR101617280B1 (ko) 2009-10-21 2016-05-03 엘지디스플레이 주식회사 플라스틱 기판을 이용한 표시장치 제조 방법
KR20110043376A (ko) 2009-10-21 2011-04-27 엘지디스플레이 주식회사 전기영동 표시장치 및 그 제조 방법
KR20110045136A (ko) 2009-10-26 2011-05-04 주식회사 삼영테크놀로지 윈도우와 디스플레이 패널을 분리하기 위한 코팅방법
US9019211B2 (en) 2009-10-30 2015-04-28 Corning Incorporated Methods and apparatus for providing touch sensitive displays
KR101635914B1 (ko) 2009-12-16 2016-07-05 엘지디스플레이 주식회사 플렉서블 표시장치의 제조 방법
RU2593841C2 (ru) 2009-12-17 2016-08-10 Керамтек Гмбх Кондиционирование поверхности для улучшения адгезии костного цемента к керамическим субстратам
JP5645123B2 (ja) * 2010-01-12 2014-12-24 日本電気硝子株式会社 ガラスフィルム積層体及びその製造方法並びにガラスフィルムの製造方法
JP2011159697A (ja) 2010-01-29 2011-08-18 Dainippon Printing Co Ltd 薄膜トランジスタ搭載基板、その製造方法及び画像表示装置
US8995146B2 (en) 2010-02-23 2015-03-31 Semblant Limited Electrical assembly and method
JP2011201976A (ja) 2010-03-24 2011-10-13 Seiko Epson Corp 接合方法
JP2011201977A (ja) 2010-03-24 2011-10-13 Seiko Epson Corp 接合方法
US8349727B2 (en) 2010-04-08 2013-01-08 Liang Guo Integrated method for high-density interconnection of electronic components through stretchable interconnects
US20110256385A1 (en) 2010-04-15 2011-10-20 Seiko Epson Corporation Bonding film-attached substrate and bonding film-attached substrate manufacturing method
JP5625470B2 (ja) 2010-05-10 2014-11-19 セイコーエプソン株式会社 接合方法
JP5516046B2 (ja) 2010-05-11 2014-06-11 セイコーエプソン株式会社 接合膜転写シートおよび接合方法
JP5835214B2 (ja) 2010-05-11 2015-12-24 旭硝子株式会社 積層体の製造方法、および積層体
JP2011248011A (ja) 2010-05-25 2011-12-08 Bridgestone Corp 表示媒体用粒子およびこれを用いた情報表示用パネル
GB2481187B (en) 2010-06-04 2014-10-29 Plastic Logic Ltd Processing substrates
KR101721414B1 (ko) 2010-06-09 2017-03-31 삼성디스플레이 주식회사 백라이트 어셈블리 및 이를 포함하는 액정표시장치
US8852391B2 (en) 2010-06-21 2014-10-07 Brewer Science Inc. Method and apparatus for removing a reversibly mounted device wafer from a carrier substrate
TWI432835B (zh) 2010-06-24 2014-04-01 Au Optronics Corp 可撓性顯示面板及其製造方法
DE102010025967B4 (de) 2010-07-02 2015-12-10 Schott Ag Verfahren zur Erzeugung einer Vielzahl von Löchern, Vorrichtung hierzu und Glas-Interposer
CN101916022B (zh) 2010-07-06 2012-10-10 友达光电股份有限公司 可挠性显示面板及其制造方法
EP2703156B1 (en) 2010-07-28 2015-01-14 Nippon Electric Glass Co., Ltd. Glass film laminate
JP5602529B2 (ja) 2010-07-29 2014-10-08 日本合成化学工業株式会社 積層体の製法、ガラス基板付き偏光板の製法、およびそれにより得られたガラス基板付き偏光板
US20120035309A1 (en) 2010-08-06 2012-02-09 Baker Hughes Incorporated Method to disperse nanoparticles into elastomer and articles produced therefrom
US9263314B2 (en) 2010-08-06 2016-02-16 Brewer Science Inc. Multiple bonding layers for thin-wafer handling
JP5462107B2 (ja) 2010-08-09 2014-04-02 株式会社日本製鋼所 中空成形品の製造方法および製造装置
US8846499B2 (en) 2010-08-17 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Composite carrier structure
TWI446420B (zh) 2010-08-27 2014-07-21 Advanced Semiconductor Eng 用於半導體製程之載體分離方法
US20120063952A1 (en) 2010-09-10 2012-03-15 Hong Keith C Uv resistant clear laminates
KR101164945B1 (ko) 2010-09-13 2012-07-12 한국과학기술원 플렉시블 소자의 제작 방법
KR101779586B1 (ko) 2010-09-27 2017-10-10 엘지디스플레이 주식회사 플라스틱 기판을 이용한 표시장치 제조 방법
EP2624326A4 (en) 2010-09-29 2017-05-10 Posco Method for manufacturing a flexible electronic device using a roll-shaped motherboard, flexible electronic device, and flexible substrate
US8822306B2 (en) 2010-09-30 2014-09-02 Infineon Technologies Ag Method for manufacturing a composite wafer having a graphite core, and composite wafer having a graphite core
KR101267529B1 (ko) 2010-10-30 2013-05-24 엘지디스플레이 주식회사 플렉서블한 유기전계 발광소자 제조 방법
US8859103B2 (en) 2010-11-05 2014-10-14 Joseph Eugene Canale Glass wafers for semiconductor fabrication processes and methods of making same
CN102034746B (zh) 2010-11-08 2013-06-05 昆山工研院新型平板显示技术中心有限公司 一种有源矩阵有机发光显示器阵列基板的制造方法
KR101295532B1 (ko) 2010-11-11 2013-08-12 엘지디스플레이 주식회사 플렉시블 평판소자의 제조방법
KR101271838B1 (ko) 2010-11-24 2013-06-07 주식회사 포스코 보강기판을 이용한 플렉서블 전자소자의 제조방법, 플렉서블 전자소자 및 플렉서블 기판
KR101842134B1 (ko) 2010-11-26 2018-03-26 엘지디스플레이 주식회사 전기영동 표시장치 및 그 제조 방법
EP2458620B1 (en) 2010-11-29 2021-12-01 IHP GmbH-Innovations for High Performance Microelectronics / Leibniz-Institut für innovative Mikroelektronik Fabrication of graphene electronic devices using step surface contour
TW201238014A (en) 2010-11-30 2012-09-16 Corning Inc Methods of forming a glass wiring board substrate
KR101049380B1 (ko) 2010-12-21 2011-07-15 한국기계연구원 전해도금을 이용한 반도체 소자 3차원 패키지용 관통 전극 및 그 제조 방법
CN102070120B (zh) 2010-12-31 2012-09-05 东南大学 用于微电子***级封装的高密度转接板的制备方法
WO2012102183A1 (en) 2011-01-26 2012-08-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
JP4845232B1 (ja) 2011-02-01 2011-12-28 株式会社ミクロ技術研究所 薄板ガラス基板貼合体およびその製造方法
JP2012166999A (ja) 2011-02-16 2012-09-06 Asahi Glass Co Ltd インターポーザ用ガラス基板の製造方法、インターポーザの製造方法、インターポーザ用ガラス基板、およびインターポーザ
KR101918284B1 (ko) 2011-03-03 2019-01-30 엘지디스플레이 주식회사 플렉시블 표시장치의 제조 방법
JP5355618B2 (ja) 2011-03-10 2013-11-27 三星ディスプレイ株式會社 可撓性表示装置及びこの製造方法
JP2012209545A (ja) 2011-03-17 2012-10-25 Sekisui Chem Co Ltd 半導体積層体の製造方法
TWI445626B (zh) 2011-03-18 2014-07-21 Eternal Chemical Co Ltd 製造軟性元件的方法
JP2012227310A (ja) 2011-04-19 2012-11-15 Panasonic Corp セラミックス多層基板とその製造方法
WO2012144499A1 (ja) 2011-04-22 2012-10-26 旭硝子株式会社 積層体、その製造方法及び用途
JP5862238B2 (ja) 2011-05-27 2016-02-16 東洋紡株式会社 積層体とその製造方法及びそれを用いたデバイス構造体の製造方法
JP5760696B2 (ja) 2011-05-27 2015-08-12 株式会社デンソー 画像認識装置
JP5862866B2 (ja) 2011-05-30 2016-02-16 東洋紡株式会社 積層体の作成方法および、この積層体を利用したフィルムデバイスの作成方法
JP5883236B2 (ja) 2011-06-10 2016-03-09 日東電工株式会社 薄層基材用キャリア材
KR20130000211A (ko) 2011-06-22 2013-01-02 삼성전자주식회사 기판 가공 방법
KR20130003997A (ko) 2011-07-01 2013-01-09 엘지디스플레이 주식회사 캐리어 기판과 박형 글라스의 탈부착 방법
US9827757B2 (en) 2011-07-07 2017-11-28 Brewer Science Inc. Methods of transferring device wafers or layers between carrier substrates and other surfaces
US8617925B2 (en) 2011-08-09 2013-12-31 Soitec Methods of forming bonded semiconductor structures in 3D integration processes using recoverable substrates, and bonded semiconductor structures formed by such methods
US8383460B1 (en) 2011-09-23 2013-02-26 GlobalFoundries, Inc. Method for fabricating through substrate vias in semiconductor substrate
WO2013044941A1 (en) 2011-09-27 2013-04-04 Applied Materials, Inc. Carrier for thin glass substrates and use thereof
JP5796449B2 (ja) 2011-10-12 2015-10-21 旭硝子株式会社 電子デバイスの製造方法、樹脂層付きキャリア基板の製造方法
JP5790392B2 (ja) 2011-10-12 2015-10-07 旭硝子株式会社 電子デバイスの製造方法
WO2013058217A1 (ja) 2011-10-18 2013-04-25 旭硝子株式会社 積層体、積層体の製造方法、および、電子デバイス用部材付きガラス基板の製造方法
KR101820171B1 (ko) 2011-10-24 2018-01-19 엘지디스플레이 주식회사 경량 박형의 액정표시장치 제조방법
US8550061B2 (en) 2012-01-26 2013-10-08 Maruzen Company Limited Toy gun
US8696864B2 (en) 2012-01-26 2014-04-15 Promerus, Llc Room temperature debonding composition, method and stack
CN107097004A (zh) 2012-02-08 2017-08-29 康宁股份有限公司 切割设备及切割方法
US9725357B2 (en) 2012-10-12 2017-08-08 Corning Incorporated Glass articles having films with moderate adhesion and retained strength
US8975157B2 (en) 2012-02-08 2015-03-10 Advanced Semiconductor Engineering, Inc. Carrier bonding and detaching processes for a semiconductor wafer
US10543662B2 (en) 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
JP2013184346A (ja) 2012-03-07 2013-09-19 Asahi Glass Co Ltd ガラス積層体、電子デバイスの製造方法
JP2013184872A (ja) 2012-03-09 2013-09-19 Nippon Electric Glass Co Ltd ガラス基板の端部処理方法、ガラス基板の端部処理装置及びガラス基板
KR101390212B1 (ko) 2012-03-14 2014-05-14 한양대학교 에리카산학협력단 전기분무건조를 이용한 글리아딘 나노입자의 제조방법
JP2013207084A (ja) 2012-03-28 2013-10-07 Sumitomo Electric Ind Ltd 放熱板付基板モジュールおよび放熱板付基板モジュールの製造方法
JP2013216513A (ja) 2012-04-05 2013-10-24 Nippon Electric Glass Co Ltd ガラスフィルムの切断方法及びガラスフィルム積層体
EP2650938A1 (en) 2012-04-13 2013-10-16 Acreo Swedish ICT AB Organic Field-Effect Transistor Device
JP2013224475A (ja) 2012-04-23 2013-10-31 Shimazu Kogyo Kk 溶射用粒子、溶射皮膜の形成方法及び溶射部材
DE102012207149A1 (de) 2012-04-27 2013-10-31 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verbund mit temporärer Schutzfolie
KR20150023312A (ko) 2012-05-29 2015-03-05 아사히 가라스 가부시키가이샤 유리 적층체 및 전자 디바이스의 제조 방법
KR20130095605A (ko) 2012-06-22 2013-08-28 코스텍시스템(주) 디바이스 웨이퍼와 캐리어 웨이퍼의 본딩과 디본딩 장치
CN102815052B (zh) 2012-06-29 2016-08-24 法国圣戈班玻璃公司 超疏水减反基板及其制作方法
US9139469B2 (en) 2012-07-17 2015-09-22 Corning Incorporated Ion exchangeable Li-containing glass compositions for 3-D forming
JP2014019597A (ja) 2012-07-17 2014-02-03 Nippon Electric Glass Co Ltd ガラスフィルムの製造方法及びガラスフィルム積層体
CN102789125B (zh) 2012-07-27 2013-11-13 京东方科技集团股份有限公司 隔垫物制作方法
EP2885253B1 (en) 2012-08-17 2021-06-02 Corning Incorporated Ultra-thin strengthened glasses
CN102820262A (zh) 2012-09-05 2012-12-12 江苏物联网研究发展中心 一种玻璃通孔的制作及互连的方法
WO2014050798A1 (ja) 2012-09-28 2014-04-03 Hoya株式会社 電子機器用カバーガラス及びその製造方法
KR101949561B1 (ko) 2012-10-12 2019-02-18 코닝 인코포레이티드 잔류 강도를 갖는 제품
US20140150244A1 (en) 2012-11-30 2014-06-05 General Electric Company Adhesive-free carrier assemblies for glass substrates
CN103035490A (zh) 2012-12-11 2013-04-10 京东方科技集团股份有限公司 柔性显示器件的制备方法
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
TWI617437B (zh) * 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
US10014177B2 (en) * 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
CN106030686A (zh) * 2012-12-13 2016-10-12 康宁股份有限公司 玻璃和制备玻璃制品的方法
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
KR102046534B1 (ko) 2013-01-25 2019-11-19 삼성전자주식회사 기판 가공 방법
WO2014137801A1 (en) 2013-03-03 2014-09-12 John Moore Temporary adhesive with tunable adhesion force sufficient for processing thin solid materials
US9790407B2 (en) 2013-03-09 2017-10-17 Moore John Aqueous detergent soluble coating and adhesive and methods of temporary bonding for manufacturing
JP6186493B2 (ja) * 2013-03-15 2017-08-23 コーニング インコーポレイテッド ガラスシートのバルクアニール
KR101432575B1 (ko) 2013-03-29 2014-08-21 엘지디스플레이 주식회사 경량 박형의 액정표시장치 제조방법
TWI617521B (zh) 2013-04-02 2018-03-11 Asahi Glass Co Ltd 附有被覆膜之玻璃基板及其製造方法
KR102070617B1 (ko) 2013-08-21 2020-01-30 엘지이노텍 주식회사 멤스 진폭 변조기 및 이를 포함하는 멤스 자계 센서
KR20150034829A (ko) 2013-08-30 2015-04-06 주식회사 엔씰텍 플렉시블 정보 표시 소자 제조용 지지 기판, 이의 제조 방법, 이를 이용하여 제조된 플렉시블 정보 표시 소자 및 이의 제조방법
KR101580015B1 (ko) 2013-08-30 2015-12-24 주식회사 엔씰텍 임시 점착/탈착층을 사용하는 플렉시블 정보 표시 소자 제조용 지지 기판, 이의 제조 방법, 그를 이용한 플렉시블 정보 표시 소자 및 그의 제조 방법
US20150099110A1 (en) * 2013-10-07 2015-04-09 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US10510576B2 (en) * 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
JP6119567B2 (ja) 2013-11-11 2017-04-26 旭硝子株式会社 ガラス積層体の製造方法および電子デバイスの製造方法
JP6176067B2 (ja) 2013-11-11 2017-08-09 旭硝子株式会社 ガラス積層体および電子デバイスの製造方法
JP6136909B2 (ja) 2013-12-17 2017-05-31 旭硝子株式会社 樹脂層付き支持基板の製造方法、ガラス積層体の製造方法、電子デバイスの製造方法
TWI654088B (zh) 2014-01-27 2019-03-21 美商康寧公司 用於聚合物表面與載具之受控接合之物件及方法
JP2017511756A (ja) 2014-01-27 2017-04-27 コーニング インコーポレイテッド 薄いシートの担体との制御された結合のための表面改質層の処理
CN106132688B (zh) 2014-01-27 2020-07-14 康宁股份有限公司 用于薄片与载体的受控粘结的制品和方法
KR101522941B1 (ko) 2014-02-05 2015-05-26 도레이첨단소재 주식회사 실리콘 이형필름 및 그 제조방법
KR20160119080A (ko) 2014-02-07 2016-10-12 아사히 가라스 가부시키가이샤 유리 적층체
EP3129221A1 (en) 2014-04-09 2017-02-15 Corning Incorporated Device modified substrate article and methods for making
WO2015156395A1 (ja) 2014-04-10 2015-10-15 旭硝子株式会社 ガラス積層体およびその製造方法、電子デバイスの製造方法
CN106232351A (zh) 2014-04-25 2016-12-14 旭硝子株式会社 玻璃层叠体及电子器件的制造方法
JP6322469B2 (ja) 2014-04-25 2018-05-09 ニッタ株式会社 基板加工方法
KR20170039135A (ko) 2014-08-01 2017-04-10 아사히 가라스 가부시키가이샤 무기막을 구비한 지지 기판 및 유리 적층체, 그리고, 그것들의 제조 방법 및 전자 디바이스의 제조 방법
US9790593B2 (en) 2014-08-01 2017-10-17 Corning Incorporated Scratch-resistant materials and articles including the same
KR102573207B1 (ko) 2015-05-19 2023-08-31 코닝 인코포레이티드 시트와 캐리어의 결합을 위한 물품 및 방법
EP3313799B1 (en) 2015-06-26 2022-09-07 Corning Incorporated Methods and articles including a sheet and a carrier
KR20190034682A (ko) 2016-08-22 2019-04-02 코닝 인코포레이티드 조절 가능하게 결합된 시트의 물품 및 이의 제조 방법
US10079154B1 (en) 2017-03-20 2018-09-18 Lam Research Corporation Atomic layer etching of silicon nitride

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003071937A (ja) * 2001-09-05 2003-03-12 Toppan Printing Co Ltd 積層体及びその製造方法、並びに多層回路基板
CN1675058A (zh) * 2002-08-07 2005-09-28 株式会社丰田中央研究所 具有粘合层的层压产品和具有保护膜的层压产品
CN101444785A (zh) * 2007-11-28 2009-06-03 精工爱普生株式会社 接合体的剥离方法
JP2011235556A (ja) * 2010-05-11 2011-11-24 Seiko Epson Corp 励起エネルギー特定方法、励起エネルギー特定装置、接合方法および接合装置

Also Published As

Publication number Publication date
KR102353030B1 (ko) 2022-01-19
CN106132688A (zh) 2016-11-16
TWI671200B (zh) 2019-09-11
WO2015112958A1 (en) 2015-07-30
US10046542B2 (en) 2018-08-14
US20170036419A1 (en) 2017-02-09
EP3099483B1 (en) 2022-06-01
JP2017506170A (ja) 2017-03-02
US20180297324A1 (en) 2018-10-18
EP3099483A1 (en) 2016-12-07
KR20160114107A (ko) 2016-10-04
EP3099483A4 (en) 2017-08-02
TW201545886A (zh) 2015-12-16
JP6770432B2 (ja) 2020-10-14
US11123954B2 (en) 2021-09-21

Similar Documents

Publication Publication Date Title
CN106132688B (zh) 用于薄片与载体的受控粘结的制品和方法
TWI654088B (zh) 用於聚合物表面與載具之受控接合之物件及方法
KR102355656B1 (ko) 캐리어 결합 방법, 및 반도체 및 인터포저 가공을 위한 물품
JP6310479B2 (ja) シートとキャリアとの間の結合を制御するための促進された加工
TW201529511A (zh) 用於受控接合薄板與載具的表面改質層的處理
KR102237812B1 (ko) 캐리어와 유리 시트의 결합을 제어하기 위한 유리 물품 및 방법
US20150329415A1 (en) Glass and methods of making glass articles

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant